controle de inversor monofÁsico para aplicaÇÃo em …€¦ · universidade de brasília - unb...

70
Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM SISTEMAS EÓLICOS DE PEQUENO PORTE Autor: Gustavo Simões Ferreira Nunes Orientador: Prof. Dr. Alex Reis FGA/UnB Brasília, DF 2018

Upload: others

Post on 30-Sep-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Universidade de Brasília - UnBFaculdade UnB Gama - FGA

Engenharia Eletrônica

CONTROLE DE INVERSOR MONOFÁSICOPARA APLICAÇÃO EM SISTEMAS EÓLICOS

DE PEQUENO PORTE

Autor: Gustavo Simões Ferreira NunesOrientador: Prof. Dr. Alex Reis FGA/UnB

Brasília, DF2018

Page 2: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 3: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Gustavo Simões Ferreira Nunes

CONTROLE DE INVERSOR MONOFÁSICO PARAAPLICAÇÃO EM SISTEMAS EÓLICOS DE PEQUENO

PORTE

Monografia submetida ao curso de graduaçãoem Engenharia Eletrônica da Universidadede Brasília, como requisito parcial para ob-tenção do Título de Bacharel em EngenhariaEletrônica .

Universidade de Brasília - UnB

Faculdade UnB Gama - FGA

Orientador: Prof. Dr. Alex Reis FGA/UnB

Brasília, DF2018

Page 4: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Gustavo Simões Ferreira NunesCONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM SIS-

TEMAS EÓLICOS DE PEQUENO PORTE/ Gustavo Simões Ferreira Nunes. –Brasília, DF, 2018-

68 p. : il. (algumas color.) ; 30 cm.

Orientador: Prof. Dr. Alex Reis FGA/UnB

Trabalho de Conclusão de Curso – Universidade de Brasília - UnBFaculdade UnB Gama - FGA , 2018.1. Inversor Full-Bridge. 2. Controle. I. Prof. Dr. Alex Reis FGA/UnB.

II. Universidade de Brasília. III. Faculdade UnB Gama. IV. CONTROLE DEINVERSOR MONOFÁSICO PARA APLICAÇÃO EM SISTEMAS EÓLICOSDE PEQUENO PORTE

CDU 02:141:005.6

Page 5: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Gustavo Simões Ferreira Nunes

CONTROLE DE INVERSOR MONOFÁSICO PARAAPLICAÇÃO EM SISTEMAS EÓLICOS DE PEQUENO

PORTE

Monografia submetida ao curso de graduaçãoem Engenharia Eletrônica da Universidadede Brasília, como requisito parcial para ob-tenção do Título de Bacharel em EngenhariaEletrônica .

Trabalho aprovado. Brasília, DF, 28 de fevereiro de 2018:

Prof. Dr. Alex Reis FGA/UnBOrientador

Prof. MsC. Lélio Ribeiro SoaresJúnior PPGE/ENE/FT/UnB

Convidado 1

Pedro Henrique F. MoraesPPGE/ENE/FT/UnB

Convidado 2

Brasília, DF2018

Page 6: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 7: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

ResumoA crescente demanda por recursos energéticos de baixo impacto ambiental tem intensi-ficado a importância e a participação de fontes renováveis de energia nas matrizes ener-géticas de diversos países. Dentre elas, destaca-se a de natureza eólica, a qual tem seapresentado, a cada dia, mais atrativa e competitiva. Uma característica comum destetipo de geração se refere a não constância de sua fonte primária, a qual varia em funçãodas condições meteorológicas. Assim, a conexão deste tipo de geração às redes elétricaspressupõe o atendimento a vários requisitos e procedimentos, os quais são definidos emregulamentos específicos do setor. Para atender tais demandas, os conversores de ele-trônica desempenham um importante papel, garantindo a integração de mecanismos decontrole de tensão e frequência nos aerogeradores. Nesse contexto, o presente trabalho deconclusão de curso tem por objetivo a realização de simulações computacionais de uminversor monofásico do tipo full-bridge, o qual se destina ao acoplamento de unidadeseólicas de pequeno porte às redes elétricas de distribuição. Os trabalhos compreendemestudo de critérios e modelos matemáticos para o projeto e especificação dos componen-tes que perfazem o inversor monofásico: chaves semicondutoras, filtros de acoplamento,circuitos de acionamento e estratégias de controle analógica e digital. Uma vez definidotais elementos, procede-se, na sequência, à realização de estudos computacionais, os quaistem por objetivo a caracterização do comportamento do conversor, em regime permanentee transitório.

Palavras-chaves: Inversor Full-Bridge, Controle, Simulação Analógica e Digital.

Page 8: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 9: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

AbstractThe growing demand for environmental friendly energy sources has increased the impor-tance and role off renewable energy sources in global energy matrix. Amongst those, thewind based generators are a featured source and it’s becoming a more attractive technol-ogy in a daily basis. It’s a known feature off this kind off power generation the instabilityoff its primary source due to the weather. Therefore, connecting this power sources tothe grid requires compliance with certain requirements and procedures. The electronicconverters play a important role by guaranteeing the voltage and grid frequency controlmechanisms integration. This project presents as an objective mathematical modeling andcomputational simulation of a single phase full-bridge inverter designed to connect smallwind turbines to the power grid. This research comprehends, as well, the study off allthe components that are included the inverter and using computational technology tosimulate its behavior in both permanent and transient states.

Key-words: Full-Bridge inverter, Control, Analog and Digital Simulations.

Page 10: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 11: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Lista de ilustrações

Figura 1 – Circuito inversor ponte completa bidirecional. Fonte: Autor. . . . . . . 23Figura 2 – (a) Sinal modulante senoidal e portadora triangular. (b) Semiciclo po-

sitivo, 𝑆1 e 𝑆4 ativos. (c)Semiciclo negativo, 𝑆2 e 𝑆3 ativos (c) Onda desaída (e) Espectro do sinal de saída. . . . . . . . . . . . . . . . . . . . 25

Figura 3 – Filtro LCL e suas correntes e tensões associadas. Fonte: (SIMOES etal., 2013) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Figura 4 – Resposta típica de um filtro LCL com e sem resistor de amortecimento.Fonte: (SIMOES et al., 2013). . . . . . . . . . . . . . . . . . . . . . . 28

Figura 5 – Estratégia de controle e seus três blocos principais, controlador de ten-são, controlador de corrente e PLL. Fonte: Autor. . . . . . . . . . . . . 30

Figura 6 – Resposta do controlador para 𝐾𝑖 = 1, 10, 100. Fonte: (TEODORESCU;BLAABJERG, 2004) . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

Figura 7 – Controle de Corrente. Fonte: Autor. . . . . . . . . . . . . . . . . . . . 33Figura 8 – Sistema de controle genérico. Fonte: (OGATA, 2000) . . . . . . . . . . 33Figura 9 – Controlador Proporcional Integrante. Fonte: (OGATA, 2000) . . . . . 34Figura 10 – Controle de tensão. Fonte: Autor. . . . . . . . . . . . . . . . . . . . . 34Figura 11 – Diagrama de blocos de uma PLL genérica. Fonte: (TEODORESCU;

LISERRE; RODRIGUEZ, 2011) . . . . . . . . . . . . . . . . . . . . . 35Figura 12 – Visão geral do sistema implementado no PSIM. . . . . . . . . . . . . . 37Figura 13 – Gráfico da atenuação em função do fator r. . . . . . . . . . . . . . . . . 39Figura 14 – Diagrama de Bode do filtro LCL, função de transferência expressa pela

Eq.(2.5). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40Figura 15 – Diagrama de Bode do filtro LCL, função de transferência expressa pela

Eq.(2.6). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40Figura 16 – Bloco correspondente no Sisotool. . . . . . . . . . . . . . . . . . . . . . 41Figura 17 – Gráficos de resposta ao degrau, lugar geométrica das raízes e diagrama

de Bode, referentes ao diagrama de blocos. . . . . . . . . . . . . . . . . 42Figura 18 – Função de transferância obtida no Sisotool. . . . . . . . . . . . . . . . 42Figura 19 – Resposta em frequência do controlador PR. . . . . . . . . . . . . . . . 43Figura 20 – Inversor Full-Bridge no PSIM. . . . . . . . . . . . . . . . . . . . . . . . 44Figura 21 – PLL no PSIM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44Figura 22 – Controlador de tensão e proporcional ressonante. . . . . . . . . . . . . 45Figura 23 – Resultado da saída do controlador PR para referência constante. . . . . 46Figura 24 – Corrente de referência e corrente sobre 𝐿2, sobrepostas. . . . . . . . . . 47Figura 25 – Espectro do sinal de tensão sobre 𝐿2. . . . . . . . . . . . . . . . . . . . 47Figura 26 – Sinal de tensão à saída do inversor e após filtragem. . . . . . . . . . . . 47

Page 12: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Figura 27 – Tensão e corrente em fase. . . . . . . . . . . . . . . . . . . . . . . . . . 48Figura 28 – Fator de potência aproximadamente unitário (𝑃𝐹 = 0.998). . . . . . . 48Figura 29 – Corrente de rede seguindo a corrente de saída. . . . . . . . . . . . . . . 49Figura 30 – Fonte de tensão não ideal. . . . . . . . . . . . . . . . . . . . . . . . . . 49Figura 31 – Corrente de saída do inversor. . . . . . . . . . . . . . . . . . . . . . . . 49Figura 32 – Corrente de referência e corrente sobre o indutor 𝐿2 com ripple gerado

pela ação do controlador PI. . . . . . . . . . . . . . . . . . . . . . . . . 50Figura 33 – Corrente sobre o indutor 𝐿2 com fase e magnitude iguais à referência. . 50Figura 34 – Diagrama de blocos do F28335. . . . . . . . . . . . . . . . . . . . . . . 51Figura 35 – Sistema reduzido em CBLOCK. . . . . . . . . . . . . . . . . . . . . . . 55Figura 36 – Declaração das variáveis da PLL no CBLOCK. . . . . . . . . . . . . . 56Figura 37 – Fator de potência da PLL discretizada (𝑃𝐹 = 0.987). . . . . . . . . . . 58Figura 38 – Declaração das variáveis do controlador no CBLOCK. . . . . . . . . . . 59Figura 39 – Corrente de referência e corrente medida em 𝐿2, com variação de am-

plitude de 0.038𝐴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61Figura 40 – Corrente de saída do inversor discreto comparada à corrente de refe-

rência e tensão de entrada. . . . . . . . . . . . . . . . . . . . . . . . . . 62Figura 41 – Sinal de corrente (vermelho) e sinal de saída do PI de tensão (azul) . . 62Figura 42 – Picos de instabilidade nos momentos de acréscimo de corrente. . . . . . 62Figura 43 – Efeito de propagação de instabilidade para o sistema gerado pela so-

bremodulação (espaços em braco no PWM). . . . . . . . . . . . . . . . 63

Page 13: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Lista de tabelas

Tabela 1 – Estados das chaves para um IPCB monofásico, fonte (RASHID, 2007). 24Tabela 2 – Parâmetros nominais do inversor. . . . . . . . . . . . . . . . . . . . . . 38Tabela 3 – Tabela de resultados para o filtro LCL. . . . . . . . . . . . . . . . . . . 39Tabela 4 – Dados da rede. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

Page 14: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 15: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Lista de abreviaturas e siglas

CA Corrente Alternada

CC Conrrente Contínua

IPCB Inversor Ponte Completa Bidirecional

LCL Filtro de composto por dois indutores e um capacitor na função deacoplar o inversor à rede

PLL Phase-Locked-Loop

PSIM Software de simulação

PWM Pulse-Width-Modulation

SPWM Sinusoidal-Pulse-Width-Modulation

VCO Voltage-controlled oscillator

VSI Voltage-source inverter

Page 16: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 17: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Lista de símbolos

𝐶𝑏 Capacitância base do sistema

𝐶𝑓 Capacitor do filtro LCL

𝐷1,2,3,4 Diodos de corpo dos semicondutores de potência

𝐸𝑛 Tensão nominal da rede

𝑓𝑚 Frequência do sinal modulante

𝑓𝑛 Frequência da rede

𝑓𝑟𝑒𝑠 Frequência de ressonância

𝑓Δ Frequência da portadora triangular

𝑓𝑠𝑤 Frequência de chaveamento

𝐺𝐶𝐿 Ganho de malha fechada

𝐺𝑂𝐿 Ganho de malha aberta

𝐺𝑐(𝑠) Função de transferência do controlador porporcional ressonânte

𝐻𝐿𝐶𝐿 Referente à função de transferência do filtro LCL

𝑖0 Corrente de saída

𝑖𝑐 Corrente no capacitor do LCL

𝑖𝑔 Corrente de saída do LCL

𝑖𝑖 Corrente de entrada do LCL

𝐼𝐿−𝑚𝑎𝑥 Corrente máxima para 10% de ripple

𝑘𝑎 Porcentagem de atenuação

𝐾𝑝 Ganho proporcional

𝐾𝑖 Ganho controlador integrador

𝐿1,2 Indutores 1 e 2 do filtro LCL

𝑚𝑎 Índice de modulação de amplitude

Page 18: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

𝑚𝑓 Frequências normalizadas

𝑃𝑛 Potência nominal do inversor

𝑟 Fator r

𝑆1,2,3,4 Chaves representantes do semicondutor de frequência

𝑇𝑖 Tempo de restabelecimento

𝑉𝑏𝑎𝑟 Tensão no barramento CC

𝑣𝑔 Tensão de saído do filtro LCL

𝑣𝑖 Tensão de entrada do filtro LCL

𝑉𝑜1 Índice de amplitude fundamental

𝑣𝑚 Sinal modulante

𝑉𝑚 Amplitude do sinal modulante

𝑣Δ Portadora triangular

𝑉Δ Amplitude da portadora triangular

𝑍𝑏 Impedância base do sistema

Page 19: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

Sumário

1 INTRODUÇÃO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191.1 Contextualização . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191.2 Descrição do Problema . . . . . . . . . . . . . . . . . . . . . . . . . . 201.3 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211.4 Organização do Trabalho . . . . . . . . . . . . . . . . . . . . . . . . . 21

2 FUNDAMENTAÇÃO TEÓRICA . . . . . . . . . . . . . . . . . . . . 232.1 Inversor Ponte Completa Bidirecional . . . . . . . . . . . . . . . . . . 232.1.1 PWM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242.1.1.1 SPWM Bipolar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242.2 Capacitor de Barramento . . . . . . . . . . . . . . . . . . . . . . . . . 262.3 Filtro LCL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262.3.1 Função de Transferência e Resposta em Frequência . . . . . . . . . . . . . 272.3.2 Projeto do Filtro LCL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282.4 Estrategia de Controle . . . . . . . . . . . . . . . . . . . . . . . . . . . 302.4.1 Controlador Proporcional Ressonante . . . . . . . . . . . . . . . . . . . . 312.4.1.1 Controlador de Corrente . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322.4.2 Controlador PI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332.4.2.1 Ajuste de Controladores PI . . . . . . . . . . . . . . . . . . . . . . . . . . . 332.4.2.2 Controlador de Tensão . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342.4.3 Phase-Locked-Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

3 METODOLOGIA E RESULTADOS . . . . . . . . . . . . . . . . . . 373.1 Parâmetros do Filtro LCL . . . . . . . . . . . . . . . . . . . . . . . . . 383.2 Controlador Proporcional Ressonante . . . . . . . . . . . . . . . . . . 413.3 Implementação do Sistema no Software PSIM . . . . . . . . . . . . . 433.3.1 Circuito de Potência e Filtro LCL . . . . . . . . . . . . . . . . . . . . . . 443.3.2 PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443.3.3 Controlador de Corrente . . . . . . . . . . . . . . . . . . . . . . . . . . . 453.3.4 Resultados de Simulação . . . . . . . . . . . . . . . . . . . . . . . . . . . 453.3.4.1 Resultados para Corrente de Referência Constante . . . . . . . . . . . . . . . 463.3.4.2 Resultados para Corrente de Referência Variável . . . . . . . . . . . . . . . . 483.3.4.3 Resultados para sistema completo . . . . . . . . . . . . . . . . . . . . . . . 493.4 Controle e Aquisição . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503.4.1 O DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503.5 Discretização do Sistema . . . . . . . . . . . . . . . . . . . . . . . . . 52

Page 20: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.5.1 Discretização da PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523.5.2 Discretização do Controlador . . . . . . . . . . . . . . . . . . . . . . . . . 533.5.2.1 Controle de Tensão . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543.5.2.2 Proporcional Ressonante . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543.6 Implementação do Sistema Discreto . . . . . . . . . . . . . . . . . . . 543.6.1 Implementação Digital da PLL . . . . . . . . . . . . . . . . . . . . . . . . 553.6.2 Implementação Digital do Controlador . . . . . . . . . . . . . . . . . . . . 583.7 Resultados das Simulações em tempo Discreto . . . . . . . . . . . . 603.7.1 Referência de Corrente Variável . . . . . . . . . . . . . . . . . . . . . . . 603.7.2 Sistema Completo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

4 CONSIDERAÇÕES FINAIS . . . . . . . . . . . . . . . . . . . . . . . 65

REFERÊNCIAS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

Page 21: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

19

1 Introdução

1.1 Contextualização

Pesquisas na área de Qualidade da Energia Elétrica têm conquistado crescenteimportância no cenário energético brasileiro e internacional. De fato, distintos fenômenosrelacionados à área, normalmente atrelados com desvios ou perda de qualidade da energiaelétrica, podem acarretar grandes transtornos para as redes elétricas de transmissão edistribuição, assim como também para diversos grupos de consumidores conectados àrede, sejam eles industriais, comerciais ou residenciais.

No que tange aos motivos causadores da degradação da qualidade da energia, asresponsabilidades se encontravam centradas, sobremaneira, na presença de cargas nãolineares nas redes elétricas. Nas últimas décadas, entretanto, outras razões passaram apreocupar esta área de conhecimento da engenharia elétrica. Tal preocupação se encon-tra, de forma pontual para os fins aqui considerados, apoiada nos crescentes incentivosfinanceiros e políticos que se materializam em um aumento da participação, nas matrizesenergéticas de diversos países, das fontes renováveis. Neste contexto, embora o reconheci-mento da diversidade de fontes energéticas primárias (vento, sol, águas, biomassa, etc.), apresente proposta se centra no campo dos aproveitamentos eólicos, os quais se constituemnuma das mais eminentes estratégias para a geração de energia elétrica no Brasil.

Uma característica comum deste tipo de geração se refere a não constância desua fonte primária, a qual varia em função das condições meteorológicas, implicando,pois, em expressivas oscilações das potências injetadas e, por conseguinte, aos efeitosdiretamente correlacionados. Somado a isto, destaca-se o emprego, para determinadossistemas eólicos, de conversores eletrônicos, os quais, embora possibilitem o acoplamentodas unidades geradoras às redes elétricas, se constituem em dispositivos geradores decorrentes harmônicas. Estas exemplificações, dentre outras, evidenciam que a operação deunidades de geração eólica pode provocar significativas alterações dos padrões de tensãoda rede elétrica na qual o complexo de geração em pauta se encontra conectado.

Nesse contexto, surge à temática relacionada com impactos, sob o ponto de vistada qualidade da energia, que a integração de tais fontes de energia pode provocar e, aomesmo tempo, estar sujeita quando em operação interligada ao sistema elétrico. De fato, osproblemas aqui levantados podem se manifestar em duas vias. Uma primeira correspondeaos efeitos negativos introduzidos pelo parque eólico nas redes, dentre os quais, destacam-se as: distorções harmônicas, flutuações de tensão, regulação de tensão, etc. Por outro, háde se reconhecer que a operacionalidade das unidades eólicas pode ser significativamente

Page 22: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

20 Capítulo 1. Introdução

afetada quando da ocorrência de anomalias nas redes de CA de conexão, à exemplo dasvariações de tensão de curta duração, desequilíbrios, transitórios eletromagnéticos, etc.

À luz dos fatos anteriores, a presente proposta de trabalho de conclusão de cursoencontra-se direcionada para o projeto, simulação computacional, construção e avaliaçãoexperimental de um inversor monofásico do tipo full-bridge, o qual se destina ao acopla-mento de unidades eólicas de pequeno porte às redes elétricas de distribuição.

1.2 Descrição do Problema

Um aspecto meritório de destaque está vinculado com os pontos de acoplamentodos parques eólicos. De fato, em virtude de suas características operacionais, a conexãodas unidades eólicas às redes elétricas pressupõe o atendimento a vários requisitos e proce-dimentos, os quais são estabelecidos em documentos específicos, tais como o IEC 61400-2(TURBINES—PART, 2008), o IEEE 1457 (PHOTOVOLTAICS; STORAGE, 2009) oumesmo nos códigos de rede de cada país. Dentre outros quesitos, tais documentos con-templam questões atreladas com a operação em condições anormais de frequência e tensão,controles de potência ativa e reativa, impactos sobre os indicadores de distorções harmô-nicas e flutuação de tensão e, por fim, a suportabilidade dos aerogeradores quanto a afun-damentos de tensão (Low Voltage Ride Through) (TSILI; PAPATHANASSIOU, 2009).Para atender tais demandas, os conversores de eletrônica de potência desempenham umimportante papel nos sistemas de geração de energia eólica, garantindo a integração demecanismos de controle que permitem a estes sistemas prover serviços de regulação detensão e frequência. Tais condições possibilitam às unidades eólicas a participação deforma ativa no controle e estabilização da rede elétrica (REIS et al., 2015).

Não obstante os pontos contemplados nas referências supramencionadas, pode-sereconhecer a existência de lacunas sobre diretrizes específicas e procedimentos de estudosafeitos à esta modalidade de geração. Portanto, à luz do crescimento da participação dageração eólica surge, por consequência, a necessidade da disponibilização de processosavaliativos que permitam atender às determinações impostas pelos órgãos reguladoresquanto às questões aqui apontadas e também, por consequência direta, as melhorias quetais desenvolvimentos ocasionarão nas atividades de ensino e pesquisa sobre a matéria.

No que se refere à questão do ensino, sobressaem as necessidades de formação demão de obra especializada no tema e compreensão por parte dos profissionais da áreaacerca dos fenômenos vinculados com o funcionamento dos parques eólicos, em que pesea grande aleatoriedade da fonte primária de energia e outros. Por outro lado, não podemser ignorados os requisitos voltados para o domínio da tecnologia e conhecimento dosfenômenos atrelados com tais gerações, fato este que orienta para a área de pesquisassobre as novas formas de produção de energia elétrica, dentre as quais os parques eólicos, e

Page 23: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

1.3. Objetivos 21

também a respeito de mecanismos de investigação dos impactos associados com a operaçãodestas gerações distribuídas junto aos locais de interligação com as redes elétricas jáexistentes.

Nesse sentido, esta proposta se destina ao projeto e construção de um inversormonofásico do tipo full-bridge, para aplicações em sistemas de geração eólica de pequenoporte (alguns quilowatts). Esta plataforma permitirá a avaliação de estratégias de controle,contemplando a operação básica do aerogerador, no que tange ao processo de fornecimentode energia ativa, bem com funções avançadas destinadas à compensação de potênciareativa.

1.3 ObjetivosEste Trabalho de Conclusão de Curso tem os seguintes objetivos:

∙ Estudo de modelos matemáticos aplicados ao projeto e especificação dos elemen-tos que compõe o inversor, a saber: chaves semicondutoras, filtros de acoplamento,circuitos de acionamento, técnicas de controle, etc.

∙ Simulação do sistema em plataforma computacional, tendo em vista a caracterizaçãodo funcionamento em regime permanente e transitório;

∙ Simulação de controle analógico e digital do conversor;

1.4 Organização do TrabalhoEste trabalho foi dividido em capítulos, o primeiro capítulo trás a contextualização

e problematização acerca do tema a ser discutido, bem como seus objetivos. O segundocapítulo enuncia os conceitos teóricos necessários para elucidar o leitor em relação aosistema proposto. O terceiro capítulo descreve a metodologia utilizada para o desenvol-vimento deste trabalho de conclusão de curso. O quarto capítulo apresenta os resultadosobtidos para as simulações realizas em software dedicado, exibe os resultados dos cálculosefetuados e discute suas decorrências.

Page 24: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 25: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

23

2 Fundamentação Teórica

2.1 Inversor Ponte Completa BidirecionalUm inversor ponte completa bidirecional fonte de tensão VSI (do inglês, voltage-

source inverter) é um circuito estático, ou seja, não possui partes eletromecânicas, emque se faz presente uma fonte de tensão em seu barramento CC que, a partir de técnicasde comutação de semicondutores de potência, realiza a conversão de sinais de correntecontínua CC para sinais de corrente alternada CA (AHMED, 2000).

A topologia do inversor é apresentada na Figura 1, que apresenta quatro chaves,duas para cada ramo, que devem ser ativadas diagonalmente, isto é, as chaves de ummesmo ramo não devem ser ativadas simultaneamente, para evitar curto-circuitos nobarramento CC. Junto às chaves, faz-se necessário a presença de diodos ligados em anti-paralelo que conferem uma bidirecionalidade no sentido da corrente, permitindo que oinversor funcione corretamente (POMILIO, 2013).

Figura 1 – Circuito inversor ponte completa bidirecional. Fonte: Autor.

A Tabela 1, apresenta a combinação de estados possíveis, suas saídas e os compo-nentes conduzindo em cada estado. Diante disso, vê-se necessário uma forma de se alternaros estados do inversor que possibilite a obtenção de um sinal CA à saída. A técnica demodulação por largura de pulso PWM (do inglês, pulse-width-modulation) e suas varia-

Page 26: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

24 Capítulo 2. Fundamentação Teórica

ções são capazes de cumprir com este requisito. Sendo assim, serão discutidas as formasde modulação PWM a seguir.

Chaves Ligadas Estado # 𝑣𝑜 Componentes conduzinto𝑆1 e 𝑆4 ligados e 𝑆2 e 𝑆3 desligados 1 𝑣𝑖 𝑆1 e 𝑆4 se 𝑖0 > 0, 𝐷1 e 𝐷4 se 𝑖0 < 0𝑆2 e 𝑆3 ligados e 𝑆1 e 𝑆4 desligados 2 −𝑣𝑖 𝑆2 e 𝑆3 se 𝑖0 > 0, 𝐷2 e 𝐷3 se 𝑖0 < 0𝑆1 e 𝑆3 ligados e 𝑆2 e 𝑆4 desligados 3 0 𝑆1 e 𝑆3 se 𝑖0 > 0, 𝐷1 e 𝐷3 se 𝑖0 < 0𝑆2 e 𝑆4 ligados e 𝑆1 e 𝑆3 desligados 4 0 𝑆2 e 𝑆4 se 𝑖0 > 0, 𝐷2 e 𝐷4 se 𝑖0 < 0

𝑆1,𝑆2,𝑆3 e 𝑆4 desligados 5 𝑣𝑖, −𝑣𝑖 𝐷2 e 𝐷3 se 𝑖0 > 0, 𝐷1 e 𝐷4 se 𝑖0 < 0

Tabela 1 – Estados das chaves para um IPCB monofásico, fonte (RASHID, 2007).

2.1.1 PWM

A técnica de PWM que permite produzir tensões variáveis em frequência e am-plitude, consiste na comparação de dois sinais, um sinal modulante 𝑣𝑚 e uma portadoratriangular 𝑣Δ. Basicamente, os sinais comparados geram uma saída em que a largurados pulsos variam proporcionalmente com a amplitude do sinal modulante. Para o casodo inversor, a frequência do sinal modulante determina a frequência do sinal de saídaquando filtrado. Deseja-se que o sinal de saída do inversor seja uma senoide, portanto osinal modulante deve ser um sinal senoidal, para que seja possível sincronizá-la à redeelétrica. Para esta modulação específica, é dado o nome de SPWM, sinusoidal pulse widthmodulation. Dada uma senoide 𝑣𝑚 com amplitude 𝑉𝑚 e frequência 𝑓𝑚, e a portadora umaonda triangular com amplitude 𝑉Δ e frequência 𝑓Δ, o índice de modulação é expresso pelaEq.(2.1), e a frequência da portadora normalizada pela Eq.(2.2) (RASHID, 2007).

𝑚𝑎 = 𝑉𝑚

𝑉Δ(2.1)

𝑚𝑓 = 𝑓Δ

𝑓𝑚

(2.2)

2.1.1.1 SPWM Bipolar

A modulação bipolar consiste no chaveamento síncrono na diagonal, isto é, 𝑆1

síncrono a 𝑆4, e 𝑆3 em sincronia com 𝑆2, o que gera uma saída CA com valores de 𝑣𝑖, −𝑣𝑖,correspondentes aos estados 1 e 2, de acordo com a Tabela 1 (RASHID, 2007). Assim,basta comparar um sinal modulante senoidal com a portadora triangular para alternarentre os estados 1, 𝑣𝑚 > 𝑣Δ, e o estado 2, 𝑣𝑚 < 𝑣Δ. A forma de onda de saída do inversor ébasicamente senoidal com componente fundamental de amplitude expressa pela Eq.(2.3).

𝑉𝑜1 = 𝑣𝑖𝑚𝑎 (2.3)

Page 27: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

2.1. Inversor Ponte Completa Bidirecional 25

A forma de onda da saída, o sinal modulante, a portadora e o espectro do sinal de saídasão mostrados na Figura 2.

Figura 2 – (a) Sinal modulante senoidal e portadora triangular. (b) Semiciclo positivo,𝑆1 e 𝑆4 ativos. (c)Semiciclo negativo, 𝑆2 e 𝑆3 ativos (c) Onda de saída (e)Espectro do sinal de saída.

Page 28: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

26 Capítulo 2. Fundamentação Teórica

2.2 Capacitor de Barramento

O capacitor de barramento é um elemento presente em muitas aplicações de con-versão de correntes CC-CA, o caso do inversor não é diferente. Circuitos inversores estão,frequentemente, associados a conversores CC-CC, micro-redes CC entre outros. O capa-citor de barramento tem como função básica desacoplar o estágio de sinal de tensão ecorrente contínua na entrada de circuitos inversores (PIRES, 2016).

Este capacitor está sujeito a ação de chaveamento PWM de alta frequência e,conjuntamente, sujeito a ripples de correntes de alta ou baixa frequências provenientesdo lado dos conversores CC. Logo, o capacitor de barramento é utilizado na redução daondulação de tensão CC e para contornar os efeitos residuais de variações de correntee tensão injetado pelo inversor no barramento CC. A topologia utilizada nas diversasaplicações de capacitores de barramento determinam o tipo e a capacitância do capacitora ser utilizado (HAVA; AYHAN; ABAN, 2012). As considerações tomadas para o cálculodo tamanho do capacitor de barramento são descritas por Hava, Ayhan e Aban (2012)e, além disso, é fornecida a equação utilizada para o dimensionamento de capacitores debarramento para aplicações em inversores.

𝐶𝐵𝐴𝑅 = 𝑃𝑛

2𝜋𝑓𝑛𝑉𝐵𝐴𝑅Δ𝑉 𝐵𝐴𝑅

(2.4)

Em que:

𝐶𝐵𝐴𝑅 Capacitância de barramento

𝑃𝑛 Potência nominal do inversor;

𝑓𝑛 Frequência da rede;

𝑉𝐵𝐴𝑅 Tensão no barramento CC;

Δ𝑉 𝐵𝐴𝑅 ondulação de tensão no barramento CC;

2.3 Filtro LCL

Os harmônicos gerados pelo chaveamento dos semicondutores de potência trazema necessidade de utilizar-se um filtro de acoplamento à rede elétrica.Tradicionalmente, oschamados filtros indutivos são utilizados para conectar o inversor à rede elétrica. Estedispositivo consiste em uma grande indutância, associada em série com a saída do in-versor. Entretanto, tal dispositivo, para aplicações de inversores na ordem dos kilowatts,apresentam altos custos, dificultando sua utilização (LISERRE, 2005).

Page 29: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

2.3. Filtro LCL 27

Como uma alternativa conveniente para solucionar este problema, surge o filtroLCL, que pode operar em sistemas de potências elevadas e manter baixos valores dos in-dutores e capacitores que o compõem (LISERRE, 2005). Este filtro consiste, basicamente,em uma associação de dois indutores e um capacitor em paralelo, conforme Figura 3.

Porém, a impedância nula, em certas frequências, pode suscitar ressonância indese-jada vindo a desestabilizar o sistema. Técnicas de amortecimento devem ser consideradaspara evitar a contaminação do sistema pela ressonância do filtro. O emprego de um ele-mento passivo, como por exemplo um resistor de amortecimento associado em série com ocapacitor, é uma escolha válida para satisfazer esta necessidade (KAHLANE; HASSAINE;KERCHI, 2014).

Nesta seção, serão demonstrados os critérios de projeto do filtro, funções de trans-ferências pertencentes ao circuito e estudo da resposta em frequência do filtro em análise.

Figura 3 – Filtro LCL e suas correntes e tensões associadas. Fonte: (SIMOES et al., 2013)

2.3.1 Função de Transferência e Resposta em Frequência

A topologia do filtro LCL pode ser verificada na Figura 3, onde 𝐿1 é o indutor dolado do inversor, 𝐿2 é o indutor do lado da rede, 𝐶𝑓 é o capacitor do filtro, 𝑅1 e 𝑅2 sãoas resistências inerentes aos indutores, 𝑣𝑖 é a tensão de saída do inversor, 𝑣𝑔 é a tensãode saída do sistema e, as correntes 𝑖𝑖, 𝑖𝑐, 𝑖𝑔, são respectivamente, corrente de saída doinversor, corrente do capacitor e corrente da rede.

A função de transferência do filtro 𝐻𝐿𝐶𝐿 = 𝑖𝑔

𝑣𝑖é importante para a análise do

mesmo e, assumindo a rede elétrica como uma fonte de tensão ideal capaz de amorteceras harmônicas em todas as frequências (SIMOES et al., 2013). Tomando a tensão 𝑣𝑔 = 0,pode-se obter a função de transferência que despreza os efeitos do amortecimento, Eq.(2.5), e aquela que consideranda o resistor de amortecimento, Eq.(2.6).

𝐻𝐿𝐶𝐿 = 1𝐿1𝐿2𝐶𝑓𝑠3 + (𝐿1 + 𝐿2)𝑠

(2.5)

𝐻𝐿𝐶𝐿 = 𝐶𝑓𝑅𝑓𝑠 + 1𝐿1𝐿2𝐶𝑓𝑠3 + 𝐶𝑓 (𝐿1 + 𝐿2)𝑅𝑓𝑠2 + (𝐿1 + 𝐿2)𝑠

(2.6)

Page 30: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

28 Capítulo 2. Fundamentação Teórica

A resposta em frequência do filtro com e sem a resistência de amortecimento émostrada na Figura 4, através do gráfico de Bode. Inserir a resistência elimina o pico deganho na frequência de ressonância

Figura 4 – Resposta típica de um filtro LCL com e sem resistor de amortecimento. Fonte:(SIMOES et al., 2013).

2.3.2 Projeto do Filtro LCL

O procedimento para o projeto do filtro LCL adotado no presente trabalho, baseou-se nas publicações de Liserre (2005), Zong (2011), Simoes et al. (2013), as quais descrevemo processo para a determinação dos parâmetros necessários para o dimensionamento doscomponentes e critérios básicos que devem ser seguidos durante a realização do mesmo.

Os melhores resultados são obtidos na manutenção de certos limites e requisitos(LISERRE, 2005):

(a) O capacitor 𝐶𝑓 é limitado pela potência reativa máxima no circuito e não podeultrapassar 5% deste valor.

(b) O valor total de impedância dos indutores deve proporcionar uma queda de tensãomenor que 10%.

Page 31: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

2.3. Filtro LCL 29

(c) A frequência de ressonância deve estar compreendida entre 10𝑓𝑛 ≤ 𝑓𝑟𝑒𝑠 < 12𝑓𝑠𝑤,

onde 𝑓𝑛 é a frequência da rede e 𝑓𝑠𝑤 é a frequência de chaveamento, para evitarproblemas relacionados ao espectro bilateral das harmônicas.

(d) O amortecimento passivo deve ser o suficiente para evitar oscilação.

Visando os critérios citados anteriormente, o projeto do filtro LCL depende dosseguintes parâmetros do sistema: tensão do barramento CC 𝑉𝑏𝑎𝑟, tensão da rede 𝐸𝑛,potência nominal do inversor 𝑃𝑛, frequência da rede 𝑓𝑛 e frequência de chaveamento𝑓𝑠𝑤. Os valores de impedância e capacitância do sistema são calculados pelas Eq.(2.7) eEq.(2.8), sendo os parâmetros de onde serão obtidos os valores dos outros componentesdo filtro.

𝑍𝑏 = 𝐸2𝑛

𝑃𝑛

(2.7)

𝐶𝑏 = 12𝜋𝑓𝑛𝑍𝑏

(2.8)

Conforme descreve (LISERRE, 2005), o filtro pode ser projetado seguindo o passo-a-passo seguir:

1. Selecionar o ripple máximo de corrente Δ𝐼𝐿−𝑚𝑎𝑥 do lado do inversor e, a partirdeste valor, determinar o valor do indutor interno 𝐿1 utilizando a Eq.(2.9). O rippleé calculado por meio da Eq.(2.10).

𝐿1 = 𝐸𝑛

2√

6𝑓𝑠𝑤Δ𝐼𝐿−𝑚𝑎𝑥

(2.9)

Δ𝐼𝐿−𝑚𝑎𝑥 = 0.1𝑃𝑛

√2

𝐸𝑛

(2.10)

2. Selecionar a potência reativa e determinar o valor do capacitor. Onde 𝑥 é a por-centagem de potência reativa absorvida. Lembrando do critério descrito em (a).

𝐶𝑓 = 𝑥𝐶𝑏 (2.11)

3. Escolher a redução do ripple de corrente, o valor típico escolhido é 20% por razõespráticas. Tendo escolhido a redução do ripple, calcular 𝑟 por meio da Eq.(2.12)

𝑖𝑔(ℎ)𝑖𝑖(ℎ) = 1

|1 + 𝑟[1 − 𝑎𝑥]| = 𝑘𝑎 (2.12)

onde 𝑎 = 𝐿1𝐶𝑏(2𝜋𝑓𝑠𝑤)2

Page 32: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

30 Capítulo 2. Fundamentação Teórica

4. Calcular o valor do indutor do lado da rede.

𝐿2 = 𝑟𝐿1 (2.13)

5. Verificar se frequência de ressonância atende às condições especificadas em (c), paraencontrar o valor da resistência de amortecimento ligada em série com 𝐶𝑓 .

𝜔𝑟𝑒𝑠 =√︃

𝐿1 + 𝐿2

𝐿1𝐿2𝐶𝑓

(2.14)

𝑅𝑓 = 13𝜔𝑟𝑒𝑠𝐶𝑓

(2.15)

2.4 Estrategia de ControleA estratégia de controle do inversor tem como objetivo principal manter constante

a tensão no barramento CC. Tal objetivo é atingido a partir da variação da correnteinjetada na rede elétrica, permitindo o ajuste do fluxo de energia entre os lados CC eCA do inversor.Quando a tensão 𝑉𝐵𝐴𝑅 do barramento CC é mantida constante, toda aenergia proveniente do aerogerador é transferida para a rede elétrica (FREITAS, 2013).

Nesse contexto, a estratégia de controle adotada neste trabalho está sintetizadana Figura 6, a qual segue premissas estabelecidas em Pires (2016). Basicamente, existemtrês blocos de controle principais: o controlador proporcional integral (PI) para ajusteda tensão do barramento CC, a PLL (do inglês, Phase-Locked- Loop) e, finalmente, ocontrolador de corrente baseado em um bloco de controle proporcional ressonante (PR).O método para o dimensionamento dos ganhos do controlador proporcional ressonanteseguem os mesmos princípios de modelagem matemática tomados para um controladorproporcional integral. A função de cada um dos blocos que compõem o modulo de controlecompleto serão detalhadas nas próximas seções.

Figura 5 – Estratégia de controle e seus três blocos principais, controlador de tensão,controlador de corrente e PLL. Fonte: Autor.

Conforme pode ser constatado na Figura 5, uma vez definido o valor de referênciapara a tensão do elo de corrente contínua (Vref ), este sinal é comparado com a respectiva

Page 33: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

2.4. Estrategia de Controle 31

grandeza medida (Vbar ). O erro proveniente de tal correlação alimenta o controlador PI, oqual fornece a referência para a amplitude da corrente a ser injetada na rede elétrica. Estavariável é multiplicada pelo sinal senoidal advindo da PLL, produzindo, dessa maneira, avariável Is*, a qual representa a corrente que deve ser injetada na rede elétrica. Este valoré comparado à respectiva medição (Is), sendo que o sinal de erro produzido alimentao controlador proporcional ressonante. Este último, define o índice de modulação paradeterminação dos pulsos de chaveamento.

2.4.1 Controlador Proporcional Ressonante

Controladores PI possuem algumas desvantagens conhecidas como, a incapacidadede remover harmônicos de baixa frequência devido às limitações de largura de banda,além de causar possíveis instabilidades especialmente em sistemas que possuem filtrosressonantes LCL em sua composição (TEODORESCU; BLAABJERG, 2004).

A fim de resolver estes problemas, foi criada uma nova forma de controle paracorrente, o controlador proporcional ressonante. A teoria por trás do controlador nãotange o escopo deste trabalho, mas sim suas características. O controlador proporcionalressonante é expresso matematicamente por:

𝐺𝑐(𝑠) = 𝐾𝑝 + 𝐾𝑖𝑠

𝑠2 + 𝜔2 (2.16)

Este controlador tem a característica particular de alcançar ganhos elevados emuma banda estreita de frequência no entorno da frequência de ressonância. A Figura 6apresenta este comportamento. A banda de frequência do controlador varia conforme avariação da constante 𝐾𝑖, em que valores pequenos de 𝐾𝑖 resultam em uma banda maisestreita, enquanto que para 𝐾𝑖 grande, a banda de frequência resultante também é grande(TEODORESCU; BLAABJERG, 2004). Como registrado anteriormente, as constantes 𝐾𝑝

e 𝐾𝑖 podem ser encontradas utilizando o método de parametrização dos controladores PI,neste caso, de corrente.

Page 34: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

32 Capítulo 2. Fundamentação Teórica

Figura 6 – Resposta do controlador para 𝐾𝑖 = 1, 10, 100. Fonte: (TEODORESCU; BLA-ABJERG, 2004)

2.4.1.1 Controlador de Corrente

Deve-se realizar a modelagem matemática do circuito para a obtenção de um dia-grama de blocos que reflita o comportamento do mesmo e, assim, determinar os parâme-tros do controlador PI, lembrando que o método de escolha dos parâmetro do controladorproporcional ressonante segue este mesmo método (OGATA, 2000). O diagrama de blocosque modela o circuito é expresso na Figura 7. Com base neste diagrama, deseja-se encon-trar a corrente 𝑖𝑔 de saída que passa pelo indutor 𝐿2 que é a corrente usada para controlaro inversor. Sabe-se que a função de transferência do filtro LCL é dada pela Eq.(2.5), destaforma, basta, apenas, determinar os ganhos 𝐾𝑝 e 𝐾𝑖. Como não é escopo do trabalhodemonstrar a modelagem matemática para o cálculo de tais parâmetros, o Sisotool doMatlab foi adotado para sintonizar os ganhos do controlador com base no diagrama deblocos na Figura 7.

Page 35: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

2.4. Estrategia de Controle 33

Figura 7 – Controle de Corrente. Fonte: Autor.

2.4.2 Controlador PI

Qualquer que seja o mecanismo real ou forma de energia usada na operação, ocontrolador proporcional é essencialmente um amplificador com ganho ajustável. O con-trolador integral soma o termo do erro e o acumula periodicamente e tende a fazer comque o erro de estado estacionário, gerado pela ação proporcional, cesse (OGATA, 2000).

2.4.2.1 Ajuste de Controladores PI

Figura 8 – Sistema de controle genérico. Fonte: (OGATA, 2000)

Um sistema de ação de controle proporcional integral pode ser modelado pelodiagrama de blocos da figura 8 e possui função de transferência definida por Eq.(2.17),que é função do erro 𝐸(𝑠) de entrada e de sua saída 𝑈(𝑠), no domínio de Laplace (OGATA,2000),

𝐶(𝑠) = 𝐾𝑝 + 𝐾𝑖

𝑠= 𝐾𝑝

(︂1 + 𝑠𝑇𝑖

𝑠𝑇𝑖

)︂(2.17)

onde 𝐾𝑝 representa o ganho proporcional e a constante 𝑇𝑖 representa o tempo integral,também conhecida como taxa de restabelecimento, ambos são ajustáveis. O tempo integralajusta a ação de controle integral, enquanto o ganho proporcional afeta tanto a respostaproporcional como a parte integral.

Page 36: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

34 Capítulo 2. Fundamentação Teórica

Figura 9 – Controlador Proporcional Integrante. Fonte: (OGATA, 2000)

Pode-se inferir, a partir da Eq(2.17) e como expresso por Freitas (2013) que a taxade restabelecimento 𝑇𝑖 é:

𝑇𝑖 = 𝐾𝑝

𝐾𝑖

(2.18)

O ganho de malha aberta do sistema é dado por:

𝐺𝑂𝐿 = 𝑌 (𝑠)𝑅(𝑠) = 𝐶(𝑠)𝐺(𝑠) (2.19)

E agora, desconsiderando a pertubação 𝐷(𝑠), o ganho em malha fechada é expressa por:

𝐺𝐶𝐿 = 𝑌 (𝑠)𝑅(𝑠) = 𝐺𝑂𝐿

1 + 𝐺𝑂𝐿

(2.20)

A relação entre a entrada e a saída do sistema da Figura 8 é descrita por:

𝑌 (𝑠) = 𝐶(𝑠)𝐺(𝑠)1 + 𝐶(𝑠)𝐺(𝑠)𝑅(𝑠) − 𝐺(𝑠)

1 + 𝐶(𝑠)𝐺(𝑠)𝐷(𝑠) (2.21)

2.4.2.2 Controlador de Tensão

O controlador de tensão, tem como objetivo manter a tensão no barramento CCconstante. Os passos para projetar este controlador PI, são semelhante aos passos descritosna seção anterior. Deste modo, precisa-se realizar a modelagem matemática de um sistemaque reflita o funcionamento do inversor, desta vez, tomando como variável de controle atensão no barramento CC (FREITAS, 2013). O diagrama de blocos relativo ao controladorde tensão é mostrado na Figura 10. Lembrando que a FT é a função de transferência sobreo capacitor do barramento.

Figura 10 – Controle de tensão. Fonte: Autor.

Page 37: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

2.4. Estrategia de Controle 35

2.4.3 Phase-Locked-Loop

A PLL é definida como um sistema de malha fechada em que um oscilador internoé controlado para reagir instantaneamente a eventos periódicos, a fim de manter umasincronia com o sinal de referência (TEODORESCU; LISERRE; RODRIGUEZ, 2011).

O uso da PLL é extremamente difundido no âmbito da eletrônica moderna, compu-tação e comunicações, por ser um dispositivo de fácil implementação e pela sua resiliênciaquanto a sensibilidade às distorções, harmônicas, desbalanceamentos, ruído, e outros tiposde características importunas no sinal de entrada (GUO; WU; GU, 2011). As aplicaçõesmais comuns para uma PLL são os conversores e inversores de potência, pois ambosrequerem sincronia com a rede elétrica.

O diagrama de blocos da Figura 11, mostra a estrutura básica de uma PLL, queconsiste de um detector de fase, um filtro de loop, geralmente composto por um passabaixas e um controlador PI, e finalmente um oscilador controlado por tensão, ou VCO.

Figura 11 – Diagrama de blocos de uma PLL genérica. Fonte: (TEODORESCU; LI-SERRE; RODRIGUEZ, 2011)

O detector de fases é responsável por verificar a diferença de fase entre os doissinais, o sinal de entrada e o sinal realimentado. Este processo gera componentes de altafrequência que são filtrados pelo filtro do loop. O VCO por sua vez, tende a ajustar afrequência de seu sinal de saída até que ela seja igual, ou suficientemente próxima, àfrequência de entrada (LATHI, 1998).

A função de transferência da PLL é dada por:

𝐺𝑃 𝐿𝐿 =𝐾𝑝𝑠 + 𝐾𝑝

𝑇𝑖

𝑠2 + 𝐾𝑝𝑠 + 𝐾𝑝

𝑇𝑖

(2.22)

Em sua obra, Pires (2016) afirma que "quanto menor o valor 𝑇𝑖, mais rápida seráa resposta do controlador devido ao menor tempo de casamento entre os dois sinais.Contudo, valores muito pequenos desta variável podem levar o sistema à instabilidade,sendo que valores mais elevados deixam o sistema PLL lento".

Page 38: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 39: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

37

3 Metodologia e Resultados

O foco deste trabalho é a implementação e simulação, em software dedicado, docircuito de potência de um inversor monofásico de tensão em ponte completa, aplicado asistemas de geração éolica de pequeno porte, e do módulo de controle do mesmo, tendocomo base toda a fundamentação teórica apresentada no capítulo anterior (2). A plata-forma escolhida para a simulação do projeto foi o PSIM R○ por possibilitar a simulaçãodos blocos de controle integrados ao circuito de potência. Além do PSIM R○, utilizou-seas ferramenta Sisotool e Simulink do Matlab para sintonizar os ganhos de controladores,adquirir os gráficos dos lugares geométricos das raízes e gráficos de Bode, além de analisaras respostas dos diagramas de blocos propostos. A Figura 12 apresenta uma visão geraldo sistema, incluindo os três blocos principais.

Figura 12 – Visão geral do sistema implementado no PSIM.

A pesquisa bibliográfica foi a base para a metodologia adotada, tomando comoprincipal fonte de referência a obras de Pires (2016). Parte da metodologia demonstradae aplicada em seu projeto foi estudada e reproduzida. Outras fontes de referência comconceitos consolidados acerca do tema discutido tembém foram utilizadas.

Este capítulo apresenta os resultados dos cálculos explicitados no capitulo 2 para adeterminação de parâmetros tais como os valores dos componentes do filtro LCL, ganhosdos controladores PI, estudo da estabilidade do filtro e dos controladores. Além disso,

Page 40: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

38 Capítulo 3. Metodologia e Resultados

aqui serão mostrados os resultados para as simulações do circuito de potência juntamentecom o circuito de controle.

3.1 Parâmetros do Filtro LCL

Como visto na seção 2.3.2, os valores de tensão de barramento 𝑉𝐵𝐴𝑅, tensão da rede𝐸𝑛, potência nominal do inversor 𝑃𝑛, frequência da rede 𝑓𝑛 e frequência de chaveamento𝑓𝑠𝑤, são necessários para determinar os parâmetro do filtro. Os parâmetros cujo o inversoropera, encontram-se na Tabela 2.

Parâmetro Unidade EquivalentePotência Nominal do Conversor (𝑃𝑛) 2.5 kW

Tensão de Barramento (𝑉𝐵𝐴𝑅) 400 VTensão Nominal CA (𝐸𝑛) 220 V

Máxima variação do fator de potência (𝑥) 0.05Frequência de chaveamento (𝑓𝑠𝑤) 10 kHz

Frequência da rede (𝑓𝑛) 60 HzOndulação máxima de corrente de saída (𝑖𝐿−𝑀𝐴𝑋) 10%

Ondulação máxima da tensão de barramento C 2 %

Tabela 2 – Parâmetros nominais do inversor.

Utilizando os valores da Tabela 2, e seguindo o passo-a-passo descrito na seção2.3.2, tem-se:

∙ Usando ripple máximo de saída perimitido de 10%, a partir da Eq.(2.10), 𝑖𝐿−𝑚𝑎𝑥 =1.6𝐴. Desta forma, 𝐿1 = 2.8𝑚𝐻.

∙ A Eq.(2.8) fornece o valor da capacitância base do sistema, e usando 5% deste valorobtêm-se 𝐶𝑓 = 6.85𝜇𝐹 .

∙ Tomando 𝑘𝑎 = 20%, ou seja, resulta num valor de ripple de 2% no barramento CC,pode-se encontrar o valor de 𝑟 da Eq.(2.12) e, assim, o valor de 𝐿2 = 195𝜇𝐻. AFigura 13 mostra a atenuação em função do fator 𝑟.

∙ A frequência de ressonância, calculada pela Eq.(2.14), 𝑓𝑟𝑒𝑠 = 4500𝐻𝑧 atende àrestrição definida em (c).

Page 41: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.1. Parâmetros do Filtro LCL 39

Figura 13 – Gráfico da atenuação em função do fator r.

A resposta em frequência do filtro LCL é exibida na Figura 14. Percebe-se o picode ressonância, pois não há resistor de amortecimento conectado em série com o capacitor𝐶𝑓 .

Agora, utilizando a Eq.(2.15) para calcular o valor da resistência de amortecimento𝑅𝑓 , tem-se que 𝑅𝑓 = 1.7Ω. Pode-se ver a redução do pico de ganho, na Figura 15,na frequência de ressonância quando introduz-se o resistor de amortecimento, portantoo diagrama de bode apresentado é a resposta em frequência da Eq.(2.6). A Tabela 3apresenta os resultados para os valores dos componentes do filtro.

Resultados Unidade EquivalenteIndutor do lado do conversor (𝐿1) 2.89 mH

Indutor do lado da rede (𝐿2) 195 𝜇 HCapacitor (𝐶𝑓 ) 6.85 𝜇 F

Frequência de ressonância (𝑓𝑟𝑒𝑠) 4497 HzResistor de amortecimento (𝑅𝑓 ) 1.72 Ω

Tabela 3 – Tabela de resultados para o filtro LCL.

Page 42: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

40 Capítulo 3. Metodologia e Resultados

Figura 14 – Diagrama de Bode do filtro LCL, função de transferência expressa pelaEq.(2.5).

Figura 15 – Diagrama de Bode do filtro LCL, função de transferência expressa pelaEq.(2.6).

Page 43: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.2. Controlador Proporcional Ressonante 41

3.2 Controlador Proporcional Ressonante

O objetivo do controlador de corrente, neste caso o controlador PR, é ajustara corrente fornecida pelo inversor à rede CA, de forma que esta grandeza assuma osvalores de referência fixados pela estratégia de controle. A Figura 16 mostra o diagramade blocos utilizados para realizar o ajuste deste controlador, contemplando as funções detransferência do sistema, as quais estão apresentadas na sequência.

A função de transferência G se refere ao filtro LCL, a qual está expressa na Eq.(3.1),já considrando os valores calculados. Pode-se considerar, para este estudo, Cf = 0, vistoque, os efeitos do capacitor sob baixas frequências podem ser desprezados. As resistênciaspossuem os seguintes valores: 𝑅1 = 𝑅2 = 1Ω.

𝐻𝐿𝐶𝐿0 = 1(𝐿1𝐿2)𝑠 + (𝑅1 + 𝑅2)

= 10.00299𝑠 + 2 (3.1)

O filtro de anti-aliasing serve para atenuar altas frequências, maiores que a taxa deNyquist, evitando erros que podem ser causados pelo efeito de aliasing, em outras palavras,sobreposição espectral (FERNANDES, 2011). A Eq.(3.2) fornece a função de transferênciado filtro anti-aliasing, a qual se refere ao elemento H da Figura 16. Este elemento secaracteriza por um filtro passa-baixas com frequência de corte igual a 𝑓𝑎 = 𝑓𝑠𝑤

2 , utilizadoem todas as medições feitas nas simulações do circuito e, portanto, deve ser consideradopara encontrar os valores dos ganhos proporcionais e integradores.

𝐹𝑇𝑎𝑎 = 2𝜋𝑓𝑎

𝑠 + 2𝜋𝑓𝑎

= 31415𝑠 + 31415 (3.2)

O Sisotool permite ao usuário escolher a arquitetura do diagrama de blocos eimportar funções de transferência diretamente do workspace do Matlab. Foi escolhidaa arquitetura correspondente à do controlador de corrente da Figura 7, como mostra aFigura 16.

Figura 16 – Bloco correspondente no Sisotool.

Page 44: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

42 Capítulo 3. Metodologia e Resultados

Comparando os diagramas de bloco, o bloco que corresponde à função de transfe-rência do filtro LCL está posicionado sobre o bloco G do Sisotool e sobre o bloco H está afunção de transferência do filtro anti-aliasing. Substituindo os valores nos blocos corres-pondentes e utilizando a função Automated PID tuning, obtém-se o seguinte resultado.

Figura 17 – Gráficos de resposta ao degrau, lugar geométrica das raízes e diagrama deBode, referentes ao diagrama de blocos.

Observando a resposta ao degrau do sistema, percebe-se uma transição suave emrelação a transientes, porém, demorada. O LGR fornece a posição dos polos e zeros e,a partir destes dados, verifica-se a estabilidade do sistema, por não possuir polos nosemiplano direito. O bloco sintonizável, correspondente ao PI, recebe os seguintes valoresmostrados na Figura 18.

Figura 18 – Função de transferância obtida no Sisotool.

A equação da Figura 18 está no formato

𝐶(𝑠) = 𝐾𝑝

𝑠 + 1𝑇𝑖

𝑠(3.3)

Page 45: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.3. Implementação do Sistema no Software PSIM 43

Desta forma, têm-se os valores de 𝐾𝑝 = 0.13798 e 𝑇𝑖 = 0.00036. Substituindo estes valoresna Eq.(2.18), é possível encontrar o ganho do integrador 𝐾𝑖 = 383. Portanto, substituindoos valores de 𝐾𝑝 e 𝐾𝑖 na Eq.(2.16), a equação para o controlador PR fica:

𝐺𝑐(𝑠) = 0.013798 + 383 𝑠

𝑠2 + 𝜔2 (3.4)

Utilizando a função "bode"do Matlab para plotar a resposta em frequência docontrolador PR, obteve-se o seguinte resultado:

Figura 19 – Resposta em frequência do controlador PR.

Assim como o esperado, a resposta em frequência da função de transferência docontrolador proporcional ressonante apresenta curvas semelhante àquela retratada na Fi-gura 6.

3.3 Implementação do Sistema no Software PSIM

O software utilizado para a realização das simulações referentes aos circuitos depotência e de controle foi o PSIM.

O PSIM é um software de simulação especialmente projetado para eletrônica depotência, driver de motores, e conversores de potência. O software conta com uma interfacegráfica agradável e amigável, além de simulações rápidas. Este é o software ideal parasimulação de circuitos e blocos de controle integrados (PSIM, 2016).

Page 46: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

44 Capítulo 3. Metodologia e Resultados

3.3.1 Circuito de Potência e Filtro LCL

O capacitor de barramento foi calculado através da Eq.(2.4), considerando umaondulação na tensão de barramento (Δ𝑉 𝐵𝐴𝑅) de 8%. A Tabela 2 fornece os demais valoresnecessário para o dimensionamento do capacitor de barramento. Substituindo os valoresem 2.4, o capacitor de barramento deve possuir uma capacitância de 1𝑚𝐹 .

O circuito de potência, Figura 20, simulado no PSIM utilizou os dados forncecidosna Tabela 3, além dos valores fornecidos pela Companhia Energética de Brasília, CEB,acerca do equivalente da rede elétrica, vista do ponto de conexão da Faculdade UnBGama, conforme Tabela 4.

Parâmetros Unidade EquivalenteResistência Equivalente (𝑅𝑔) 1 ΩIndutância Equivalente (𝐿𝑔) 8mH

Frequência da rede (𝑓𝑛) 60 Hz

Tabela 4 – Dados da rede.

Figura 20 – Inversor Full-Bridge no PSIM.

3.3.2 PLL

A Figura 21 mostra a implementação da PLL no software PSIM. Neste circuito,vê-se presente o descriminador de fase, o filtro passa-baixas, o controlador PI e o VCO.

Figura 21 – PLL no PSIM.

Page 47: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.3. Implementação do Sistema no Software PSIM 45

O filtro passa-baixa foi modelado de acordo com as considerações feitas por Limaet al. (2015), que define a frequência de corte do filtro como 1

10 da frequência de saídado descriminador de fase como sendo um valor suficiente para obter bons resultados. Odescriminador de fase gera à sua saída o dobro da frequência da rede, 120 Hz. Portanto,deve-se utilizar 12 Hz na frequência de corte do filtro. Os ganhos do controlador PI daPLL foram extraídos de Pires (2016), que define 𝐾𝑃 𝐿𝐿 = 0.2 e 𝑇𝑖𝑃 𝐿𝐿 = 0.02𝑠 para oprojeto do filtro do loop. Por fim, o VCO compara a diferença de fase advinda do detectorde fase com a referência que, por sua vez, é integrado a fim de resultar na velocidadeangular a qual deve oscilar a PLL visando manter o fator de potência unitário.

3.3.3 Controlador de Corrente

A Figura 22 apresenta o bloco de controle de corrente do inversor. Este tem afunção de fornecer corrente proporcinal a que pode ser extraída do barramento CC. Faz-se presente a PLL, seguida do controlador PR e da tensão da rede. Este controladorfornece uma onda de saída senoidal utilizada na modulação SPWM do chaveamento doinversor. A onda de saída, por sua vez, irá determinar a magnitude de corrente injetadana rede, conforme o que pode ser fornecido pelo aerogerador.

Figura 22 – Controlador de tensão e proporcional ressonante.

3.3.4 Resultados de Simulação

Uma vez obtida a representação do inversor monofásico full-bridge, esta seçãoapresenta resultados de estudos dinâmicos, os quais têm o objetivo de elucidar o compor-tamento do sistema. Quanto aos estudos realizados, dentre uma gama de possibilidadesexistentes, optou-se, nesta fase dos trabalhos, pela seleção de 3 condições operativas, ex-plicitadas a seguir:

1. Teste do controlador de corrente, considerando que sua referência permaneça cons-tante.

Page 48: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

46 Capítulo 3. Metodologia e Resultados

2. Teste do controlador de corrente, considerando que sua referência se sujeite a vari-ações.

3. Teste do sistema completo, contemplando os controladores de corrente de saída etensão do barramento CC. Este caso considera uma injeção de corrente contínua nobarramento CC, de forma a representar a energia advinda do aerogerador.

Para os dois primeiros casos, o barramento CC do inversor é considerado ideal,sendo representado por uma fonte de tensão. A terceira situação contempla as condiçõesverificadas em dispositivos reais, a qual considera o barramento CC constituido por umbanco de capacitores e uma malha de controle de tensão deste elemento.

3.3.4.1 Resultados para Corrente de Referência Constante

Conforme informado anteriormente, este teste mantém a referência para a correntede saída do inversor fixa ao longo do tempo. Adicionalmente, esta simulação é ideal paraobservar os resultados do controlador proporcional ressonante, verificar se a corrente estáem fase com a tensão, assim determinar se a PLL está projetada corretamente e, por fimobservar os resultados da filtragem do sinal PWM. Na simulação, foi utilizado um ganhoK na medição para normalizar os parâmetros em relação a maior unidade encontrada.No caso da referência de corrente 𝐼𝐿2, esta é dividida por 𝐾 = 16.07, que é a correntenominal de pico do inversor. Sendo assim, os resultados aqui mostrados serão para o casomais extremo de corrente máxima.

A Figura 23 mostra que o controlador PR, utilizando os valores de 𝐾𝑖 e 𝐾𝑝 daEq.(3.4) obtidos através da simulação no Sisotool, indicam um bom funcionamento docontrolador, pois este possui um ganho que se estabiliza rapidamente. A Figura 23 apre-senta a referência e o valor medido para a corrente.

Figura 23 – Resultado da saída do controlador PR para referência constante.

Page 49: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.3. Implementação do Sistema no Software PSIM 47

Figura 24 – Corrente de referência e corrente sobre 𝐿2, sobrepostas.

Analisando a tensão de saída do inversor antes do filtro LCL e após o mesmo épossível verificar que o filtro cumpriu sua função de atenuar as componentes harmônicasde alta frequência, com uma atenuação de 40 dB, como pode ser visto na Figura 25 e naFigura26.

Figura 25 – Espectro do sinal de tensão sobre 𝐿2.

Figura 26 – Sinal de tensão à saída do inversor e após filtragem.

Page 50: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

48 Capítulo 3. Metodologia e Resultados

Para verificar o funcionamento da PLL, é preciso calcular a diferença de fase entreos sinais de corrente de saída do inversor e a tensão na rede ou o fator de potência. AFigura 27 apresenta os sinais em questão. Utilizando os cursores da janela de simulaçãodo PSIM, calcula-se um atraso de Δ𝑡 = 2.2 × 10−4𝑠, o que resulta numa diferença de fasede aproximadamente 5∘. Para confirmar este resultado, foi calculado o fator de potênciaentre os dois sinais através de uma funcionalidade da janela de simulações do PSIM.

Figura 27 – Tensão e corrente em fase.

Figura 28 – Fator de potência aproximadamente unitário (𝑃𝐹 = 0.998).

3.3.4.2 Resultados para Corrente de Referência Variável

O resultados descritos nesta seção demonstram a capacidade do controlador emseguir a corrente de referência inserida através do controlador de tensão e, assim, injetara quantia correta de corrente na rede de acordo com o disponível.

Utilizando uma fonte de corrente variável, implementada a partir de um bloco deprogramação em C, variou-se a corrente em valores de 20%, 50% e 100% da correntenominal do inversor. Como esperado, a corrente injetada na rede, seguiu a corrente dereferência, como pode ser observado na Figura 29.

Page 51: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.3. Implementação do Sistema no Software PSIM 49

Figura 29 – Corrente de rede seguindo a corrente de saída.

3.3.4.3 Resultados para sistema completo

Para finalizar a seção de simulações, foi implementada uma fonte de corrente nobarramento CC que apresenta um comportamento mais próximo ao de um sistema deaerogeradores, com variações de corrente ao longo do tempo. A partir desta simulação,conforme mostra a Figura 30 e a Figura 31, vê-se que o controlador injeta corrente assimque há potência disponível do lado do conversor e a diminui quando a potência está emfalta.

Figura 30 – Fonte de tensão não ideal.

Figura 31 – Corrente de saída do inversor.

As Figuras 32 e 33 mostram os períodos antes e após a conexão da fonte decorrente no barramento CC, para baixa tensão de barramento e alta tensão de barramento,respectivamente.

Page 52: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

50 Capítulo 3. Metodologia e Resultados

Figura 32 – Corrente de referência e corrente sobre o indutor 𝐿2 com ripple gerado pelaação do controlador PI.

Figura 33 – Corrente sobre o indutor 𝐿2 com fase e magnitude iguais à referência.

3.4 Controle e Aquisição

3.4.1 O DSP

O controlador utilizado para tratar os sinais de entrada e gerar um sinal de saídacompatível com os sinais simulados nas seções anteriores é o DSP TMS320F28335 daTexas Instruments.

Este controlador é operado em conjunto com uma Docking Station, equivalentea uma placa mãe, que permite ao usuário utilizar de maneira facilitada todas as portasdigitais e analógicas, além de fornecer duas áreas de prototipagem, uma de cada lado daplaca. A docking station também facilita o uso do DSP em conjunto com o computador,pois possui interface USB e JTAG. Possui também alimentação via USB ou alimentaçãoexterna, comunicação UART via ponte USB-UART diretamente na placa, e pinos dealimentação para prototipagem de 3.3 V e 5 V.

O DSP TMS320F28335 é um microcontrolador dedicado ao processamento de si-nais, recebendo a denominação de DSP, que segue a linha de arquitetura de Havard,arquitetura de computadores que utilizam dois barramentos distintos para os dados me-mória e instruções afim de otimizar e acelerar o processamento de dados, como pode-seobservar na Figura 34 em que existem três barramentos distintos, o barramento de ins-truções (program bus), o barramento de dados(data bus) e o barramento de acesso direto

Page 53: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.4. Controle e Aquisição 51

à memória (DMA bus, do inglês Direct Memory Access). O barramento DMA é uma es-pecificidade do F28335 cuja função é possibilitar a comunicação direta entre os módulosde memória, temporizadores e o módulo de interrupções e separar o fluxo de dados paraestes módulos dos outros barramentos que estão quase sempre ocupados. Um DSP, por-tanto é um microcontrolador dedicado a realizar as típicas operações matemáticas paramanipular sinais digitais medidos por sensores externos com o objetivo de computar osdados de forma veloz e gerar saídas em tempo real, ou muito próximo disso.

Este DSP conta com uma CPU, do inglês Central Processing Unit, de 32-Bit dealta performance com módulos internos dedicados à execução de interrupções, diminuindoo tempo de resposta a um evento externo. Possui um módulo de cálculo em ponto flu-tuante, o que o distingue dos demais microcontroladores do mercado, por possibilitar ouso de resoluções numéricas maiores e cálculos mais velozes. A velocidade de clock doTMS320F28335 é de 150 MHz, equivalente a 6,67 ns por instrução. O módulo, ADC, doinglês Analog to Digital Converter, possui 12 bits de resolução e 16 canais, considerandotensão máxima de 3.3 V, traduz-se em uma resolução de 0,805 mV. É equipado com duasunidades de Sample-and-Hold que possibilita a conversão de até dois sinais analógicos si-multaneamente. Finalmente, é equipado com oito unidades dedicadas à geração de sinaisde saída no formato PWM em alta resolução.

A linguagem de programação C/C++ é a linguagem de codificação do TMS320 ea interface microcontrolador/Computador é feita através do Code Composer Studios.

Figura 34 – Diagrama de blocos do F28335.

Page 54: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

52 Capítulo 3. Metodologia e Resultados

3.5 Discretização do Sistema

As conversões das equações foram feitas através do software MATLAB com oauxílio da função c2d(), utilizada para converter sistemas dinâmicos de tempo contínuoem sistemas de tempo discreto. A função recebe como entrada a variável que guarda osistema a ser discretizado, a taxa de amostragem e, por fim, o método de cálculo dadiscretização. A taxa de amostragem foi definida como 10 KHz, idêntica à taxa fixadapara o ADC do DSP, e ambos os métodos Zero-Order Hold e Tustin foram empregados.

3.5.1 Discretização da PLL

A PLL da Figura 21 é composta por quatro blocos principais, o filtro passa baixasseguido de um controlador PI, um bloco integrador, um bloco seno e outro bloco cosseno.Com o objetivo de simplificar os cálculos e a codificação em liguagem C, os três primeirosblocos foram discretizados separadamente não havendo a necessidade de discretizar osblocos correspondentes às funções trigonométricas, pois estas possuem funções bem defi-nidas na linguagem de computação adotada no presente trabalho. As Eq.(3.5), Eq.(3.6) eEq.(3.7) são as funções em tempo contínuo que definem o filtro passa baixas, o controladorPI e o integrador da PLL, respectivamente.

𝑃𝐵𝑃 𝐿𝐿(𝑠) = 24𝜋

𝑠 + 24𝜋(3.5)

𝑃𝐼𝑃 𝐿𝐿(𝑠) = 0.2(1 + 0.25𝑠)0.25𝑠

(3.6)

𝑆𝑃 𝐿𝐿(𝑠) = 1𝑠

(3.7)

A discretização destas equações, utilizando o taxa de amostragem de 0.0001 se o método de zero-order hold, gera funções equivalentes no domínio Z descritas pelasEq.(3.8), Eq.(3.9) e Eq.(3.10) para suas respectivas funções no tempo contínuo.

𝑃𝐵𝑃 𝐿𝐿(𝑧) = 𝑦𝑃 𝐵(𝑧)𝑥𝑃 𝐵(𝑧) = 0.007511

𝑧 − 0.9925 (3.8)

𝑃𝐼𝑃 𝐿𝐿(𝑧) = 𝑦𝑃 𝐼(𝑧)𝑥𝑃 𝐼(𝑧) = 0.2𝑧 − 0.1992

𝑧 − 1 (3.9)

𝑆(𝑧) = 𝑦𝑆(𝑧)𝑥𝑆(𝑧) = 0.0001

𝑧 − 1 (3.10)

Page 55: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.5. Discretização do Sistema 53

A equação discreta é calculada multiplicando-se o numerador e o denominador daequação por 𝑧−𝑛, onde 𝑛 é o maior expoente da equação.

𝑃𝐵𝑃 𝐿𝐿(𝑧) = 𝑦𝑃 𝐼(𝑧)𝑥𝑃 𝐼(𝑧) = 0.007511𝑧−1

1 − 0.9925𝑧−1 (3.11)

𝑃𝐼𝑃 𝐿𝐿(𝑧) = 𝑦𝑃 𝐼(𝑧)𝑥𝑃 𝐼(𝑧) = 0.2 − 0.1992𝑧−1

1 − 𝑧−1 (3.12)

𝑆(𝑧) = 𝑦𝑆(𝑧)𝑥𝑆(𝑧) = 0.0001𝑧−1

1 − 𝑧−1 (3.13)

Os termos do denominador representam as saídas do sistema e os termos do nu-merador representam as entradas do sistema. Todos os termos multiplicados por 𝑧−𝑛 sãoas entradas atrasadas em 𝑛 no tempo discreto. Dessa forma, obtêm-se as formas discretasdas expressões substituindo-se os termos 𝑧−𝑛 por seu termo correspondente de entradas𝑥[𝑘 − 𝑛] e saídas 𝑦[𝑘 − 𝑛] atrasadas. As equações Eq.(3.14), Eq.(3.15) e Eq.(3.16), são asdiscretizações das equaçoes iniciais; Eq.(3.5), Eq.(3.6) e Eq.(3.7), respectivamente.

𝑦𝑃 𝐵[𝑘] = 0.007511𝑥𝑃 𝐵[𝑘 − 1] + 0.9925𝑦𝑃 𝐵[𝑘 − 1] (3.14)

𝑦𝑃 𝐼 [𝑘] = 0.2𝑥𝑃 𝐼 [𝑘] − 0.1992𝑥𝑃 𝐼 [𝑘 − 1] + 𝑦𝑃 𝐼 [𝑘 − 1] (3.15)

𝑦𝑆[𝑘] = 0.0001𝑥𝑆[𝑘] + 𝑦𝑆[𝑘 − 1] (3.16)

Onde 𝑘 ∈ N.

3.5.2 Discretização do Controlador

A discretização do controlador segue a mesma linha de raciocínio descrita na seção3.5.1. Primeiro, é utilizada a função c2d(sys, Ts, method) do MATLAB para transformaras equações do domínio 𝑠 para o domínio 𝑧. Em seguida, calculam-se as equações dasdiferenças multiplicando o numerador e o denominador por 𝑧−𝑛, onde 𝑛 é um númerointeiro correspondente ao maior expoente da equação. Por fim, separam-se as entradas esaídas da equação e, assim, determinam-se as as equações discretizadas de cada bloco quecompõe o controlador.

Page 56: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

54 Capítulo 3. Metodologia e Resultados

3.5.2.1 Controle de Tensão

A equação em tempo contínuo do controlador PI de tensão é dada pela Eq.(3.17).

𝑃𝐼𝑉𝐵𝐴𝑅(𝑠) = 0.4𝑠 + 0.5

0.8𝑠(3.17)

A equação Eq.(3.18) representa sua forma final de discretização.

𝑃𝐼𝑂𝑈𝑇 [𝑘] = 0.5𝐸𝑟𝑉 𝐵𝐴𝑅[𝑘 − 1] − 0.4997𝐸𝑟𝑉 𝐵𝐴𝑅[𝑘 − 1] + 𝑃𝐼𝑂𝑈𝑇 [𝑘 − 1] (3.18)

A variável 𝐸𝑟𝑉 𝐵𝐴𝑅 representa as entradas do sistema e a variável 𝑃𝐼𝑂𝑈𝑇 corres-ponde à saída do sistema que será passada como entrada do próximo bloco.

3.5.2.2 Proporcional Ressonante

Retomando a Eq.(3.4), sua forma discretizada é dada por duas equações distintas,já que o controlador proporcional ressonante é constituído por dois blocos distintos, otermo proporcional e o termo ressonante. O termo proporcional é simplesmente um ganhoadicionado à saída do termo ressonante, deste modo a equação do termo ressonante éexpressa na Eq.(3.19).

𝑦𝑅[𝑘] = 0.001498𝑥 + 𝑅[𝑘] − 0.001498𝑥𝑅[𝑘 − 2] + 1.997𝑦𝑅[𝑘 − 1] − 0.998𝑦𝑅[𝑘 − 2] (3.19)

Adicionando o ganho proporcional à Eq.(3.19), obtém-se a Eq.(3.20) que corres-ponde à saída do Controlador proporcional ressonante.

𝑃𝑅𝑂𝑈𝑇 = 𝑦𝑅[𝑘] + 𝐾𝑃 𝑅[𝑘] (3.20)

3.6 Implementação do Sistema DiscretoEsta seção é dedicada a apresentar os métodos de implementação do controle

digital baseados, principalmente, na discretização das equações que definem cada umdos controladores e simulações que validam o funcionamento do sistema tanto em tempocontínuo, quanto em tempo discreto. Além disso, será exibida e explicada a implementaçãodo código em linguagem C empregado para simular este funcionamento. O sistema foitestado sob aspectos semelhantes aos dos testes realizados nas seções anteriores para oscasos de corrente de referência constante, corrente de referência variável e para o sistemacompleto, porém calculados de maneira digital.

Page 57: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.6. Implementação do Sistema Discreto 55

O software PSIM, em seu variado arcabouço, dispõe de blocos de programação emlinguagem C integrado ao ambiente de simulação o qual possibilita ao usuário introduzirsistemas originais de uso próprio inexistente na sua diversificada palheta de ferramentas.O bloco de programação em linguagem C, Figura 35, permite criar um dispositivo comnúmeros determinados de entradas e saídas que são atualizadas a cada período de tempodefinido no controle da simulação.

Figura 35 – Sistema reduzido em CBLOCK.

3.6.1 Implementação Digital da PLL

Uma característica notável das equações em tempo discreto é a presença de termosatrasados no tempo. Para replicar este comportamento, as variáveis de armazenamentodos valores das entradas e saídas foram declaradas na forma de vetores com tamanhoigual ao expoente 𝑧𝑛 bastando um simples deslocamento das varáveis dentro do vetorpara atualizar seus valores a cada ciclo da simulação.

Page 58: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

56 Capítulo 3. Metodologia e Resultados

Figura 36 – Declaração das variáveis da PLL no CBLOCK.

A Figura 36 mostra a janela do CBlock na aba de definição de seus parâmetroscomo o número de entradas e saídas e a definição das variáveis utilizadas no código fonteda PLL.

O código fonte da PLL é apresentado a seguir.

// Variaveis de entrada para filtro PBxLP[1] = xLP[0];xLP[0] = in[0]*YQ;

// Variaveis de saida para filtro PByLP[1]=yLP[0];

// Equaçoes de diferenças para Filtro PByLP[0] = 0.007511*xLP[1]+0.9925*yLP[1];

// Variaveis de entrada para PI

Page 59: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.6. Implementação do Sistema Discreto 57

xPI[1] = xPI[0];xPI[0] = yLP[0];

// Variaveis de saida para PIyPI[1]= yPI[0];

// Equaçoes de diferenças para PIyPI[0] = 0.2*xPI[0] + (-0.1992)*xPI[1] - (-1)*yPI[1];

// Variaveis de entrada para integradorxS[1] = xS[0];xS[0] = yPI[0] + 376.99;

// Variaveis de saida para integradoryS[1] = yS[0];

// Equaçoes de diferenças para integradoryS[0] = 0.0001*xS[0] + yS[1];

// Calculo dos termos direto e em quadratura do sinalYQ = cos(yS[0]);YD = sin(yS[0]);

// Angulo de fase da tensao de entradaout[0] = YD;

Inicialmente, é feita a atualização dos valores armazenados nas posições inicias dosvetores para os termos de tempo mais atrasados. A atualização sempre será feita antes dequalquer cálculo. Os endereços inicias de cada vetor guardam o valor mais atual de cadaequação, como destaca a Eq.(3.21) a relação de equivalência entre o termo mais atualda Eq.(3.14) do filtro passa-baixas e o termo mais atual guardado pela posição inicial dovetor.

𝑦𝑃 𝐵[𝑘] ↔ 𝑦𝐿𝑃 [0] (3.21)

Em seguida, o valor de entrada do CBLOCK é multiplicado pelo termo em quadra-tura da malha de realimentação da PLL. Todas as variáveis são inicializadas com o valor0, como mostra a Figura 36. Portanto, o primeiro valor de 𝑌 𝑄 é unitário pois correspondeao cosseno de 0 significando a ativação do sistema com o valor da entrada do CBLOCK.

Page 60: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

58 Capítulo 3. Metodologia e Resultados

Como cada bloco foi discretizado separadamente, este processo de atualização devariáveis se repete constantemente no decorrer do código. A saída mais atual do blocoantecedente irá fornecer a entrada mais atual do bloco subsequente, como por exemplo,xPI[0]=yLP[0].

À entrada do integrador é adicionado o valor da referência de frequência de osci-lação, por isso ao termo xS[0] é atribuído o valor da saída do controlador PI acrescidopelo valor de 𝜔 = 376.99𝑟𝑎𝑑/𝑠.

Finalmente, os termos em fase e quadratura são calculados por meio das funçõesmatemáticas trigonométricas já presentes no compilador do PSIM. O termo em fase seráa saída da PLL e o termo em quadratura será a malha de realimentação da PLL.

A Figura 37 mostra o fator de potência calculado pela ferramenta de visualizaçãode gráficos do PSIM que se manteve aproximadamente unitário, assim como para o casoda PLL analógica simulada anteriormente.

Figura 37 – Fator de potência da PLL discretizada (𝑃𝐹 = 0.987).

3.6.2 Implementação Digital do Controlador

A implementação digital do controlador completo, ou seja, controlador de tensão econtrolador proporcional ressonante, deu-se de maneira análoga à implementação da PLLdigital. Primeiramente foram declaradas as variáveis na forma de vetores para armazenaros valores dos termos atuais e atrasados de cada bloco. Em seguida o código seguiu aformatação da implementação vista na PLL, atualizando as variáveis de tempo atrasadasantes da realização de qualquer cálculo.

Page 61: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.6. Implementação do Sistema Discreto 59

Figura 38 – Declaração das variáveis do controlador no CBLOCK.

O código que rege o funcionamento do controlador é apresentado a seguir.

Erro_Vbar[1] = Erro_Vbar[0];Erro_Vbar[0] = in[0] - 1;PI_OUT[1] = PI_OUT[0];

PI_OUT[0] =0.5*Erro_Vbar[0] - 0.4997*Erro_Vbar[1]+PI_OUT[1];

I_ref = PI_OUT[0]*in[3];

Erro_I[2] = Erro_I[1];Erro_I[1] = Erro_I[0];Erro_I[0] = I_ref - in[1];

// Açao proporcionalPR_K_OUT[1] = PR_K_OUT[0];

Page 62: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

60 Capítulo 3. Metodologia e Resultados

PR_K_OUT[0] = 0.13*Erro_I[0];

//Açao ressonantePR_R_OUT[2] = PR_R_OUT[1];PR_R_OUT[1] = PR_R_OUT[0];

PR_R_OUT[0] = 0.001498*Erro_I[0] - 0.001498*Erro_I[2] + 1.997*PR_R_OUT[1] - 0.998*PR_R_OUT[2];

PR_OUT = PR_K_OUT[0] + PR_R_OUT[0];

out[0] = PR_OUT + in[2];

3.7 Resultados das Simulações em tempo DiscretoBem como na seção 3.3.4, os resultados do presente trabalho serão analisados sob

a perspectiva de três condições operativas distintas, explicadas a seguir:

1. Teste do controlador de corrente, considerando que sua referência se sujeite a vari-ações.

2. Teste do sistema completo, contemplando os controladores de corrente de saída etensão do barramento CC. Este caso considera uma injeção de corrente contínua nobarramento CC, de forma a representar a energia advinda do aerogerador.

3.7.1 Referência de Corrente Variável

Para avaliar o bom funcionamento do sistema discreto sob a ação de correntes va-riáveis, faz-se necessário aplicar correntes de diferentes amplitudes em períodos de tempodistintos visando manter os valores simulados para o sistema em tempo discreto iguais oupróximos ao sistema em tempo contínuo. Um pequeno trecho de código foi acrescentadoao controlador para simular o efeito da variação de corrente sobre o sistema, fazendo-ovariar entre 20%, 50%, 100% e 70%.

if(t<=2.5){A=0.2;}else if(t>2.5 && t<=5.0){A = 0.5;

Page 63: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.7. Resultados das Simulações em tempo Discreto 61

}else if(t>5.0 && t<=7.5){A=1.0;}else{A = 0.7;}I_ref = A*in[3];

O código acima faz variar amplitude da corrente e multiplica este sinal à saída daPLL para manter tensão e corrente de rede em fase.

Figura 39 – Corrente de referência e corrente medida em 𝐿2, com variação de amplitudede 0.038𝐴.

3.7.2 Sistema Completo

O teste de sistema completo é realizado sob condições extremas de variação e in-jeção de correntes para verificar a capacidade do sistema de acompanhar as eventuaisvariações que um inversor monofásico pode ser submetido durante sua operação permi-tindo analisar a eficácia do sistema projetado em fornecer energia de qualidade e atenderos duros critérios aos quais são submetidos neste âmbito.

Foram utilizadas três fontes degraus de corrente para criarem picos de correnteno barramento CC a cada 3 segundos com 3 segundos de duração cada. O resultado dasimulação é apresentado na Figura.

Page 64: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

62 Capítulo 3. Metodologia e Resultados

Figura 40 – Corrente de saída do inversor discreto comparada à corrente de referência etensão de entrada.

O controlador proporcional integral de tensão, que calcula a diferença da tensãono barramento CC e a tensão de referência, gera um erro o qual se multiplica pela saídada PLL e dá origem a um sinal de corrente em que o erro corresponde a envoltória destesinal, Figura 41. Este sinal de corrente, por sua vez, é subtraído do valor de corrente dereferência para, então, fornecer a amplitude de corrente necessária para alimentar a rede.

Figura 41 – Sinal de corrente (vermelho) e sinal de saída do PI de tensão (azul) .

É possível perceber pequenos períodos com distúrbios transitórios indesejados nosistema quando há o acréscimo de corrente, Figura 42, porém esta instabilidade não sedá quando a corrente no sistema é diminuída. A dificuldade de atingir a estabilidade érelacionada ao projeto do controlador PI e, portanto, aos valores dos ganhos proporcionaise integrais que definem, também, os ganhos do controlador proporcional ressonante. Asintonização dos ganhos do controlador foi feita para atingir a estabilidade da forma maislenta e, neste caso, sem overshoot, como mostra a Figura 17.

Figura 42 – Picos de instabilidade nos momentos de acréscimo de corrente.

Page 65: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

3.7. Resultados das Simulações em tempo Discreto 63

A instabilidade verificada nos momentos de aumento de corrente aparecem nasaída do controlador PR e propagam-se no sistema, pois, quando é feita a comparaçãoentre o sinal de saída do controlador PR como a onda portadora triangular, há o fenômenoda sobremodulação, dada quando o sinal de comparação ultrapassa o valor máximo daamplitude da portadora, como pode ser visto na Figura.

Figura 43 – Efeito de propagação de instabilidade para o sistema gerado pela sobremo-dulação (espaços em braco no PWM).

Ainda sobre o controlador PR, pode-se verificar o comportamento esperado destedispositivo conforme visto para o mesmo dispositivo implementado analogicamente, Fi-gura 23, quando sua amplitude de saída tende a atingir um valor máximo crescendolinearmente até estabilizar-se.

Page 66: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA
Page 67: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

65

4 Considerações Finais

Sob a ótica do fornecimento de qualidade de energia elétrica verificou-se, no pre-sente trabalho, a importância e necessidade de estratégias capazes de atribuir valoresqualitativos ao fornecimento energético baseados em modelos de geração inconstantes, ca-racterística tipicamente atribuída às fontes energéticas primárias verifica sua dependênciaem fatores meteorológicos, climáticos e sazonais. O impacto da integração de fontes ener-géticas primárias sem o devido tratamento poderia acarretar sérios distúrbios ao corretofuncionamento da rede elétrica externa, mas o modelo matemático obtido não só permiteintegrar essas matrizes na rede externa como possibilita sua participação ativa no controlee estabilização da rede por sua habilidade conferida de estabilizar flutuações de tensão,variações de frequência, inconstância de potência ativa e reativa e injeções abruptas decorrente.

A elaboração deste trabalho fomentou achados que servirão de ponto de partidapara trabalhos futuros, como é o caso da implementação digital do sistema completo,dada a facilidade na conversão deste modelo matemático discreto codificado em linguagemC, amplamente utilizada na programação de microcontroladores e DSPs, para aplicaçãodigital fora do ambiente de simulação e podendo se esperar resultados positivos quanto aaplicação do sistema tendo em vista os profícuos resultados computacionais.

Outro achado é a descrição detalhada das etapas de projeto de um inversor mono-fásico em ponte completa que pode ser adotada como base para outros estudos e projetosneste ramo do conhecimento levando em consideração cada um dos dispositivos necessáriospara constituir um tipo de inversor confiável, seus detalhamento completo e modelagemmatemática, bem como a exposição do processo de discretização das equações para apli-cação em sistemas reais.

Os dispositivos adotados para o sistema de controle do inversor mostram-se umfirme alicerce e uma alternativa confiável e descomplicada por fazerem uso de estruturasmuito bem difundidas, como a PLL, e outras estruturas não tão presentes neste cenário,como o controlador proporcional ressonante.

Não só os aspectos das estratégias de controle foram importantes para a consecu-ção deste feito, mas também o projeto de filtros analógicos e digitais, como o filtro LCLde acoplação do inversor à rede que se provou extremamente eficaz em atenuar as compo-nentes de alta frequência intrínsecos do funcionamento de um inversor de forma eficiente,barata e reduzida quando comparada aos antigos e obsoletos métodos de filtragem incom-patíveis com a tecnologia atual feitos, anteriormente, por filtros indutivos. ALém disso,as proporções reduzidas dos valores nominais dos capacitores e indutores que compõem o

Page 68: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

66 Capítulo 4. Considerações Finais

filtro são facilmente reproduzíveis comercialmente.

Por fim, o projeto, como um todo, mostrou-se eficiente e sua implementação, tantoanalógica quanto digital, ostentaram bons resultados no controle da frequência do sistemae ,consequentemente, no controle da flutuação de potência ativa e reativa, na obtenção deparâmetros para realização do controle através dos diversos controladores proporcionaisintegrais, na resposta do sistema a diferentes estímulos, na resposta do sistema discreto,respeitando e confirmando os parâmetros e resultados demonstrados na literatura especi-alizada.

Page 69: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

67

Referências

AHMED, A. Eletrônica de Potência. 1. ed. [S.l.]: Prentice Hall, 2000. Citado na página23.

DINIZ, P. S.; SILVA, E. A. D.; NETTO, S. L. Digital signal processing: system analysisand design. [S.l.]: Cambridge University Press, 2010. Nenhuma citação no texto.

FERNANDES, P. G. G. FILTRO ANTI-ALIASING PARA SISTEMA DE AQUISIÇÃOSINCRONIZADA IMPLEMENTADO EM FPGA. Tese (Doutorado) — UniversidadeFederal do Rio de Janeiro, 2011. Citado na página 41.

FREITAS, D. Controlo de um inversor monofásico para ligação à rede de um geradorsíncrono de Ímanes permanentes. 2013. Citado 2 vezes nas páginas 30 e 34.

FUGITA, S. D. et al. Hall sensors applied as transducers to smart meters in the contextof power quality. In: IEEE. Innovative Smart Grid Technologies Latin America (ISGTLA), 2013 IEEE PES Conference On. [S.l.], 2013. p. 1–5. Nenhuma citação no texto.

GUO, X.-Q.; WU, W.-Y.; GU, H.-R. Phase locked loop and synchronization methods forgrid-interfaced converters: a review. Przeglad Elektrotechniczny, v. 87, n. 4, p. 182–187,2011. Citado na página 35.

HAVA, A. M.; AYHAN, U.; ABAN, V. V. A dc bus capacitor design method for variousinverter applications. In: IEEE. Energy Conversion Congress and Exposition (ECCE),2012 IEEE. [S.l.], 2012. p. 4592–4599. Citado na página 26.

KAHLANE, A.; HASSAINE, L.; KERCHI, M. Lcl filter design for photovoltaic gridconnected systems. 2014. Citado na página 27.

LATHI, B. Modern Digital and Analog Communication Systems. 3. ed. [S.l.]: OxfordUniversity Press, 1998. Citado na página 35.

LIMA, G. B. d. et al. Proposta de retificadores híbridos com suportabilidade aafundamentos de tensão utilizando técnica de compensação série de tensão nobarramento cc. Universidade Federal de Uberlândia, 2015. Citado na página 45.

LISERRE, M. Design and control of an lcl-filter-based three-phase active rectifier. IEEETRANSACTIONS ON INDUSTRY APPLICATIONS, 2005. Citado 4 vezes nas páginas26, 27, 28 e 29.

OGATA, K. Engenharia de Controle Moderno. 3. ed. [S.l.]: LTC - Livros Técnicos eCientíficos, 2000. Citado 4 vezes nas páginas 9, 32, 33 e 34.

PHOTOVOLTAICS, D. G.; STORAGE, E. Ieee application guide for ieee std 1547TM,ieee standard for interconnecting distributed resources with electric power systems. 2009.Citado na página 20.

PIRES, L. Projeto e desenvolvimento de um inversor solar ponte completa bidirecionalpara microrredes cc conectadas À rede ca em baixa tensÃo. 2016. Citado 5 vezes naspáginas 26, 30, 35, 37 e 45.

Page 70: CONTROLE DE INVERSOR MONOFÁSICO PARA APLICAÇÃO EM …€¦ · Universidade de Brasília - UnB Faculdade UnB Gama - FGA Engenharia Eletrônica CONTROLE DE INVERSOR MONOFÁSICO PARA

68 Referências

POMILIO, J. Eletrônica de potência para geração, transmissão e distribuição de energiaelétrica. 2013. Citado na página 23.

POWERSIM INC. PSIM User’s Guide. [S.l.], 2016. Citado na página 43.

RASHID, M. Power Electronics Handbook. 3. ed. [S.l.]: Butterworth-Heinemann, 2007.Citado 2 vezes nas páginas 11 e 24.

REIS, A. et al. Uma contribuição para o controle operativo de unidades eólicas:modelagem, regulação de tensão e minimização das distorções harmônicas. UniversidadeFederal de Uberlândia, 2015. Citado na página 20.

SIMOES, M. et al. Lcl filter design and performance analysis for grid interconnectedsystems. 2013. Citado 3 vezes nas páginas 9, 27 e 28.

TEODORESCU, R.; BLAABJERG, F. Proportional-resonant controllers. a new breedof controllers suitable for grid-connected voltage-source converters. 2004. Citado 3 vezesnas páginas 9, 31 e 32.

TEODORESCU, R.; LISERRE, M.; RODRIGUEZ, P. Grid Converters for Photovoltaicand Wind Power Systems. 1. ed. [S.l.]: Wiley, 2011. Citado 2 vezes nas páginas 9 e 35.

TSILI, M.; PAPATHANASSIOU, S. A review of grid code technical requirements forwind farms. IET Renewable Power Generation, IET, v. 3, n. 3, p. 308–332, 2009. Citadona página 20.

TURBINES—PART, W. 21: Measurement and assessment of power quality characteristicsof grid connected wind turbines. IEC Standard, p. 61400–21, 2008. Citado na página 20.

ZONG, X. A single phase grid connected dc/ac inverter with reactive power control forresidential pv application. 2011. Citado na página 28.