bg thiet ke logic so chuong 4 phuongphapthietkeso

92
1 HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG BÀI GIẢNG MÔN THIẾT KẾ LOGIC SỐ Giảng viên: TS. Nguyễn Ngọc Minh Điện thoại/E-mail: 84-4- 3351 9391 Bộ môn: KTĐT-Khoa KTĐT Học kỳ/Năm biên soạn: Kỳ 1/2012

Upload: do-toan

Post on 10-Dec-2015

56 views

Category:

Documents


13 download

DESCRIPTION

nbkj

TRANSCRIPT

Page 1: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

1

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG

BÀI GIẢNG MÔN

THIẾT KẾ LOGIC SỐ

Giảng viên: TS. Nguyễn Ngọc Minh

Điện thoại/E-mail: 84-4- 3351 9391

Bộ môn: KTĐT-Khoa KTĐT

Học kỳ/Năm biên soạn: Kỳ 1/2012

Page 2: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

2

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

TS. Nguyễn Ngọc Minh

Khoa KTĐT1

Page 3: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

3

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

NỘI DUNG CHÍNH CỦA CHƯƠNG

4.1 CÁC PHƯƠNG PHÁP MÔ TẢ MẠCH SỐ

4.2 MÔ HÌNH RTL

4.3 THIẾT KẾ MẠCH TỔ HỢP DÙNG VHDL

4.4 THIẾT KẾ MẠCH TUẦN TỰ CƠ BẢN DÙNG VHDL

4.5 MÁY TRẠNG THÁI HỮu HẠN FSM

4.6 MÁY TRẠNG THÁI ASM

4.7 PHƯƠNG PHÁP THIẾT KẾ TOP-DOWM

4.8 PHƯƠNG PHÁP THIẾT KẾ ĐỒNG BỘ

4.9 PHƯƠNG PHÁP THIẾT KẾ KHÔNG ĐỒNG BỘ

Page 4: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

4

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1 CÁC PHƯƠNG PHÁP MÔ TẢ MẠCH SỐ

Page 5: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

5

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1 MÔ HÌNH BIỂU DIỄN HỆ THỐNG SỐ

Page 6: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

6

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1 MÔ HÌNH BIỂU DIỄN HỆ THỐNG SỐ

Mô hình hoạt động (Behavioral views): là mô hình mô tả hoạt động, chức năng của hệ thống dưới dạng mối quan hệ giữa đầu vào, đầu ra, và định thời mà không quan tâm đến cấu trúc bên trong của hệ thống.

+ Hình thức biểu diễn: được biểu diễn bởi mô tả chức năng, hoạt động của hệ thống bằng ngôn ngữ tự nhiên, giản đồ thời gian (sơ đồ định thời), phương trình logic, bảng trạng thái, lưu đồ giải thuật, đồ hình trạng thái.

+ Đây là mô hình có mức độ trừu tượng cao nhất, và thường rất thích hợp cho các mục đích mô phỏng, gỡ rối nhanh cho hệ thống.

Page 7: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

7

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1 MÔ HÌNH BIỂU DIỄN HỆ THỐNG SỐ

Mô hình cấu trúc (Structural views) : là mô hình mô tả cấu trúc bên trong của hệ thống gồm các thành phần con và các kết nối giữa chúng. Mô hình này giống như sơ đồ khối hay mạch nguyên lý của hệ thống.

+ Hình thức biểu diễn: được mô tả theo mô hình cấu trúc có thể gồm các cấu trúc cổng logic cơ bản, các Flip-Flop…

+ Kiểu mô tả này cũng độc lập với công nghệ thực hiện mạch, tuy nhiên để tăng tính tối ưu cho mạch, mô hình cấu trúc logic thường này thường sử dụng các cấu trúc logic đã được xây dựng sẵn, hoặc chọn trong thư viện của nhà cung cấp phù hợp với loại công nghệ sử dụng.

Page 8: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

8

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1 MÔ HÌNH BIỂU DIỄN HỆ THỐNG SỐ

Mô hình vật lý (Physical views): là mô hình mô tả đặc tính vật lý của hệ thống và thêm vào các thông tin chi tiết cho mô hình cấu trúc như xác định kích thước hay vị trí vật lý của các linh kiện trên bo mạch hay trên phiến bán dẫn, cũng như các đường dẫn vật lý của mối đường kết nối.

+ Hình thức biểu diễn: biểu diễn mạch in PCB của bo mạch hệ thống, layout của của IC…

+ Hệ thống số được mô tả ở mức độ chi tiết nhất, tới cấu trúc vật lý bán dẫn, cũng như cấu trúc bên trong những tài nguyên đã sẵn có trong cấu kiện, cách này tối ưu cho việc tổng hợp trên loại cấu kiện, công nghệ đã sử dụng.

Page 9: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

9

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 CÁC MỨC ĐỘ TRỪU TƯỢNG TRONG MÔ TẢ HỆ THỐNG SỐ

Page 10: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

10

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 CÁC MỨC ĐỘ TRỪU TƯỢNG TRONG MÔ TẢ HỆ THỐNG SỐ

Mô tả mức mạch điện (Circuit Level or Transistor Level): Đây là mức mô tả có mức độ trừu tượng thấp nhất. Hệ thống được mô tả theo mô hình cấu trúc sử dụng các cấu trúc cơ bản như Transistor, Điện trở, Tụ điện, … hay theo mô hình hoạt động sử dụng hệ phương trình vi phân.

+ Ở mức mô tả này hệ thống số được tính toán như một hệ thống tương tự, mà trong đó tín hiệu tín toán theo mức điện áp biến đổi theo gian và có giá trị trong khoảng liên lục. Hệ thống cũng có thể đươc mô tả theo mô hình vật lý sử dụng cấu trúc bán dẫn của các cấu kiện và kết nối giữa chúng.

Page 11: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

11

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 CÁC MỨC ĐỘ TRỪU TƯỢNG TRONG MÔ TẢ HỆ THỐNG SỐ

Mô tả mức logic (Logic Lever or Gate Level): Hệ thống được mô tả sử dụng các cấu trúc cổng logic sơ bản như AND, OR, XOR, MUX, phần tử nhớ cơ bản như Flip-Flops… Tín hiệu được biểu diễn theo các mức logic ‘0’, ‘1’ và hoạt động vào- ra được tính toán theo hàm boolean.

+ Ở mức mô tả này số lượng cổng NAND 2 đầu tương đương trong mạch được sử dụng để đánh giá mức độ phức tạp của hệ thống.

Page 12: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

12

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 CÁC MỨC ĐỘ TRỪU TƯỢNG TRONG MÔ TẢ HỆ THỐNG SỐ

Mô tả mức RTL (Register Transfer Level – Mức truyền đạt thanh ghi): Hệ thống được mô tả sử dụng các mô-đun chức cơ bản như: các bộ cộng, bộ so sánh, khối nhớ, thanh ghi, Mux, Demux… , hoạt động của hệ thống số được xác định bởi cách dữ liệu xử lý và chuyển đổi giữa các thanh ghi lưu trữ.

+ Mô tả theo mô hình hoạt động ở mức này sử dụng những mô tả chung để xác định các phép toán chức năng và định tuyến dữ liệu, và sử dụng máy trạng thái FSM để mô tả hoạt động của hệ thống. Đặc điểm quan trọng của mô tả mức RTL là sử dụng tín hiệu clock chung cho các cấu trúc nhớ. Mô hình vật lý cho mức mô tả RTL được gọi chung là floorplan. Nó rất hữu ích cho chung ta tìm ra những đường thấp nhất giữa các phần tử nhờ và xác định chu kỳ clock.

Page 13: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

13

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 CÁC MỨC ĐỘ TRỪU TƯỢNG TRONG MÔ TẢ HỆ THỐNG SỐ

Mô tả mức hệ thống (System Level or Processor Level): là mô tả có mức trừu tượng cao nhất. Các khối cơ bản được sử dụng để xây dựng hệ thống là các khối IP (Intellectual Properties) như các bộ xử lý, bộ nhớ, giao tiếp bus,… Mô tả theo mô hình hoạt động ở mức này giống như chương trình lập trình theo giải thuật như các ngôn ngữ lập trình bao bồm các bước tính toán và truyền thông.

Page 14: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

14

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Page 15: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

15

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Ví dụ: Mô tả theo mô hình cấu trúc

Mô hình cấu trúc mức hệ thống điển hình của hệ thống số. Trong đó hệ thống số được xây dựng từ các khối chính như Vi xử lý, Bộ nhớ, giao tiếp bộ nhớ,…

Page 16: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

16

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Datapath(Execution

Unit)

Controller(Control

Unit)

Data Inputs

Data Outputs

Control Inputs

Control Outputs

Control Signals

StatusSignals

CPU

Datapath(Execution

Unit)

Controller(Control

Unit)

Data Inputs

Data Outputs

Control Inputs

Control Outputs

Control Signals

StatusSignals

CPU

+ Khối xử lý trung tâm (CPU) : Khối này gồm 2 thành phần:- Khối Đường dữ liệu (Datapath): Thực hiện các phép xử lý số liệu của hệ thống số, chúng thường gồm các khối thanh ghi, bộ đếm, ghép kênh, ALU – khối tính toán số học và logic....- Khối điều khiển (Control Unit): Chức năng điều khiển chính cho khối Datapath để thực hiện các bước xử lý số liệu như mong muốn.

ISA (Instruction set Architecture – Kiến trúc tập lệnh): Tập lệnh để lập trình hoạt động cho CPU. Thường chỉ có trong các hệ thống phức tạp, đa dụng như các bộ vi xử lý

Ví dụ: Mô tả theo mô hình hoạt động mức RTL

Page 17: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

17

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Ví dụ: Mô tả theo mô hình cấu trúc mức RTL

Page 18: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

18

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mô tả theo mô hình cấu trúc là mô tả các phần tử con bên trong hệ thống và sự kết nối của các phần tử con đó

Mô tả theo mô hình cấu trúc (tt)

Ví dụ: Viết VHDL mô tả theo mô hình cấu trúc một thanh ghi 4 bit được xây dựng từ 4 triger D

Page 19: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

19

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1.2 Phương pháp mô tả theo mô hình hoạt động (Behavioral)

Mô hình hoạt động là mức độ mô tả trừu tượng nhất, cụ thể là mô tả theo chức năng của hệ thống

Đặc điểm của phương pháp này là nhập thiết kế nhanh, nhưng cấu trúc của phần cứng thường không rõ

Ví dụ: mô tả chức năng hệ thống là: Nếu mỗi khi có một sensor nào đó được kích hoạt, thì hệ thống kiểm tra mã bàn phím. Nếu sau 20 giây mà không có mã bàn phím nhập đúng nhập vào thì còi báo động sẽ được bật lên.

Page 20: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

20

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1.2 Phương pháp mô tả theo mô hình hoạt động (Behavioral)

Ví dụ: mô tả chức năng hệ thống là: Nếu mỗi khi có một sensor nào đó được kích hoạt, thì hệ thống kiểm tra mã bàn phím. Nếu sau 20 giây mà không có mã bàn phím nhập đúng nhập vào thì còi báo động sẽ được bật lên.

entity Security_1 isport (Clk, Reset : in std_logic ; Keypad : in std_logic_vector (3 downto 0) ; Front_Door, Rear_Door, Window: in boolean ; Alarm_Siren : out boolean ) ;end Security_1 ;

architecture Behavioral of Security_1 is constant Delay_Period : time := 20 s;

begin process (Keypad,Front_Door,Rear_Door,Window) begin if (Front_Door or Rear_Door or Window ) then if (Keypad = “0011”) then Alarm_siren <= false ; else Alarm_Siren <= True after Delay_Period ; end if ; end if ; end process ;end Behavioral;

Page 21: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

21

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.1.3 Phương pháp mô tả theo mô hình luồng dữ liệu RTL

a. Mô tả mạch logic tổ hợp

Page 22: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

22

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

b. Mô tả mạch tuần tự

Tiến trình hoạt động theo clock có thể được mô tả thành tiến trình đồng bộ hoặc tiến trình không đồng bộ

Page 23: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

23

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 MÔ HÌNH RTL

RTL-Register Tranfer Level là Hệ thống số được mô tả theo mô hình hoạt động ở mức truyền đạt thanh ghi.Mô hình RTL được xây dựng từ các cấu trúc:bộ nhớ, khối điều khiển, đường dữ liệu, điều khiển vào/ra…

Đồng bộ hoặc Không đồng bộ

Inputs: keyboard, mouse, wireless,

microphone

Outputs: LCD screen,

wireless, speakers

Memory

Control

unit Datapath

Input/Output

CPU

Kiểu mô tả này thường được dùng trong việc kiểm tra, mô phỏng hệ thống, cũng như cho việc tổng hợp với mức độ độc lập với công nghệ cao.

Page 24: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

24

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Datapath(Execution

Unit)

Controller(Control

Unit)

Data Inputs

Data Outputs

Control Inputs

Control Outputs

Control Signals

StatusSignals

CPU

Datapath(Execution

Unit)

Controller(Control

Unit)

Data Inputs

Data Outputs

Control Inputs

Control Outputs

Control Signals

StatusSignals

CPU+ Khối xử lý trung tâm (CPU) : Khối này gồm 2 thành phần:- Khối Đường dữ liệu (Datapath): Thực hiện các phép xử lý số liệu của hệ thống số, chúng thường gồm các khối thanh ghi, bộ đếm, ghép kênh, ALU – khối tính toán số học và logic....- Khối điều khiển (Control Unit): Chức năng điều khiển chính cho khối Datapath để thực hiện các bước xử lý số liệu như mong muốn.

ISA (Instruction set Architecture – Kiến trúc tập lệnh): Tập lệnh để lập trình hoạt động cho CPU. Thường chỉ có trong các hệ thống phức tạp, đa dụng như các bộ vi xử lý

Page 25: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

25

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Ví dụ - Mô hình cấu trúc mức RTL

Page 26: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

26

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.2 MÔ HÌNH RTL (tt)

4.2.1 Khối Datapath

Datapath RTL gồm các khối thanh ghi và các khối mạch logic tổ hợp, được mô tả bằng các tiến trình tổ hợp (combinatorial process) và các tiến hoạt động theo clock (clocked process).

Page 27: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

27

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mô tả thành 2 process độc lập cho mạch tuần tự và mạch tổ hợp độc lập

Kết hợp mạch tổ hợp và tuần tự trong một process

Page 28: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

28

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3 THIẾT KẾ MẠCH TỔ HỢP DÙNG VHDL

Các mô hình cấu trúc lệnh tạo mạch tổ hợp :

-Các cấu trúc tập lệnh

-Testbench cho mạch

-Mô tả mạch logic cơ bản

-Bộ đệm- Mạch mã hóa, giải mã- Mạch ghép kênh- Mạch mạ hóa ưu tiên- Bộ cộng- Mạch kiểm tra chẳn lẽ- ALU

Mở đầu

Page 29: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

29

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.1 Cấu trúc tập lệnh dùng cho mô tả mạch tổ hợp

Mạch logic tổ hợp có thể mô tả bằng các cấu trúc lệnh song song, tuy nhiên thường dùng các process tổ hợp. Khi sử dụng process tổ hợp tất cả các tín hiệu vào của mạch tổ hợp phải được đưa vào danh sách tín hiệu kích thích

Page 30: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

30

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

G1 G2

G3

B

AC C<=A and B

...

Process 1

process (C,..)begin

Process 3

If C = ‘1’then

...

Process 2

C

CG1 G2

G3

B

AC C<=A and B

...

Process 1

process (C,..)begin

Process 3

If C = ‘1’then

...

Process 2

C

C

C<=A and B...

Process n

process (C,..)begin

Process n2

If C = ‘1’then

...

Process n1

C

C

process n

process (...

Rst

Sig1

Sig2

Process n4

Process n3C<=A and B...

Process n

process (C,..)begin

Process n2

If C = ‘1’then

...

Process n1

C

C

process n

process (...

Rst

Sig1

Sig2

Process n4

Process n3

Mô hình kết nối của các Process

Page 31: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

31

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.2 Testbech cho mạch tổ hợp

Sơ đồ tổng quát chương trình thử Testbench đơn giản

Page 32: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

32

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.2 Testbech cho mạch tổ hợp

Sơ đồ tổng chương trình thử Testbench đầy đủ

Page 33: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

33

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.2 Testbech cho mạch tổ hợpTrường hợp 1: Viết mô tả để tạo ra dạng tín hiệu đơn a như hình vẽ dưới đây

Page 34: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

34

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.2 Testbech cho mạch tổ hợp

Trường hợp 2: Viết mô tả xung clock 1, clock2 có chu kỳ 100 ns

Page 35: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

35

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.2 Testbech cho mạch tổ hợp

Ví dụ tạo dạng sóng

Page 36: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

36

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

architecture mux21 of mux21 issignal aout : STD_LOGIC;signal bout : STD_LOGIC;signal nots : STD_LOGIC;begin

aout <= nots and a;bout <= s and b;nots <= not(s);y <= bout or aout;

end mux21;

4.3.3 Mô tả mạch logic cơ bản

Ví dụ mô tả mạch Mux 2 sang 1 có sơ đồ mạch như sau:

nots

a

b

Page 37: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

37

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.4 Mạch 3 trạng thái

Page 38: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

38

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.5 Mạch giải mã 2:4Sử dụng cấu trúc lệnh song song

Page 39: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

39

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.5 Mạch giải mã 3:8

Page 40: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

40

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch giải mã 3:8 (tt)

Page 41: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

41

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch giải mã LED 7 đoạn dùng “WHEN”

Page 42: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

42

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch giải mã LED 7 đoạn dùng “Whit/Select/When”

Page 43: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

43

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch mã hóa 8 sang 3 dùng WHEN/ELSE

Page 44: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

44

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch mã hóa 8 sang 3 dùng WITH/SELECT/WHEN

Page 45: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

45

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.6 Mạch Mux 4 sang 1

Page 46: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

46

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 1: Mạch Mux 4 sang 1 dùng “WHEN/ELSE”

Page 47: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

47

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 2: Mạch Mux 4 sang 1 dùng “WITH/SELECT/WHEN”

Page 48: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

48

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 3: Mạch Mux 4 sang 1 dùng “IF”

Page 49: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

49

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 4: Mạch Mux 4 sang 1 dùng “CASE”

Page 50: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

50

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

end halfadd;architecture halfadd of halfadd isbegin

s <= a xor b;c <= a and b;

end halfadd;

4.3.7 MẠCH CỘNG BÁN PHẦN

Page 51: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

51

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.7 Mạch cộng toàn phần 2 số NP 1 bit

Page 52: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

52

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch cộng 2 số NP 4 bit

Page 53: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

53

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch cộng toàn phần 2 số NP 8 bit

Page 54: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

54

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch cộng toàn phần 2 số NP 8 bit (tt)

Page 55: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

55

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.8 Mạch so sánh 2 số NP 8 bit

Page 56: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

56

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.9 Mạch tạo bit parity chẵn từ dữ liệu 8 bit

Page 57: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

57

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.9 Mạch tạo bit parity tổng quát

Page 58: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

58

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.10 ALU

Page 59: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

59

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.10 ALU (tt)

Page 60: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

60

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

3.4.11 Mạch min/max :

Page 61: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

61

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.3.12 Mạch chuyển đổi mã NP 4 bit sang Gray 4 bit

Page 62: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

62

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.4 THIẾT KẾ MẠCH TUẦN TỰ DÙNG VHDL

Tiến trình đồng bộ

Tiến trình không đồng bộ

Page 63: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

63

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Xung CLK cạnh lên

Xung CLK cạnh xuống

Page 64: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

64

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 1: Mô tả DFF sử dụng “PROCESS (clk,rst)”

Page 65: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

65

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 2: Mô tả DFF sử dụng “PROCESS (clk)”

Page 66: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

66

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Trường hợp 3: Mô tả DFF sử dụng “PROCESS (clk,rst,d)”

Page 67: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

67

---- Flip-Flop T đơn giảnprocess (<clock>)begin if <clock>'event and <clock>=‘0' then <output> <= not(<output>); end if;end process;

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.4.4 Flip-Flop T

----Flip-Flop T có ngõ resetprocess (<clock>, <reset>)begin if <reset>='1' then <output> <= '0'; elsif (<clock>'event and <clock>='1') then <output> <= not(<output>); end if;end process;

Page 68: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

68

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.4.5 THANH GHI n BIT

Page 69: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

69

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Thiết kế thanh ghi dùng lệnh gán chân

Page 70: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

70

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Thiết kế bộ đếm lên thập phân

Page 71: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

71

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Thiết kế bộ đếm lên/xuống thập phân (tt)

Page 72: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

72

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Bộ đếm nhị phân lùi 4 bit đầu ra Q [3:0] hoạt động với sườn âm clock, có nạp không đồng bộ giá trị cố định “1111” mức tích cực thấp:

Page 73: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

73

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.4.7 BỘ NHỚ R0M

Page 74: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

74

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.4.7 BỘ NHỚ RAM

Page 75: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

75

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.4.7 BỘ NHỚ RAM (tt)

Page 76: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

76Bài giảng Điện Tử Số

4.5 Máy trạng thái hữu hạn FSM4.5.1Mô

hình máy trạng thái

Mealy

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

www.ptit.edu.vn

Page 77: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

77

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.5.2 Mô hình máy trạng thái

Moore

4.5.3 Mô hình máy trạng thái hỗn hợp Moore và Mealy

Page 78: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

78

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Page 79: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

79

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Mạch đếm theo đồ hình trạng thái

Page 80: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

80

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Page 81: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

81

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Page 82: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

82

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Ví dụ: Lập đồ hình trạng thái và viết chương trình VHDL mô tả bộ đếm lên/xuống 4 bit

Bấm vào đây xem đoạn chương trình VHDL

Page 83: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

83

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

process (<clock>, <reset>) begin if <reset>='1' then <count> <= (others => '0'); elsif <clock>='1' and <clock>'event then if <clock_enable>='1' then if <load_enable>='1' then <count> <= <input>; else if <count_direction>='1' then <count> <= <count> + 1; else <count> <= <count> - 1; end if; end if; end if; end if;end process;

THIẾT KẾ MẠCH ĐẾM UP/DOWM CO ĐIÊU

KHIỂN

Page 84: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

84

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

Thiết kế bộ đếm Timer như sau:BÀI TẬP

Page 85: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

85

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.6 MÁY TRẠNG THÁI ASM (Algorithmic State Machine)

Lưu đồ giải thuật máytrạng thái (Algorithmicstate machine: ASM charts) là sự trừu tượnghóa hành vi của máy tuầntựCác thành phần của một ASM như sau:

Điều kiện

*** Mã trạng thái

Hộp trạng thái

Hộp xuất theo điều kiện

Danh sách xuất theo điều kiện

Đường ra đến khối ASM khác

Danh sách xuất

Tên trạng thái

Page 86: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

86

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.6 MÁY TRẠNG THÁI ASM (Algorithmic State Machine) (tt)

Ví dụ: kết hợp lưu đồ ASM với đường dữ liệu

Page 87: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

87

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.6 MÁY TRẠNG THÁI ASM (Algorithmic State Machine)

Lưu đồ trạng thái ASM hệ Moore cho mạch đếm

Page 88: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

88

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.6 MÁY TRẠNG THÁI ASM (Algorithmic State Machine)(tt)

Lưu đồ trạng thái ASM hệ Mealy cho mạch đếm

Page 89: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

89

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.7 PHƯƠNG PHÁP THIẾT KẾ TOP- DOWN

Page 90: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

90

CHƯƠNG 4- PHƯƠNG PHÁP THIẾT KẾ SỐ

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

4.7 PHƯƠNG PHÁP THIẾT KẾ TOP- DOWN

Mô hình thiết kế top_down cơ bản của mạch cộng 4 bit

* Mạch lớn được phân chia thành các mạch nhỏ hơn• Mỗi mạch nhỏ này được đặc tả bằng HDL• Mỗi mạch nhỏ có thểđược tổng hợp trong thời gian chấp nhận được

Page 91: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

91

Câu hỏi

1. Trình bày nguyên lý bắt phím, thiết kế mạch bắt phím và viết mô tả bằng VHDL?

2. Thiết kế mạch quét và nhận dạng cho ma trận phím bấm (Keypad) 4x4?

3. Thiết kế mô hình đèn giao thông tại một ngã tư và viết mô tả bằng VHDL?

4. Thiết kế mạch điều khiển ma trận LED 8x8, và viết mô tả bằng VHDL?

5. Thiết kế bộ điều khiển truyền thông nối tiếp UART?6. Thiết kế bộ lọc FIR7. Thiết kế mạch điều khiển động cơ bước

Page 92: BG Thiet Ke Logic So Chuong 4 Phuongphapthietkeso

92

BÀI GIẢNG: THIẾT KẾ LOGIC SỐ

www.ptit.edu.vn

NỘI DUNG CHÍNH CỦA CHƯƠNG

4.1 CÁC PHƯƠNG PHÁP MÔ TẢ MẠCH SỐ

4.2 MÔ HÌNH RTL

4.3 THIẾT KẾ MẠCH TỔ HỢP DÙNG VHDL

4.4 THIẾT KẾ MẠCH TUẦN TỰ CƠ BẢN DÙNG VHDL

4.5 MÁY TRẠNG THÁI HỮ HẠN FSM

4.6 MÁY TRẠNG THÁI ASM

4.7 PHƯƠNG PHÁP THIẾT KẾ TOP-DOWM

4.8 PHƯƠNG PHÁP THIẾT KẾ ĐỒNG BỘ

4.9 PHƯƠNG PHÁP THIẾT KẾ KHÔNG ĐỒNG BỘ