research article modeling and electromagnetic analysis of ...research article modeling and...

15
Research Article Modeling and Electromagnetic Analysis of Multilayer Through Silicon Via Interconnect for 3D Integration Zhaowen Yan, Ting Kang, Wei Zhang, and Jianwei Wang School of Electronic and Information Engineering, Beihang University, No. 37 Xueyuan Road, Haidian District, Beijing 100191, China Correspondence should be addressed to Zhaowen Yan; [email protected] Received 24 July 2015; Revised 3 November 2015; Accepted 4 November 2015 Academic Editor: Felipe C´ atedra Copyright © 2015 Zhaowen Yan et al. is is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited. Convergence of multiple functions in a single device is the main thought behind the development of the current electronic trends. So, the requirement for higher integration in electronic devices has become more important in present days than in the past. rough silicon via (TSV) is the latest interconnect technology proposed mainly for higher integration and higher frequency. erefore, cross talk will be an essential issue that needs to be taken into consideration. In this paper, we study the electrical property of a GSSG (S-Signal, G-Ground) TSV structure and propose the accurate lumped model which can be used to predict the TSV performance. Since more dies are used within one chip, the single layer TSV cannot satisfy the requirement. Hence, we propose the multilayer TSV structure and study how the bump radius, bump height, and underfill material affect the TSV transmission performance and coupling issue, so that we can conduct a good TSV design. Furthermore, three multilayer 4 × 4 TSV array models are proposed with different GS distribution to analyze the detailed coupling results. 1. Introduction e inheritance of planar scaling which has been fulfilling the forecast in Moore’s Law is at the edge of saturation. One of the main reasons of the saturation is the physical size involved in semiconductor lithography that puts a limit to the dimension of transistors. e other important issue related to scaling is interconnect technology. Since the end of last decade, a leading trend in electronics industry is to increase the integration density in electronic devices using three- dimensional (3D) integration. is three-dimensional chip integration can be an alternative solution to planar scaling to achieve higher integration. At present scientists are realizing 3D integration by stacking integrated circuit (IC) dies, where vertical inter- connection is the main path to communicate with other submodules. us, to achieve the desired performance in 3D system, TSV interconnection is the key technology. For the effective use of through silicon via in 3D inte- gration and to ensure the high yield chip design, the most important work to be done for more accurate TSV modeling is to consider the multilayer silicon substrate. erefore, electromagnetic modeling and analysis of TSVs in multilayer substrate are crucial before it goes for production. Reference [1] discussed simple analytical methods that are available for characterizing one or two TSVs, but they cannot address general multilayer TSV problems. Hundreds of TSVs are required as interconnects in 3D integration system, so coupling will be one of the most important problems in TSV design. Researchers in both the academic and industrial fields are putting their highest effort to solve different problems that hinder the flourishing of this technology. In the literature there are some works that have been done on the modeling and analysis of coupling issues of through silicon via technology, but all of them are considering single layer substrate. Reference [2] presented a modeling method of TSV, based on lumped element. Lumped element modeling method can provide good results for the insertion loss, though it has some limitations. In [3] an analytical model for fast estimation of coupling capacitance of square shaped TSVs has been proposed for 3D integration. Coupling effect between through silicon via (TSV) in large Hindawi Publishing Corporation International Journal of Antennas and Propagation Volume 2015, Article ID 470952, 14 pages http://dx.doi.org/10.1155/2015/470952

Upload: others

Post on 26-Jan-2021

3 views

Category:

Documents


0 download

TRANSCRIPT

  • Research ArticleModeling and Electromagnetic Analysis of Multilayer ThroughSilicon Via Interconnect for 3D Integration

    Zhaowen Yan, Ting Kang, Wei Zhang, and Jianwei Wang

    School of Electronic and Information Engineering, Beihang University, No. 37 Xueyuan Road, Haidian District, Beijing 100191, China

    Correspondence should be addressed to Zhaowen Yan; [email protected]

    Received 24 July 2015; Revised 3 November 2015; Accepted 4 November 2015

    Academic Editor: Felipe Cátedra

    Copyright © 2015 Zhaowen Yan et al. This is an open access article distributed under the Creative Commons Attribution License,which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

    Convergence of multiple functions in a single device is the main thought behind the development of the current electronic trends.So, the requirement for higher integration in electronic devices has become more important in present days than in the past.Through silicon via (TSV) is the latest interconnect technology proposed mainly for higher integration and higher frequency.Therefore, cross talk will be an essential issue that needs to be taken into consideration. In this paper, we study the electrical propertyof a GSSG (S-Signal, G-Ground) TSV structure and propose the accurate lumped model which can be used to predict the TSVperformance. Since more dies are used within one chip, the single layer TSV cannot satisfy the requirement. Hence, we proposethe multilayer TSV structure and study how the bump radius, bump height, and underfill material affect the TSV transmissionperformance and coupling issue, so that we can conduct a good TSV design. Furthermore, three multilayer 4 × 4 TSV array modelsare proposed with different GS distribution to analyze the detailed coupling results.

    1. Introduction

    The inheritance of planar scaling which has been fulfillingthe forecast in Moore’s Law is at the edge of saturation. Oneof the main reasons of the saturation is the physical sizeinvolved in semiconductor lithography that puts a limit to thedimension of transistors. The other important issue relatedto scaling is interconnect technology. Since the end of lastdecade, a leading trend in electronics industry is to increasethe integration density in electronic devices using three-dimensional (3D) integration. This three-dimensional chipintegration can be an alternative solution to planar scaling toachieve higher integration.

    At present scientists are realizing 3D integration bystacking integrated circuit (IC) dies, where vertical inter-connection is the main path to communicate with othersubmodules. Thus, to achieve the desired performance in 3Dsystem, TSV interconnection is the key technology.

    For the effective use of through silicon via in 3D inte-gration and to ensure the high yield chip design, the mostimportant work to be done for more accurate TSV modeling

    is to consider the multilayer silicon substrate. Therefore,electromagnetic modeling and analysis of TSVs in multilayersubstrate are crucial before it goes for production. Reference[1] discussed simple analytical methods that are available forcharacterizing one or two TSVs, but they cannot addressgeneral multilayer TSV problems.

    Hundreds of TSVs are required as interconnects in 3Dintegration system, so coupling will be one of the mostimportant problems in TSV design. Researchers in both theacademic and industrial fields are putting their highest effortto solve different problems that hinder the flourishing ofthis technology. In the literature there are some works thathave been done on the modeling and analysis of couplingissues of through silicon via technology, but all of them areconsidering single layer substrate. Reference [2] presented amodelingmethod of TSV, based on lumped element. Lumpedelement modeling method can provide good results for theinsertion loss, though it has some limitations. In [3] ananalytical model for fast estimation of coupling capacitanceof square shaped TSVs has been proposed for 3D integration.Coupling effect between through silicon via (TSV) in large

    Hindawi Publishing CorporationInternational Journal of Antennas and PropagationVolume 2015, Article ID 470952, 14 pageshttp://dx.doi.org/10.1155/2015/470952

  • 2 International Journal of Antennas and Propagation

    CopperSilicon Silicon

    Silic

    on d

    ioxi

    de

    Silic

    on d

    ioxi

    de

    Silicon dioxide Silicon dioxide

    Silicon dioxideSilicon dioxide

    Figure 1: TSV structure.

    array structure has been investigated in [4]. A full chip TSVto TSV coupling has been analyzed in [5] where a full chipSI analysis flow has been proposed based on the proposedcoupling. Analytical formulas to extract an equivalent circuitmodel for coupled TSVs have been proposed in [6] wherethe authors used multiconductor transmission line approachto model the structures. References [7, 8] presented newanalytical methods to derive the 𝑅, 𝐿, 𝐶, and 𝑆 parametersin multiport TSV networks.

    There are two main innovations of this paper. One isthe research on how the bump size and dielectric materialinfluence the transmission and coupling issue of GSSGmultilayer TSV structure. The other one is the establishmentof three two-layer 4 × 4 TSV array models with different GSTSV distribution and the explanation on how the grounddistribution affects TSV transmission and coupling property.

    The rest of the paper is arranged as follows. Section 2explores the electrical property of the proposed TSV struc-ture and gives the equivalent lumped model. The lumpedmodel is verified by comparison with the simulating result.Section 3 studies the multilayer TSV property and how thechosen factors affect the TSV transmission and cross talkperformance. Section 4 discusses three multilayer TSV arraystructures with different GS distribution and emphasizes thecoupling issue of the TSV array. Section 5 concludes thispaper.

    2. Electrical Property of Two TSVPairs with Bump

    Figure 1 shows a typical TSV structure. It is embedded in asilicon substrate which is lossy. Therefore, an insulation layermust be formed between the TSV and the silicon substrate toavoid the leakage of the signal.

    It is of great importance to obtain the accurate electricalmodel of the TSV, for it can not only indicate its behavior, butalso reduce the simulation time. Meanwhile, it is the basis ofthe multilayer TSV modeling.

    Table 1: Parameters of proposed model.

    Parameter Symbol ValueTSV height ℎTSV 100 𝜇mTSV radius 𝑟TSV 10 𝜇mSiO2thickness 𝑡OX 0.6 𝜇m

    TSV-TSV pitch 𝑝TSV 40 𝜇mBump radius 𝑟BUMP 15 𝜇mBump height ℎBUMP 5𝜇mSiO2plane thickness 𝑡 1 𝜇m

    2.1. Electrical Modeling of the TSV Structure. Some modelswere proposed in the literature, among which the GS andGSG models (S-Signal, G-Ground) were the most popularones [9, 10]. Most of the works were emphasized on thetransmission quality of a single TSV and the interferencefrom the active circuits. A differential GSSG TSV model wasestablished and analyzed in [11]. Here, we researched on theGSSG model in Figure 2, and the signals were two single-ended signals with the same phase.

    In Figure 2, TSVs are formed in the silicon substrate, withthe silicon dioxide (insulation layer) surrounding them. Thecentral two TSVs serve as the signal TSV and the peripheralones are the ground TSV. Bumps have a direct contact withthe TSV. And the underfill material is CYCLOTENE 4000series BCB with high strength, good thermal stability, andgood microwave performance. The electrical property of theTSV is decided by its parameters and the electrical propertyof the surroundingmaterials.The parameters of the proposedmodel are shown in Table 1, while the material property isshowed in Table 2.

    Since TSV is filled with copper, it can be modeled asa series of 𝑅 and 𝐿. The DC resistance is shown in (1). Asthe frequency increases, the skin effect must be considered.Meanwhile, since TSVs are in close proximity, the proximityeffect will lead to an increase in resistance. Equation (2)gives the resistance considering both the skin effect and theproximity effect [13]. And 𝑅TSV can be described in (3).

  • International Journal of Antennas and Propagation 3

    Sign

    al

    Gro

    und

    Bump Bump Bump

    SI SI SI

    Und

    erfil

    lIn

    sula

    tor

    Bump

    Bump Bump Bump Bump

    Sign

    al

    Gro

    und

    tOX

    hBUMP

    dBUMP

    dTSV

    t

    Figure 2: Proposed TSV model.

    Table 2: Material property of proposed model.

    Parameter Symbol ValueSilicon conductivity 𝜎si 10 S/mTSV conductivity 𝜎TSV 5.8 ∗ 10

    7 S/mBump conductivity 𝜎BUMP 5.8 ∗ 10

    7 S/mRelative permittivity of silicon 𝜀

    𝑟,si 11.9Relative permittivity of SiO

    2𝜀𝑟,OX 4

    Relative permittivity of BCB 𝜀𝑟,BCB 2.65

    Relative permeability of TSV 𝜇𝑟,TSV 1

    Relative permeability of bump 𝜇𝑟,BUMP 1

    When the bump parameters are used in (1), (2), and (3), theresistance of the bump can be gained and we call it 𝑅BUMP:

    𝑅TSV DC =ℎTSV

    𝜋𝜎TSV𝑟2

    TSV, (1)

    𝑅skin+prox =𝑝/2𝑟TSV

    √(𝑝/2𝑟TSV)2

    − 1

    ℎTSV𝜋𝑟TSV

    𝜋𝜇0𝑓

    𝜎TSV, (2)

    𝑅TSV = √(𝑅TSV DC)2

    + (𝑅skin+prox)2

    . (3)

    Referring to the calculation of the inductive parasiticparameters, self-inductance and mutual-inductance mustbe taken into account. Their quasistatic inductances arecalculated using

    𝐿 (𝑥, ℎ)

    =

    𝜇0ℎ

    2𝜋

    [

    [

    ln(ℎ𝑥

    + √(

    𝑥

    )

    2

    + 1) +

    𝑥

    − √(

    𝑥

    )

    2

    + 1]

    ]

    ,

    (4)

    Table 3: RLC analytical formula of different transmission lines [12]see Figure 3.

    Parallel plate Coaxial cable Two parallel wires

    Capacitance(F) 𝜀𝐴ℎ

    2𝜋𝜀𝐿

    ln (𝑏/𝑎)𝜋𝜀𝐿

    cosh−1 (𝑏/2𝑎)

    Inductance(H)𝜇ℎ

    𝐴

    𝜇𝐿

    2𝜋

    ln(𝑏𝑎

    )

    𝜇𝐿

    cosh−1 ( 𝑏2𝑎

    )

    Conductance(S) 𝜎𝐴ℎ

    2𝜋𝜎𝐿

    ln (𝑏/𝑎)𝜋𝜎𝐿

    cosh−1 (𝑏/2𝑎)

    where 𝑥 is the variable presenting the radius in theself-inductance calculation and the pitch in the mutual-inductance calculation and ℎ indicates the height of the TSVor bump. Therefore, the inductance of the signal and groundTSV can be described in (5). When the ℎTSV is replaced byℎBUMP and 𝑟TSV is replaced by 𝑟BUMP, the inductance of thesignal bump 𝐿BUMPS and the ground bump 𝐿BUMPG can begained, respectively:

    𝐿TSVS = 𝐿 (𝑟TSV, ℎTSV) + 𝐿 (𝑝TSV, ℎTSV)

    − 𝐿 (𝑝TSV, ℎTSV) − 𝐿 (2𝑝TSV, ℎTSV)

    = 𝐿 (𝑟TSV, ℎTSV) − 𝐿 (2𝑝TSV, ℎTSV) ,

    𝐿TSVG = 𝐿 (𝑟TSV, ℎTSV) − 𝐿 (𝑝TSV, ℎTSV)

    − 𝐿 (2𝑝TSV, ℎTSV) + 𝐿 (3𝑝TSV, ℎTSV) .

    (5)

    Since the silicon is conductive, there exists a capacitancebetween the silicon substrate and the TSV, which can bemodeled as a coaxial cable. Table 3 gives RLC analytical

  • 4 International Journal of Antennas and Propagation

    A

    h

    L

    a

    b

    L

    a

    b

    (a) (b)

    (c)

    Figure 3

    C1

    Port 2 Port 4

    Port 1 Port 3

    R R RR

    C1

    C1/2 C1/2 C1/2 C1/2

    C1/2 C1/2 C1

    C2 C2 C2

    C2 C2 C2

    C1/2 C1/2

    C1

    LS LSLG LG

    Csi

    Gsi

    Csi

    Gsi

    Csi

    Gsi

    Figure 4: Electrical model of the proposed TSV structure. (𝐶1= 𝐶TSV + 𝐶BUMP, 𝐶2 = 𝐶underfill + 𝐶OX, 𝑅 = 𝑅TSV + 2 × 𝑅BUMP, 𝐿𝑆 =

    𝐿TSVS + 2 × 𝐿BUMPS, and 𝐿𝐺 = 𝐿TSVG + 2 × 𝐿BUMPG).

  • International Journal of Antennas and Propagation 5

    0.0

    −0.1

    −0.2

    −0.3

    −0.4

    −0.5

    S 21

    (dB)

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    ADSHFSS

    (a) 𝑆21

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)ADSHFSS

    −25

    −30

    −35

    −40

    −45

    −50

    S 11

    (dB)

    (b) 𝑆11

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    ADSHFSS

    −35

    −40

    −45

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    −90

    S 31

    (GH

    z)

    (c) 𝑆31

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    ADSHFSS

    −35

    −40

    −45

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    −90

    S 41

    (GH

    z)

    (d) 𝑆41

    Figure 5: Simulation results in HFSS and ADS.

    formula of different transmission lines. According to Table 3,𝐶TSV can be expressed in

    𝐶TSV =1

    2

    ×

    2𝜋𝜀0𝜀𝑟,OXℎTSV

    ln ((𝑟TSV + 𝑡OX) /𝑟TSV). (6)

    There is also a capacitance between a bump and thesilicon substrate. And it can bemodeled as two parallel plates.Thus, 𝐶Bump is proportional to the facing area and reciprocalproportional to the height, 𝑡, as shown in

    𝐶Bump =𝜀0𝜀𝑟,OX𝜋 [𝑟

    2

    BUMP − (𝑟TSV + 𝑡OX)2

    ]

    𝑡

    .(7)

    As TSV and bump are both in cylindrical shape, capac-itance between adjoining bumps or adjoining TSVs can bemodeled as two parallel wires. That means the capacitance

    is correlated with the height, pitch, and the radius of theresearch objects. Therefore, the capacitance between twoTSVs in the substrate, 𝐶si, can be calculated in (8). Mean-while, the capacitance between two bumps in the underfilllayer, 𝐶underfill, can be derived in (9) and the capacitancebetween TSV and silicon substrate, 𝐶OX, can be calculated in(10):

    𝐶si =𝜋𝜀0𝜀𝑟,si (ℎTSV − 2𝑡)

    cosh−1 (𝑝TSV/2𝑟BUMP), (8)

    𝐶underfill =𝜋𝜀0𝜀𝑟,BCBℎBUMP

    cosh−1 (𝑝TSV/2𝑟BUMP), (9)

    𝐶OX =𝜋𝜀0𝜀𝑟,OX𝑡

    cosh−1 (𝑝TSV/2𝑟TSV). (10)

  • 6 International Journal of Antennas and Propagation

    UnderfillSnAg

    Layer 1

    Layer 2

    Layer N

    Bump

    G GS S

    Port 1 Port 3

    Port 2 Port 4

    SIO2

    ...

    Figure 6: Multilayer TSV structure (parameters of the structure: thickness of the SnAg is 2 𝜇m, bump height is defined as𝐻,𝐻 = 5𝜇m, andbump radius is defined as 𝑅, 𝑅 = 15 𝜇m, ℎTSV = 100 𝜇m, 𝑟TSV = 10 𝜇m, 𝑡 = 1 𝜇m, 𝑡OX = 0.6 𝜇m, and 𝑝TSV = 40 𝜇m).

    The silicon substrate is lossy, and the conductance can bederived in

    𝐺si =𝜎si𝐶si𝜀si

    . (11)

    2.2. Verification of the Proposed Electrical Model. To verifythe proposed lumped model, we established a model in EMsimulator HFSS.The parameters are the same in Table 1. Andthe entire simulating frequency is from 100MHz to 20GHz.Then a model in Figure 4 has been set up in ADS, andthe RLCG is obtained according to formulas (1)–(11). Thesimulation result is shown in Figure 5. 𝑆

    11and 𝑆21indicate the

    transmission property of the TSV. It shows a good correlationbetween the EM simulation and the lumped model result.The maximum difference of the 𝑆

    21is about 0.02 dB in

    20GHz, while 𝑆11also gains the maximum difference, 0.6 dB,

    in 20GHz. 𝑆31

    represents the near cross talk between twosignal TSVs.The difference between two simulating methodsdecreases as the frequency goes up.Themaximum differenceis 18.2 dB in 0.1 GHz and keeps within 3 dB from 1.2GHz to20GHz.Therefore, the near cross talk accuracy is better withhigher frequency. 𝑆

    41reflects the far cross talk between two

    signal TSVs.The tendency is the same in the entire frequency,but the difference value decreases first and then increases asthe frequency goes up.Themaximum difference is 20.3 dB in0.1 GHz and keeps within 8 dB from 0.6GHz to 20GHz.

    In this way, the lumped model is verified and can be usedto predict the TSV performance well.

    3. Research on the Multilayer TSVs

    Nowadays, TSV has already been used in the new 3D-integration products. And the TSV structure may flourish inthe chip field during the next few years. As the requirementfor higher bandwidth, more I/O interface, smaller size, morelayers will be stacked in the same chip and multilayer TSVswill be a unique technique to build the structure. Therefore,research on the electrical property of themultilayer TSVs andthe coupling issues are urged to be conducted.

    For a 3D-stacked DRAM module, some of the signalscan be shared with other different chips (supposing the chipshave the same layout), including address bus, data bus, readcontrol, write control, and power [14]. And some structurehas already been made for the experimental test. Thoughthe fabrication process seems to attract more attention, thesimulation research still needs to be emphasized.

    The multilayer structure was established in Figure 6. Itcan be stacked 𝑁 layers. And the insertion loss of differentlayer TSV has been shown in Figure 7. Since the one-layerTSV has already been studied in part II, we focus on theelectrical property of the intermetallic dielectric layer, whichconsists of the bump, the adhesive material, and the underfill

  • International Journal of Antennas and Propagation 7

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    2 layers3 layers

    4 layers5 layers

    −0.2

    −0.4

    −0.6

    −0.8

    −1.0

    −1.2

    −1.4

    −1.6

    −1.8

    −2.0

    Inse

    rtio

    n lo

    ss (d

    B)

    Figure 7: Insertion loss of multilayer TSV.

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    0.0

    −0.1

    −0.2

    −0.3

    −0.4

    −0.5

    −0.6

    −0.7

    −0.8

    −0.9

    S 21

    (dB)

    −20

    −30

    −40

    S 11

    (dB)

    SiO2BCB

    SiO2BCB

    (a) 𝑆21 and 𝑆11

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Far c

    oupl

    ing

    (dB)

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    SiO2BCB

    (b) Far coupling

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Nea

    r cou

    plin

    g (d

    B)

    −30

    −40

    −50

    −60

    −70

    −80

    −90

    SiO2BCB

    (c) Near coupling

    Figure 8: 𝑆 parameters of the TSV model with different underfill material.

  • 8 International Journal of Antennas and Propagation

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    0.1

    0.0

    −0.1

    −0.2

    −0.3

    −0.4

    −0.5

    −0.6

    −0.7

    −0.8

    S 21

    (dB)

    S 11

    (dB)

    0

    −10

    −20

    −30

    −40

    −50

    R = 12𝜇m S21R = 15𝜇m S21R = 18𝜇m S21

    R = 12𝜇m S11R = 15𝜇m S11R = 18𝜇m S11

    (a) 𝑆21 and 𝑆11

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Far c

    oupl

    ing

    (dB)

    −45

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    R = 12𝜇mR = 15𝜇mR = 18𝜇m

    (b) Far coupling

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Nea

    r cou

    plin

    g (d

    B)

    −30

    −35

    −40

    −45

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    −90

    R = 12𝜇mR = 15𝜇mR = 18𝜇m

    (c) Near coupling

    Figure 9: 𝑆 parameters of the TSV model with different bump radius.

    material. And 𝑆parameter is used to indicate theTSV channelperformance.

    SnAg was chosen to be the adhesive material. It is a goodflux material with high conductivity. The electromigrationphenomenon may happen between the Cu and the SnAg,which will cause the mechanical instability, but it does notaccount much for the electrical property of the TSV.

    Here we study how the bump size and the underfillmaterial affect the insertion loss and the coupling result of theproposed TSV structure.Themodel used in theHFSSwas thesame as in Figure 6.

    Firstly, BCB and silicon dioxide are used as underfillmaterial, respectively, in the simulation; the result is shownin Figure 8. It shows that 𝑆 parameters are almost the same inthe different underfill material and the difference in 20GHzis shown in Table 4. It indicates that the TSV transmissionproperty is a little better when the BCB is used. Since the 𝜀

    𝑟,OX

    is bigger than 𝜀𝑟,BCB, it results in a bigger 𝐶underfill value. The

    signal will be transmitted from one TSV to another throughthis capacitor in the intermetallic dielectric layer. Since thebigger capacitor can offer lower impedance path, more lossof the TSV may happen. When 𝐻 = 5𝜇m, the value of thecapacitor is 0.46 fF with the BCB underfill and 0.70 fF withthe silicon dioxide underfill.

    Secondly, the bump radius is studied with the BCB asthe underfill material. The result is shown in Figure 9. Itshows that 𝑆

    21decreases over the entire frequency when

    the radius changes from 12 𝜇m to 18 𝜇m. When the bumpradius increases, the 𝐶underfill value becomes larger and theimpedance discontinuity becomes more obvious, both ofwhich add transmission loss of the TSV channel. The resultsalso indicate that the change of the radius has more influenceon the far coupling than the near coupling.

  • International Journal of Antennas and Propagation 9

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    0.1

    0.0

    −0.1

    −0.2

    −0.3

    −0.4−0.5

    −0.6

    −0.7

    −0.8

    −0.9

    S 21

    (dB)

    S 11

    (dB)

    H = 3𝜇m S21H = 5𝜇m S21H = 7𝜇m S21

    H = 3𝜇m S11H = 5𝜇m S11H = 7𝜇m S11

    −20

    −25

    −30

    −35

    −40

    −45

    −50

    (a) 𝑆21 and 𝑆11

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    −45

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    Far c

    oupl

    ing

    (dB)

    H = 3𝜇mH = 5𝜇mH = 7𝜇m

    (b) Far coupling

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Nea

    r cou

    plin

    g (d

    B)

    −30

    −35

    −40

    −45

    −50

    −55

    −60

    −65

    −70

    −75

    −80

    −85

    −90

    H = 3𝜇mH = 5𝜇mH = 7𝜇m

    (c) Near coupling

    Figure 10: 𝑆 parameters of the TSV model with different bump height.

    Table 4: 𝑆 parameter comparison at 20GHz.

    Unit (dB) Silicon dioxide BCB Δ𝑆11

    −21.94 −22.03 0.09

    𝑆21

    −0.790 −0.785 −0.005

    Far coupling −50.13 −50.36 0.23Near coupling −35.86 −36.02 0.16

    Thirdly, the bump height is taken into consideration. Asshown in Figure 10, the 𝑆 parameters do not change much as𝐻 changes. Maybe the bump height is too small comparedto the TSV height, it can only affect the TSV performanceslightly. The results also indicate that the change of theradius does more influence on the far coupling than the nearcoupling.

    4. Research on the Multilayer TSVs Array

    TSVs are mainly intended to be used for 3D integrationtechnology for heterogeneous integration. In reality, thereare always amounts of TSVs in one design, so the couplingbetween TSVs in the multilayer substrate is crucial to beanalyzed before it goes for production.

    Figure 11 shows a 4 × 4multilayer TSV array and all TSVswere signal TSVs. The parameters of the 4 × 4 array modelare different from that in part II. This change target is toguarantee the TSV performance as more TSVs are addedto the structure. The insertion loss of TSV1 is calculatedand shown in Figure 12. We see that, in this condition,the insertion loss is large. In order to improve the TSVtransmission property, three 4× 4 arraymodels with differentGS distribution have been proposed in Figure 13. Ground

  • 10 International Journal of Antennas and Propagation

    2 3

    5 65 7 8

    9 12

    14 15

    10 11

    1 4

    13 16

    (a) 4 × 4 multilayer TSV array (b) Model in HFSS

    Figure 11: 4 × 4 multilayer TSV array, all TSVs are signal TSVs. There exist two copper layers on both sides serving as ground plane(parameters of the structure: thickness of the SnAg is 2 𝜇m,𝐻 = 3𝜇m, 𝑅 = 8 𝜇m, ℎTSV = 50 𝜇m, 𝑟TSV = 5 𝜇m, 𝑡 = 1 𝜇m, 𝑡OX = 0.6 𝜇m, and𝑝TSV = 40 𝜇m).

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    −2.55

    −2.60

    −2.65

    −2.70

    −2.75

    −2.80

    −2.85

    Inse

    rtio

    n lo

    ss (d

    B)

    TSV1

    Figure 12: Insertion loss of TSV1.

    TSV offers the low impedance return path for the signal andcan reduce the coupling issues effectively. Some researcheshave been done to explore the GS distribution effect on thesystematic coupling issue [15]. However, their studies werelimited to the single TSV layer. Here, we analyzed the threemodels, respectively. The simulation is conducted in HFSSfrom 1GHz to 20GHz.

    4.1. Model 1. Figure 14 shows the simulation results of model1. Because of the symmetrical TSV array structure, the centralfour TSVs have almost the same insertion loss, so are the four

    TSVs in the corner. In this condition, there is no need to studyevery TSV to obtain the coupling results. TSV1 and TSV6can reflect the coupling information of all the corner TSVand central TSV, respectively. Since the cross talk gets worsewith the higher frequency, we only give the coupling resultsin 20GHz. Figure 14(b) gives the near and far coupling resultsat 20GHz from the aggressive TSV to TSV1. Figure 14(c) alsoreflects the cross talk at 20GHz from the aggressive TSV toTSV6. In the following, coupling refers to the near coupling.Meanwhile, the cross talk could be ignored if the couplingcoefficient was below −40 dB. For TSV1, TSV5 is the nearest

  • International Journal of Antennas and Propagation 11

    5 8

    6 7

    1 4

    2 3

    (a) Model 1

    1 8

    2 7

    3 6

    4 5

    (b) Model 2

    8 7

    1

    2

    3 4

    5

    6

    (c) Model 3 (d) Model 1 in HFSS

    Figure 13: Three different GS distribution models of multilayer TSV array: blue indicates the ground TSV and yellow indicates the signalTSV.

    one and thus results in the largest cross talk, −39.9 dB. Thecoupling from the other aggressive ones is below −40 dB,which could be ignored. For TSV6, cross talk value is−31.6 dBfrom TSV5 and TSV7 and −39 dB from TSV7. It is clear thatcross talk decreases as the distance increases. And in Model1, the cross talk can be ignored when the distance is beyond√2 pitch.

    4.2. Model 2. The simulation results of Model 2 are showedin Figure 15. All the signal TSVs are arranged in the middleand all the ground TSVs are placed at sides. Meanwhile, thesignal TSV can be divided into two groups referring to thelocation. One includes TSV2, TSV3, TSV6, and TSV7 andthe other includes TSV1, TSV4, TSV5, and TSV8. The TSV

    in one group has the same surrounding environment, whichresults in the same insertion loss as shown in Figure 15(a).Therefore, TSV1 and TSV2 can represent each group toobtain the coupling information, respectively. The near andfar coupling results at 20GHz from the aggressive TSV toTSV1 are shown in Figure 15(b), while the cross talk at 20GHzfrom the aggressive TSV to TSV2 is given in Figure 15(c).For TSV1, it gains the coupling mainly from TSV8, TSV2,and TSV7. Although TSV8 and TSV2 have the same distancefromTSV1, the coupling results to TSV1were different.This isdue to the different TSV distribution around them. TSV2 hasmore return path andmore signal TSVs around, which couldboth directly reduce the effect to the TSV1. For TSV2, thereare more coupling sources to be considered. TSV1, TSV8,

  • 12 International Journal of Antennas and Propagation

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    −0.1

    −0.2

    −0.3

    −0.4

    −0.5

    Inse

    rtio

    n lo

    ss (d

    B)

    TSV6TSV3TSV5TSV8

    TSV1TSV4TSV2TSV7

    (a)−25

    −30

    −35

    −40

    −45

    −50

    −55

    −60

    Cou

    plin

    g co

    effici

    ent (

    dB)

    Near coupling Far coupling

    TSV3 TSV7 TSV8 TSV6 TSV4 TSV2 TSV5

    (b)

    TSV4 TSV3 TSV1 TSV2 TSV8 TSV7 TSV5

    −25

    −30

    −35

    −40

    −45

    −50

    −55

    Cou

    plin

    g co

    effici

    ent (

    dB)

    Near coupling Far coupling

    (c)

    Figure 14: 𝑆 parameters result of Model 1. (a) Insertion loss of each TSV, (b) the cross talk from the aggressive TSV to TSV1 at 20GHz, and(c) the cross talk from the aggressive TSV to TSV6 at 20GHz.

    TSV7, TSV6, andTSV3 are all near theTSV2 and the couplingvalues are all above −40 dB. Only the effect from TSV4 andTSV5 can be ignored. It can be concluded that, in Model 2,the cross talk can also be ignoredwhen the distance is beyond2 × pitch.

    4.3. Model 3. Figure 16 shows the simulation results ofModel3. The structures of Model 3 and Model 1 are alike, but thesignal and ground TSV exchanged. All the signal TSV has thesame surrounding GS distribution, which results in the sameinsertion loss as shown in Figure 16(a). TSV8 was chosento be analyzed. The near and far coupling results at 20GHzfrom the aggressive TSV to TSV8 are shown in Figure 16(b).

    The coupling coefficient from TSV7 and TSV1 is −29.2 dBand −39 dB, respectively. Others are below −40 dB. It can beconcluded that, in Model 3, the cross talk can also be ignoredwhen the distance is beyond√2 pitch.

    4.4. Comparison of theThreeModels. From the comparison ofthe three models, Model 1 results in the least coupling value.This may benefit from the four ground TSVs surroundingeach signal TSV. Referring to Model 2 and Model 3, theground distribution is not the same around each TSV. ForModel 1 and Model 3, the coupling can be ignored when thedistance between two TSVs is beyond √2 pitch. However,the distance extends to 2 × pitch for Model 2 which means

  • International Journal of Antennas and Propagation 13

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Inse

    rtio

    n co

    uplin

    g (d

    B)

    TSV6

    TSV3TSV5TSV8TSV1

    TSV4TSV2 TSV7

    −0.1

    −0.2

    −0.3

    −0.4

    −0.5

    (a)

    TSV5 TSV4 TSV6 TSV3 TSV7 TSV2 TSV8

    −25

    −30

    −35

    −40

    −45

    −50

    Cou

    plin

    g co

    effici

    ent (

    dB)

    Near coupling Far coupling

    (b)

    −25

    −30

    −35

    −40

    −45

    TSV5 TSV4 TSV6 TSV8 TSV3 TSV1 TSV7

    Cou

    plin

    g co

    effici

    ent (

    dB)

    Near coupling Far coupling

    (c)

    Figure 15: 𝑆 parameters result of Model 2. (a) Insertion loss of each TSV, (b) the cross talk from the aggressive TSV to TSV1 at 20GHz, and(c) the cross talk from the aggressive TSV to TSV2 at 20GHz.

    worse coupling. Therefore, GS distribution of Model 1 is agood choice when the amount of ground TSV is limited inreal application.

    5. Conclusion

    In this paper, we give the lumped model of the GSSG TSVstructure and it is verified by comparing the 𝑆 parameterresult with the EM simulation result. Then, multilayer TSVstructure has been proposed and the effect of bump radius,bumpheight, and dielectricmaterial on theTSVperformancehas been explored. It concludes that the bump radius weighedmost heavily among the three variables. Big bump radiuswill cause more cross talk issue. For effective use of TSVsin 3D integration, it requires hundreds of TSVs for high

    density integration. Such large number of TSVs suffer morefrom the coupling problem. Therefore, we established the 4× 4 multilayer TSV array to further explore the couplingbetween each signal TSV. Three models with different GSdistribution have been proposed to study the surroundingenvironment effect on the TSV performance and cross talklevel. It concluded that the GS distribution of Model 1 is bestin coupling comparison. All the results above can give someguidance in the production design.

    Conflict of Interests

    The authors declare that there is no conflict of interestsregarding the publication of this paper.

  • 14 International Journal of Antennas and Propagation

    0 2 4 6 8 10 12 14 16 18 20

    Frequency (GHz)

    Inse

    rtio

    n lo

    ss (d

    B)

    −0.10

    −0.15

    −0.20

    −0.25

    −0.30

    −0.35

    −0.40

    −0.45

    −0.50

    TSV3TSV5TSV7TSV8

    TSV6TSV1TSV4TSV2

    (a)

    TSV4 TSV3 TSV5 TSV6 TSV2 TSV1 TSV7

    Cou

    plin

    g co

    effici

    ent (

    dB)

    −25

    −30

    −35

    −40

    −45

    −50

    −55

    −60

    −65

    Near couplingFar coupling

    (b)

    Figure 16: 𝑆 parameters result of Model 3. (a) Insertion loss of each TSV and (b) the cross talk from the aggressive TSV to TSV8 at 20GHz.

    Acknowledgment

    This work is supported by the National Natural ScienceFoundation of China (NSFC) under Grants 61271044 and61427803.

    References

    [1] G. Katti, M. Stucchi, K. De Meyer, and W. Dehaene, “Electricalmodeling and characterization of through silicon via for three-dimensional ICs,” IEEETransactions on ElectronDevices, vol. 57,no. 1, pp. 256–262, 2010.

    [2] J. Kim, J. S. Pak, J. Cho et al., “High-frequency scalable electricalmodel and analysis of a through silicon via (TSV),” IEEETransactions on Components, Packaging and ManufacturingTechnology, vol. 1, no. 2, pp. 181–195, 2011.

    [3] D. H. Kim, S. Mukhopadhyay, and S. K. Lim, “Fast and accurateanalytical modeling of through-silicon-via capacitive coupling,”IEEE Transactions on Components, Packaging and Manufactur-ing Technology, vol. 1, no. 2, pp. 168–180, 2011.

    [4] K. J. Han,M. Swaminathan, and J. Jeong, “Modeling of through-silicon via (TSV) interposer considering depletion capacitanceand substrate layer thickness effects,” IEEE Transactions onComponents, Packaging and Manufacturing Technology, vol. 5,no. 1, pp. 108–118, 2015.

    [5] C. Liu, T. Song, J. Cho, J. Kim, J. Kim, and S. K. Lim, “Full-chipTSV-to-TSV coupling analysis and optimization in 3D IC,” inProceedings of the 48th ACM/EDAC/IEEE Design AutomationConference (DAC ’11), pp. 783–788, New York, NY, USA, June2011.

    [6] A. E. Engin and S. R. Narasimhan, “Modeling of crosstalk inthrough silicon vias,” IEEE Transactions on ElectromagneticCompatibility, vol. 55, no. 1, pp. 149–158, 2013.

    [7] W. Yao, S. Pan, B. Achkir, J. Fan, and L. He, “Modeling andapplication of multi-port TSV networks in 3-D IC,” IEEE Trans-actions on Computer-Aided Design of Integrated Circuits andSystems, vol. 32, no. 4, pp. 487–496, 2013.

    [8] R. Cecchetti, S. Piersanti, F. de Paulis, A. Orlandi, and J. Fan,“Analytical evaluation of scattering parameters for equivalentcircuit of through silicon via array,” Electronics Letters, vol. 51,no. 13, pp. 1025–1027, 2015.

    [9] T. Bandyopadhyay, K. J. Han, D. Chung et al., “Rigorous electri-cal modeling of through silicon vias (TSVs) with MOS capac-itance effects,” IEEE Transactions on Components, Packagingand Manufacturing Technology, vol. 1, no. 6, pp. 893–903, 2011.

    [10] H. Kim, J. Cho, M. Kim et al., “Measurement and analysis of ahigh-speed TSV channel,” IEEE Transactions on Components,Packaging and Manufacturing Technology, vol. 2, no. 10, pp.1672–1685, 2012.

    [11] J. Kim, J. Cho, J. Kim et al., “High-frequency scalable modelingand analysis of a differential signal through-silicon via,” IEEETransactions on Components, Packaging and ManufacturingTechnology, vol. 4, no. 4, pp. 697–707, 2014.

    [12] B. S. Guru and H. R. Hiziroglu, Electromagnetic Field TheoryFundamentals, Cambridge University Press, 2004.

    [13] I. Ndip, K. Zoschke, K. Löbbicke et al., “Analytical, numerical-,and measurement—based methods for extracting the electricalparameters of through silicon vias (TSVs),” IEEE Transactionson Components, Packaging and Manufacturing Technology, vol.4, no. 3, pp. 504–515, 2014.

    [14] M. Kawano, N. Takahashi, Y. Kurita, K. Soejima, M. Komuro,and S. Matsui, “Three-dimensional packaging technology forstacked DRAM with 3-Gb/s data transfer,” IEEE Transactionson Electron Devices, vol. 55, no. 7, pp. 1614–1620, 2008.

    [15] Z. Xu, A. Beece, D. Zhang et al., “Crosstalk evaluation, suppres-sion andmodeling in 3D through-strata-via (TSV) network,” inProceedings of the 2nd IEEE International 3D Systems Integra-tion Conference (3DIC ’10), pp. 1–8, IEEE, Munich, Germany,November 2010.

  • International Journal of

    AerospaceEngineeringHindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    RoboticsJournal of

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Active and Passive Electronic Components

    Control Scienceand Engineering

    Journal of

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    International Journal of

    RotatingMachinery

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Hindawi Publishing Corporation http://www.hindawi.com

    Journal ofEngineeringVolume 2014

    Submit your manuscripts athttp://www.hindawi.com

    VLSI Design

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Shock and Vibration

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Civil EngineeringAdvances in

    Acoustics and VibrationAdvances in

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Electrical and Computer Engineering

    Journal of

    Advances inOptoElectronics

    Hindawi Publishing Corporation http://www.hindawi.com

    Volume 2014

    The Scientific World JournalHindawi Publishing Corporation http://www.hindawi.com Volume 2014

    SensorsJournal of

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Modelling & Simulation in EngineeringHindawi Publishing Corporation http://www.hindawi.com Volume 2014

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Chemical EngineeringInternational Journal of Antennas and

    Propagation

    International Journal of

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    Navigation and Observation

    International Journal of

    Hindawi Publishing Corporationhttp://www.hindawi.com Volume 2014

    DistributedSensor Networks

    International Journal of