do- oe -se es woro t ue oos do-254 model-based design

1
% Initialize state transition mat ] % [y % [Vx % [Vy % [Ax % [Ay H = [ 1 0 0 0 0 0; 0 1 0 0 0 0 ]; x_est = x_prd + klm_gain * (z - H p_est = p_prd - klm_gain * H * p_ % Compute the estimated measureme y = H * x_est; end % of the funct S = H * p_prd’ * H’ + R; B = H * p_prd’; klm_gain = (S \ B)’; % Estimated state and covariance x_est = x_prd + klm_gain * (z - H p_est = p_prd - klm_gain * H * p_ % Compute the estimated measureme y = H * x_est; end % of the funct surement matrix Q = eye(6); R = 1000 * eye(2); persistent x_est p_est Prepared by: Albert Ramirez Perez and Jack Erickson, MathWorks [email protected] [email protected] November 2018 DO-254 Model-Based Design Workflow with Qualified Tools - - - - - Simulation and FPGA in the Loop Test Cases Traceability to HWR C o n c e p t u a l D e s i g n DESIGN MODEL H a r d w a r e R e q s SPECIFICATION Simulation Results Report Model Coverage Report Model Standards Report Model Design Error Report System Design Description HDL Code Report Coding Standards Report Low Level Test Cases Model Coverage Analysis Conceptual Design Traceability to HWR HDL Coder Simulink Test RTL traceability to Conceptual Design FPGA in the Loop Test Cases Traceability to Conceptual Design and HWR Simulink Requirements DO-254: Requested Activities 4.1(2) Standards are selected and defined DO-331: Tool Qualification Credit Table MB.A-3 Verification of Requirements Process (Obj 2 to 7) Table MB.A-4 Verification of Design Process (Obj 2 to 7 and 9 to 12) Table MB.A-5 Verification of Coding and Integration Process (Obj 5) Reuse of the Simulation Test Cases Adding HW specific Test Cases Effort Distribution in Traditional Development Workflows Unit Design & Reqs Validation Unit Verification Specifications Implementation (C, C++, HDL, …) Effort Distribution in Model-Based Design Workflows Specifications Unit Design & Reqs Validation Implementation (C, C++, HDL, …) Unit Verification Autocoding Settings Testing Environment Settings HARDWARE IMPLEMENTATION Synthesizer FPGA in the Loop Testing DO-254: Required Activities: 6.2.2 (4) Verification coverage analysis should be performed to determine that the verification process is complete DO-331: Table MB.A-3 Verification of Requirements Process (Obj 1, 4 and 5) Table MB.A-4 Verification of Design Process (Obj 1, 4, 5, 11 and 12) Table MB.A-7 Verification of Verification Process Results (Obj 5 to 7) DO-331: Table MB.A-3 Verification of Requirements Process (Obj 1 to 7) Table MB.A-4 Verification of Design Process (Obj 1 to 6, 8 to 12) Conceptual Design and RTL / HDL Traceability to HWR Design Implementation - MATLAB, Simulink, Stateflow, Simevents, Simscape Process that generates the life-cycle data MB Example 1 MB Example 2 MB Example 3 MB Example 4 MB Example 5 System Requirement and System Design Processes Requirements allocated to software Requirements from which the Model is developed Requirements from which the Model is developed Requirements from which the Model is developed Requirements from which the Model is developed Design Model Software Requirement and Software Design Processes Requirements from which the Model is developed Specification Model Specification Model Design Model Design Model Design Model Textual description Software Coding Process Source Code Source Code Source Code Source Code Source Code DO-331 Table MB.1-1 Model Usage Examples HDL CODE Model-Based Design supplements that can be applied DO-330 for Tool Qualification DO-331 for Model-Based Design DO-333 for Formal Methods DO-330 Tool Qualification Summary SW Level Tool Qualification Criteria 1 2 3 A TQL-1 TQL-4 TQL-5 B TQL-2 TQL-4 TQL-5 C TQL-3 TQL-5 TQL-5 D TQL-4 TQL-5 TQL-5 Tool Criteria Definition 1: Development Tool whose output is part of the resulting SW and thus could insert and error 2: Verification Tool that automates verification process (es) and thus could fail to detect and error, and whose output is used to justify the elimination or reduction of: - Verification process (es) other than that automated by the tool, or - Development process (es) that could have an impact on the airborne (or NS/ATM) SW 3: Verification Tool that automates verification process(es) and thus could fail to detect and error DO Qualification Kit Tools Requirements, User Manual and other MathWorks documentation Workflow Documentation and Tool Qualification Plans templates Verification Inputs Test Cases and Expected Results Specification Model is a model representing high-level requirements that provides an abstract representation of functional, performance, interface, or safety characteristics of the software components. A Specification Model does not define software design details such as internal data structures, internal data flow, or internal control flow. Design Model is a model that defines any software design such as low-level requirements, software architecture, algorithms, component internal data strictures, data flow and/or control flow. A model used to generate Source Code is a Design Model. Unqualified Tools Qualified Tools Configuration Inputs Artifacts Simulink Requirements Requirements Authoring D e t a i l e d D e s i g n ( R T L ) N e t l i s t a n d R o u t i n g DO 254: 5.1.1 (1, 2) Requirements identified, defined, and documented Derived requirements produced DO-254: Required Activities 6.3.2(6) A simulation analysis compares the simulation results to the expected results DO-254: 6.3.3.1(5) The requirements should be compatible with the relevant HW design standards Fixed-Point Designer Fixed Point Model Floating Point Model Implementation Model SW DAL MC/DC Decision coverage Statement coverage data coupling and control coupling A 100% (Ind) 100% (Ind) 100% (Ind) 100% (Ind) B 100% (Ind) 100% (Ind) 100% (Ind) C 100% 100% DO 254: Requested Activities 5.2.1(1) - Hardware item conceptual design developed consistent with requirements 5.2.1(2) - Derived requirements produced 6.2.1(2) Traceability between hardware requirements, implementation, and verification procedures and results DO-254: Requested Activities 5.3.1(1) Detailed design is developed from hardware item requirements and conceptual design DO-254: Requested Activities 6.2.1(1) Evidence is provided that the hardware implementation meets requirements 6.2.2 (4) Verification coverage analysis should be performed to determine that the verification process is complete Note that the FPGA in the Loop is some corner cases but cannot be used as a Requirement Based Testing on the target Hardware unless the complete functionality is loaded into the Mapper and Router. The optimizations that the router applies for a subset of the design can be different from those applied when it optimizes the complete design. HDL Verifier Functional Equivalence Verification vs the Conceptual Design RTL Testbench (HDL) Co-Simulation with EDA Tools Other EDA tool Support HDL Verifier Legacy HDL Code import (EDA Co-Simulation) Simulink Coder HDL Verifier codegen with a System- Verilog DPI Validation Model (Simulink) HDL Co-Simulation Model FPGA Co-Simulation Model (bitstream) - ä (FM-A) Automatic Test Case Generation Requirement Based Functional Test Cases Test Cases identified using missing coverage Simulink Test Design constraints (Equivalence classes, Boundary Values, Derived Reqs) Simulink Coverage Model in the Loop (MIL) Functional Testing Simulation Test Cases Traceability (FM-B) Design Error Detection* & (FM-C) Property Proving Simulation Cases Results Coverage Metrics Testing Environment Settings DO-331: Table MB.A-3 and MB.C-3 Verification of Requirements Process (Obj 10) Table MB.A-4 and MB.C-3 Verification of Design Process (Obj 16) DO-331: Table MB.A-3 and MB.C-3 Verification of Requirements Process (Obj 2, 4 and 7) Table MB.A-4 and MB.C-4 Verification of Design Process (Obj 2, 4, 7, 9,11) DO-333: Table FM.A-3 and FM.C-3 Verification of Requirements Process (Obj 8 to 11) Table FM.A-4 and FM.C-4 Verification of Design Process (Obj 14 to 17) Test Cases Traceability to Conceptual Design Note: Formal Methods allow to detect errors in the Model including dead logic, integer overflow, division by zero, and violations of design properties and assertions, out-of-bounds array access and certain other run-time errors in source code Simulink Design Verifier From the 3 capabilities of Simulink Design Verifier, only Design Error Detection can be qualified Simulink Requirements Modelling Standards Simulink Check Model Conformance Metrics Automatic Code Generation Simulink Report Generator Doc Templates Scripts Some tools generate directly the artifacts Customer’s quotes claim a total effort reduction around 30% Model Conversion

Upload: others

Post on 14-Mar-2022

4 views

Category:

Documents


0 download

TRANSCRIPT

function y = kalmanfilter(z) %#codegendt=1;% Initialize state transition matrixA=[ 1 0 dt 0 0 0;... % [x ] 0 1 0 dt 0 0;... % [y ] 0 0 1 0 dt 0;... % [Vx] 0 0 0 1 0 dt;... % [Vy] 0 0 0 0 1 0 ;... % [Ax] 0 0 0 0 0 1 ]; % [Ay]H = [ 1 0 0 0 0 0; 0 1 0 0 0 0 ]; % InitialS = H * p_prd’ * H’ + R;B = H * p_prd’;klm_gain = (S \ B)’;% Estimated state and covariancex_est = x_prd + klm_gain * (z - H * x_prd);p_est = p_prd - klm_gain * H * p_prd;% Compute the estimated measurementsy = H * x_est;end % of the function S = H * p_prd’ * H’ + R;B = H * p_prd’;klm_gain = (S \ B)’;% Estimated state and covariancex_est = x_prd + klm_gain * (z - H * x_prd);p_est = p_prd - klm_gain * H * p_prd;% Compute the estimated measurementsy = H * x_est;end % of the function ize mea-surement matrixQ = eye(6);R = 1000 * eye(2);persistent x_est p_est % Initial state conditionsif isempty(x_est) x_est = zeros(6, 1); % x_es-t=[x,y,Vx,Vy,Ax,Ay]’ p_est = zeros(6, 6);end% Predicted state and covariance

Prepared by: Albert Ramirez Perez and Jack Erickson, [email protected]@MathWorks.comNovember 2018

-

-

-

-

-

Simulation and FPGA in the Loop Test Cases Traceability to HWR

Conceptual Design

DESIGN MODEL

Hardware Reqs

SPECIFICATION

• Simulation Results Report• Model Coverage Report• Model Standards Report• Model Design Error Report• System Design Description

• HDL Code Report• Coding Standards Report• Low Level Test Cases

Mod

el C

over

age

Anal

ysis

Conceptual Design Traceability to HWR

HDL Coder

Simulink Test

RTL traceability to Conceptual Design

FPGA

in th

e Lo

op Te

st C

ases

Trac

eabi

lity

to C

once

ptua

l Des

ign

and

HWR

Simulink Requirements

DO-254: Requested Activities4.1(2) – Standards are selected and defined

DO-331: Tool Qualification CreditTable MB.A-3 Verification of Requirements Process (Obj 2 to 7)Table MB.A-4 Verification of Design Process (Obj 2 to 7 and 9 to 12)Table MB.A-5 Verification of Coding and Integration Process (Obj 5)

Albert Ramirez Perez and Jack Erickson, [email protected]

[email protected] 2018

DO-254 Model-Based Design Workflow with Qualified Tools

Reuse of the Simulation Test CasesAdding HW specific Test Cases

Effort Distribution in Traditional Development Workflows

Unit Design & Reqs Validation

UnitVerificationSpecifications Implementation

(C, C++, HDL, …)

Effort Distribution in Model-Based Design Workflows

Specifications

Unit Design & Reqs Validation

Implementation (C, C++, HDL, …)

UnitVerification

Autocoding Settings

Testing Environment Settings

HARDWARE IMPLEMENTATION

Synthesizer

FPGA in the Loop Testing

DO-254: Required Activities:6.2.2 (4) Verification coverage analysis should be performed to determine that the verification process is complete

DO-331: Table MB.A-3 Verification of Requirements Process (Obj 1, 4 and 5)Table MB.A-4 Verification of Design Process (Obj 1, 4, 5, 11 and 12)Table MB.A-7 Verification of Verification Process Results (Obj 5 to 7)

DO-331: Table MB.A-3 Verification of Requirements Process (Obj 1 to 7)Table MB.A-4 Verification of Design Process (Obj 1 to 6, 8 to 12)

Conceptual Design and RTL / HDL Traceability to HWR

Desig

n Im

plem

enta

tion

-MAT

LAB,

Sim

ulin

k, St

atef

low,

Sim

even

ts, S

imsc

ape

Process that generates the life-cycle data

MB Example 1 MB Example 2 MB Example 3 MB Example 4 MB Example 5

System Requirement and System

Design Processes

Requirements allocated to

software

Requirements from which the

Model is developed

Requirements from which the

Model is developed

Requirements from which the

Model is developed

Requirements from which the Model is

developed

Design ModelSoftware Requirement and Software

Design Processes

Requirements from which the Model is

developed

Specification Model

Specification Model

Design Model

Design Model Design Model Textual description

Software Coding Process Source Code Source Code Source Code Source Code Source Code

DO-331 Table MB.1-1 Model Usage Examples

HDL CODE

Model-Based Design supplements that can be applied• DO-330 for Tool Qualification• DO-331 for Model-Based Design• DO-333 for Formal Methods

DO-330 Tool Qualification Summary

SW LevelTool Qualification Criteria

1 2 3

A TQL-1 TQL-4 TQL-5

B TQL-2 TQL-4 TQL-5

C TQL-3 TQL-5 TQL-5

D TQL-4 TQL-5 TQL-5

Tool Criteria Definition

1: Development Tool whose output is part of the resulting SW and thus could insert and error

2: Verification Tool that automates verification process (es) and thus could fail to detect and error, and whose output is used to justify the elimination or reduction of:

- Verification process (es) other than that automated by the tool, or- Development process (es) that could have an impact on the airborne (or NS/ATM) SW

3: Verification Tool that automates verification process(es) and thus could fail to detect and error

DO Qualification Kit

Tools Requirements, User Manual and other MathWorks documentationWorkflow Documentation and Tool Qualification Plans templates

Verification Inputs Test Cases and Expected Results

Specification Model is a model representing high-level requirements that provides an abstract representation of functional,performance, interface, or safety characteristics of the software components. A Specification Model does not define softwaredesign details such as internal data structures, internal data flow, or internal control flow.

Design Model is a model that defines any software design such as low-level requirements, software architecture, algorithms,component internal data strictures, data flow and/or control flow. A model used to generate Source Code is a Design Model.

Unqualified Tools

Qualified Tools

Configuration Inputs

Artifacts

Simulink Requirements Requirements Authoring

Detailed Design (RTL)

Netlist and Routing

DO 254: 5.1.1 (1, 2)– Requirements identified, defined, and documented– Derived requirements produced

DO-254: Required Activities 6.3.2(6) – A simulation analysis compares the simulation results to the expected results

DO-254: 6.3.3.1(5) – The requirements should be compatible with the relevant HW design standards Fixed-Point Designer

Fixed Point Model

Floating PointModel

ImplementationModel

SW DAL MC/DC Decision coverage Statement

coverage data coupling and control coupling

A 100% (Ind) 100% (Ind) 100% (Ind) 100% (Ind)

B 100% (Ind) 100% (Ind) 100% (Ind)

C 100% 100%

DO 254: Requested Activities5.2.1(1) - Hardware item conceptual design developed consistent with requirements5.2.1(2) - Derived requirements produced6.2.1(2) – Traceability between hardware requirements, implementation, and verification procedures and results

DO-254: Requested Activities5.3.1(1) – Detailed design is developed from hardware item requirements and conceptual design

DO-254: Requested Activities6.2.1(1) – Evidence is provided that the hardware implementation meets requirements6.2.2 (4) Verification coverage analysis should be performed to determine that the verification process is complete

Note that the FPGA in the Loop is some corner cases but cannot be used as a Requirement Based Testing on the target Hardware unless the complete functionality is loaded into the Mapper and Router. The optimizations that the router applies for a subset of the design can be different from those applied when it optimizes the complete design.

HDL Verifier

Functional Equivalence Verificationvs the Conceptual Design

RTL Testbench (HDL)Co-Simulation with EDA Tools

Other EDA tool Support

HDL VerifierLegacy HDL Code import (EDA Co-Simulation)

Simulink Coder HDL Verifiercodegen with a System- Verilog DPI

Validation Model (Simulink)

HDL Co-Simulation Model

FPGA Co-Simulation Model (bitstream)

(FM-A) Automatic Test Case

Generation

Requirement Based Functional Test Cases

Test Cases identified using missing coverage

Simulink Test

Design constraints (Equivalence classes, Boundary Values, Derived Reqs)

Simulink Coverage

Model in the Loop (MIL) Functional Testing

Sim

ulat

ion

Test

Case

s Tra

ceab

ility

(FM-B) Design Error Detection* & (FM-C) Property Proving

Simulation Cases Results

Cove

rage

Met

rics

Testing Environment Settings

DO-331: Table MB.A-3 and MB.C-3 Verification of Requirements Process (Obj 10)Table MB.A-4 and MB.C-3 Verification of Design Process (Obj 16)

DO-331: Table MB.A-3 and MB.C-3 Verification of Requirements Process (Obj 2, 4 and 7)Table MB.A-4 and MB.C-4 Verification of Design Process (Obj 2, 4, 7, 9,11)

DO-333: Table FM.A-3 and FM.C-3 Verification of Requirements Process (Obj 8 to 11)Table FM.A-4 and FM.C-4 Verification of Design Process (Obj 14 to 17)

Test Cases Traceability to Conceptual Design

Note: Formal Methods allow to detect errors in the Model including dead logic, integer overflow, division by zero, and violations of design properties and assertions, out-of-bounds array access and certain other run-time errors in source code

Simulink Design VerifierFrom the 3 capabilities of Simulink Design Verifier, only Design Error Detection can be qualified

Simulink Requirements

Modelling Standards

Simulink Check Model Conformance Metrics

Automatic Code Generation

Simulink Report Generator

Doc Templates Scripts

Some tools generate directly the artifacts

Customer’s quotes claim a total effort

reduction around 30%

Model Conversion

DO-254 Model-Based Design Workflow with Qualified Tools

-

-

-

-

-

Simulation and FPGA in the Loop Test Cases Traceability to HWR

Conceptual Design

DESIGN MODEL

Hardware Reqs

SPECIFICATION

• Simulation Results Report• Model Coverage Report• Model Standards Report• Model Design Error Report• System Design Description

• HDL Code Report• Coding Standards Report• Low Level Test Cases

Mod

el C

over

age

Anal

ysis

Conceptual Design Traceability to HWR

HDL Coder

Simulink Test

RTL traceability to Conceptual Design

FPGA

in th

e Lo

op Te

st C

ases

Trac

eabi

lity

to C

once

ptua

l Des

ign

and

HWR

Simulink Requirements

DO-254: Requested Activities4.1(2) – Standards are selected and defined

DO-331: Tool Qualification CreditTable MB.A-3 Verification of Requirements Process (Obj 2 to 7)Table MB.A-4 Verification of Design Process (Obj 2 to 7 and 9 to 12)Table MB.A-5 Verification of Coding and Integration Process (Obj 5)

Albert Ramirez Perez and Jack Erickson, [email protected]

[email protected] 2018

DO-254 Model-Based Design Workflow with Qualified Tools

Reuse of the Simulation Test CasesAdding HW specific Test Cases

Effort Distribution in Traditional Development Workflows

Unit Design & Reqs Validation

UnitVerificationSpecifications Implementation

(C, C++, HDL, …)

Effort Distribution in Model-Based Design Workflows

Specifications

Unit Design & Reqs Validation

Implementation (C, C++, HDL, …)

UnitVerification

Autocoding Settings

Testing Environment Settings

HARDWARE IMPLEMENTATION

Synthesizer

FPGA in the Loop Testing

DO-254: Required Activities:6.2.2 (4) Verification coverage analysis should be performed to determine that the verification process is complete

DO-331: Table MB.A-3 Verification of Requirements Process (Obj 1, 4 and 5)Table MB.A-4 Verification of Design Process (Obj 1, 4, 5, 11 and 12)Table MB.A-7 Verification of Verification Process Results (Obj 5 to 7)

DO-331: Table MB.A-3 Verification of Requirements Process (Obj 1 to 7)Table MB.A-4 Verification of Design Process (Obj 1 to 6, 8 to 12)

Conceptual Design and RTL / HDL Traceability to HWR

Desig

n Im

plem

enta

tion

-MAT

LAB,

Sim

ulin

k, St

atef

low,

Sim

even

ts, S

imsc

ape

Process that generates the life-cycle data

MB Example 1 MB Example 2 MB Example 3 MB Example 4 MB Example 5

System Requirement and System

Design Processes

Requirements allocated to

software

Requirements from which the

Model is developed

Requirements from which the

Model is developed

Requirements from which the

Model is developed

Requirements from which the Model is

developed

Design ModelSoftware Requirement and Software

Design Processes

Requirements from which the Model is

developed

Specification Model

Specification Model

Design Model

Design Model Design Model Textual description

Software Coding Process Source Code Source Code Source Code Source Code Source Code

DO-331 Table MB.1-1 Model Usage Examples

HDL CODE

Model-Based Design supplements that can be applied• DO-330 for Tool Qualification• DO-331 for Model-Based Design• DO-333 for Formal Methods

DO-330 Tool Qualification Summary

SW LevelTool Qualification Criteria

1 2 3

A TQL-1 TQL-4 TQL-5

B TQL-2 TQL-4 TQL-5

C TQL-3 TQL-5 TQL-5

D TQL-4 TQL-5 TQL-5

Tool Criteria Definition

1: Development Tool whose output is part of the resulting SW and thus could insert and error

2: Verification Tool that automates verification process (es) and thus could fail to detect and error, and whose output is used to justify the elimination or reduction of:

- Verification process (es) other than that automated by the tool, or- Development process (es) that could have an impact on the airborne (or NS/ATM) SW

3: Verification Tool that automates verification process(es) and thus could fail to detect and error

DO Qualification Kit

Tools Requirements, User Manual and other MathWorks documentationWorkflow Documentation and Tool Qualification Plans templates

Verification Inputs Test Cases and Expected Results

Specification Model is a model representing high-level requirements that provides an abstract representation of functional,performance, interface, or safety characteristics of the software components. A Specification Model does not define softwaredesign details such as internal data structures, internal data flow, or internal control flow.

Design Model is a model that defines any software design such as low-level requirements, software architecture, algorithms,component internal data strictures, data flow and/or control flow. A model used to generate Source Code is a Design Model.

Unqualified Tools

Qualified Tools

Configuration Inputs

Artifacts

Simulink Requirements Requirements Authoring

Detailed Design (RTL)

Netlist and Routing

DO 254: 5.1.1 (1, 2)– Requirements identified, defined, and documented– Derived requirements produced

DO-254: Required Activities 6.3.2(6) – A simulation analysis compares the simulation results to the expected results

DO-254: 6.3.3.1(5) – The requirements should be compatible with the relevant HW design standards Fixed-Point Designer

Fixed Point Model

Floating PointModel

ImplementationModel

SW DAL MC/DC Decision coverage Statement

coverage data coupling and control coupling

A 100% (Ind) 100% (Ind) 100% (Ind) 100% (Ind)

B 100% (Ind) 100% (Ind) 100% (Ind)

C 100% 100%

DO 254: Requested Activities5.2.1(1) - Hardware item conceptual design developed consistent with requirements5.2.1(2) - Derived requirements produced6.2.1(2) – Traceability between hardware requirements, implementation, and verification procedures and results

DO-254: Requested Activities5.3.1(1) – Detailed design is developed from hardware item requirements and conceptual design

DO-254: Requested Activities6.2.1(1) – Evidence is provided that the hardware implementation meets requirements6.2.2 (4) Verification coverage analysis should be performed to determine that the verification process is complete

Note that the FPGA in the Loop is some corner cases but cannot be used as a Requirement Based Testing on the target Hardware unless the complete functionality is loaded into the Mapper and Router. The optimizations that the router applies for a subset of the design can be different from those applied when it optimizes the complete design.

HDL Verifier

Functional Equivalence Verificationvs the Conceptual Design

RTL Testbench (HDL)Co-Simulation with EDA Tools

Other EDA tool Support

HDL VerifierLegacy HDL Code import (EDA Co-Simulation)

Simulink Coder HDL Verifiercodegen with a System- Verilog DPI

Validation Model (Simulink)

HDL Co-Simulation Model

FPGA Co-Simulation Model (bitstream)

(FM-A) Automatic Test Case

Generation

Requirement Based Functional Test Cases

Test Cases identified using missing coverage

Simulink Test

Design constraints (Equivalence classes, Boundary Values, Derived Reqs)

Simulink Coverage

Model in the Loop (MIL) Functional Testing

Sim

ulat

ion

Test

Case

s Tra

ceab

ility

(FM-B) Design Error Detection* & (FM-C) Property Proving

Simulation Cases Results

Cove

rage

Met

rics

Testing Environment Settings

DO-331: Table MB.A-3 and MB.C-3 Verification of Requirements Process (Obj 10)Table MB.A-4 and MB.C-3 Verification of Design Process (Obj 16)

DO-331: Table MB.A-3 and MB.C-3 Verification of Requirements Process (Obj 2, 4 and 7)Table MB.A-4 and MB.C-4 Verification of Design Process (Obj 2, 4, 7, 9,11)

DO-333: Table FM.A-3 and FM.C-3 Verification of Requirements Process (Obj 8 to 11)Table FM.A-4 and FM.C-4 Verification of Design Process (Obj 14 to 17)

Test Cases Traceability to Conceptual Design

Note: Formal Methods allow to detect errors in the Model including dead logic, integer overflow, division by zero, and violations of design properties and assertions, out-of-bounds array access and certain other run-time errors in source code

Simulink Design VerifierFrom the 3 capabilities of Simulink Design Verifier, only Design Error Detection can be qualified

Simulink Requirements

Modelling Standards

Simulink Check Model Conformance Metrics

Automatic Code Generation

Simulink Report Generator

Doc Templates Scripts

Some tools generate directly the artifacts

Customer’s quotes claim a total effort

reduction around 30%

Model Conversion