digitalni automati

Upload: inesgalic

Post on 17-Oct-2015

233 views

Category:

Documents


7 download

DESCRIPTION

digitalni automati

TRANSCRIPT

  • 5/27/2018 digitalni automati

    1/68

    11001

    01001

    110010

    100111

    11001

    01001

    Digitalni automat je univerzalni sekvencijski sklop, ijeponaanjeovisisamo o sadanjem i prethodnim ulaznim podacima-dogaajima. Radautomata moese objasniti kroz teoriju sustava s upravljanjem.

    Digitalni automati

    Proces

    AutomatSustav

    Razni utjecaji

    Sustav ine proces i automat. Okolina djeluje na proces remetei njegovrad, dok automat pokuava odrati proces u optimalnim uvjetima rada,kako bi realizirao postavljenu funkciju cilja.

  • 5/27/2018 digitalni automati

    2/68

    Digitalni automati-111001

    01001

    110010

    100111

    11001

    01001

    Automat mjeri stanje procesa i razluuje sva njegova bitna stanja.Kaemo da proces mora biti mjerljiv, kako bi upravljanje moglofunkcionirati. Automat posjeduje ugraenoznanje o procesu.

    On na osnovi sadanjeg i prethodnih dogaajau procesu moe odreditioptimalnu akciju ili niz akcija. Tim akcijama automat treba dovesti

    proces u optimalni reimrada.

    Da bi to bilo mogue, automat mora raspolagati i dovoljnim skupom

    akcija, kako bi mogao kompenzirati bilo koji predvidivi utjecaj okoline.Kaemo da proces mora biti upravljiv, kako bi upravljanje moglofunkcionirati.

  • 5/27/2018 digitalni automati

    3/68

    11001

    01001

    110010

    100111

    11001

    01001

    Digitalni automati-2

    Ukoliko vrijednosti izlaznih promjenjivih zavise ne samo od trenutnihvrijednosti ulaznih promjenjivih nego i odprolihvrijednosti (parovaulaza-izlaza) za digitalni sustav se kaeda je sekvencijalnisustav iliautomat.

    X1(t) ..

    .Xn(t)

    KOMBINACIONAMREA

    .

    .

    .

    MEMORIJA

    Y1Yr

    ...... Y1(t)...Yp(t)

    Z1

    Zm

  • 5/27/2018 digitalni automati

    4/68

    Apstraktni model automata11001

    01001

    110010

    100111

    11001

    01001

    Postoje slijedeevrste digitalnih automata:

    Konani-ima konaanbroj stanja, konanumemoriju;

    Digitalni-raspolaedigitalnim ulazima i izlazima;

    Diskretni- radi u diskretnom vremenu;

    Determinirani-jednoznanoobavlja svoju funkciju;

    Specificirani:potpuni- moguisvi nizovi ulaznih dogaaja;nepotpuni-moguisamo neki nizovi ulaznih dogaaja;

    Sinkroni-diskretno vrijeme je definirano taktnim signalom.

  • 5/27/2018 digitalni automati

    5/68

    SINHRONE i ASINHRONE sekvencijalne mree11001

    01001

    110010

    100111

    11001

    01001

    Kod sinhronih mrea ulazi, izlazi i interna stanja se mijenjaju udiskretnim vremenskim trenucima, definiranim prekosinhronizacijskog ulaza osnovnom frekvencijom takta sustava, tj.

    taktom.

    Kod asinhronih sekvencijalnih mrea stanja se mogu mijenjati u bilokoje vrijeme, a ulazi mogu biti signali razine koji se javljaju u

    proizvoljnom intervalu vremena.

    TAKT

    X

    S

    Z

    a)

    X

    b)

    S

    Z

  • 5/27/2018 digitalni automati

    6/68

    Formalni matematiki opis sekvencijalnih mrea11001

    01001

    110010

    100111

    11001

    01001

    Apstraktni automat je matematiki model prekidakog upravljakogautomata koji se zadaje skupom iz pet elemenata:

    W=(X, Y, S, , ,)

    gdje su:

    Xili U = (x1, x2, ..., xn)- skup ulaznih signala ili ulazni alfabet

    Yili I = (y1, y

    2, ..., y

    m) - skup izlaznih signala ili izlazni alfabet

    S = (s1, s2, ..., sk) - skup stanja ili alfabet stanja, a

    s0

    - poetno stanje - funkcija prijelaza koja realizira

    preslikavanje skupa S XS

    - funkcija izlaza koja realizirapreslikavanje skupa S XY

  • 5/27/2018 digitalni automati

    7/68

    11001

    01001

    110010

    100111

    11001

    01001

    Apstraktni automat-1

    Ulazni simbol pojavljuje se u diskretnom vremenskom trenutku na ulazuu automat. On predstavlja svu informaciju koju automat dobiva od

    procesa u tom diskretnom trenutku, i dva se ulazna simbola ne mogu

    pojaviti istovremeno. Vremenski niz ulaznih simbola, koji se pojavljuju u

    uzastopnim diskretnim trenucima, ini ulaznu sekvencu ili ulaznu rije.

    I je skup izlaznih simbola ili izlazni alfabet, a oni su u stvarnom

    automatu kodirani kodnim rijeimaizlaznih varijabli Y:

    Izlazni simbol "ij" pojavljuje se u diskretnom vremenskom trenutku naizlazu automata. On predstavlja svu informaciju koju automat daje

    procesu u tom diskretnom trenutku, i dva se izlazna simbola ne mogu

    pojaviti istovremeno. Vremenski niz izlaznih simbola, koji se pojavljuju

    u uzastopnim diskretnim trenucima, ini izlaznu sekvencu ili izlaznurije.

  • 5/27/2018 digitalni automati

    8/68

    11001

    01001

    110010

    100111

    11001

    01001

    Apstraktni automat-2

    S je skup unutranjih stanja automata, a ona su u stvarnom automatukodirani kodnim rijeima varijabli Z:

    S=(Sl,S2,...,Sn)

    Z=(z1,z2,...,zk)

    Varijable Z su zapravo izlazi bistabila kojima je realizirana memorija

    automata. Stanje automata Sj" je jedino stanje koje automat zauzima u

    diskretnom trenutku. Ono predstavlja svu informaciju koju automat imao prethodnim dogaajima u procesu. Vremenski niz stanja, koji se

    pojavljuju u uzastopnim diskretnim trenucima, ini trajektoriju iliputanju stanja kroz koje prolazi automat.

  • 5/27/2018 digitalni automati

    9/68

    11001

    01001

    110010

    100111

    11001

    01001

    Apstraktni automat-3

    Funkcija prijelaza, odreuje slijedee stanje automata na osnovusadanjegstanja i sadanjegulaza:

    , : sn+1= (s,U)n SxU S

    U funkciju prijelaza uvrtavamopar stanje-ulazni simbol, a taj par je lanKartezijevog produkta skupova S i U. Kartezijev produkt dvaju skupova

    je skup, koji sadri sve ureene parove od po jednog lana iz svakogskupa. Kaemoda funkcija prijelaza obavlja preslikavanje iz skupa svih

    parova SxU u skup stanja S.

    Funkcija izlaza T. odreuje sadanjiizlaz automata. Razlikujemo Mealyi Moore model automata.

  • 5/27/2018 digitalni automati

    10/68

    11001

    01001

    110010

    100111

    11001

    01001

    MILI JEV i MUROV AUTOMAT

    U odnosu na funkciju izlaza u praksi se susreudva sluaja:

    Automati prve vrste ili Milijevi (Mealy) automati definiraju funkciju

    izlaza u obliku

    Y(i)= (S(i), X(i))

    Automati druge vrste ili automati Mura (Moore) definiraju funkciju

    izlaza Y(i)= (S(i))

    Izraz za funkciju izlaza automata Mura ne oznaavada je izlaz neovisano ulazu, nego samo injenicuda je utjecaj ulaza na izlaz izraenprekostanja.

    Oigledno je da je klasa Murovih automata obuhvaena klasomMilijevih, odnosno, Milijev automat ima Murov automat koji mu je

    ekvivalentan.

    Ukoliko su ulazna i izlazna abeceda stanja konane,automat se naziva

    KONANIM.

  • 5/27/2018 digitalni automati

    11/68

    11001

    01001

    110010

    100111

    11001

    01001

    MILI JEV i MUROV AUTOMAT-1

    Kod Mealy modela automata funkcija izlaza ovisi o sadanjemstanju i

    sadanjemulazu. Kaemoda obavlja preslikavanje iz skupa SxU u skupI. Mealy automat veu diskretnom periodu u kojem primi ulazni simbol,generira izlazni simbol. Stoga mu izlaz za jedan diskretni period prethodi

    Moore automatu, a redovito Mealy automat za istu fimkciju treba manji

    broj stanja. Mealy automat je osjetljiv na promjene ulaznog simbolaunutar diskretnog perioda, pa treba voditi rauna da li je ulazni nizstvarno usklaen sa diskretnim vremenom.

    Kod Moore modela automata funkcija izlaza ovisi samo o sadanjem

    stanju. Kaemo da obavlja preslikavanje iz skupa S u skup I. Mooreautomat u diskretnom periodu u kojem primi ulazni simbol najprije preeu stanje, pa tek u slijedeem diskretnom periodu generira izlazni simbol.Stoga mu izlaz za jedan diskretni period kasni za Mealy automatom, te

    redovito za istu funkciju treba vei broj stanja. Moore automat nijeosjetljiv na promjene ulaznog simbola unutar diskretnog perioda, pa ne

  • 5/27/2018 digitalni automati

    12/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zapisivanje automata

    Automat zapisujemo tablicom prijelaza i izlaza, i grafom.

    Za Mealy automat, tablica prijelaza i izlazaprikazana je na slici

    To su dvije dvodimenzionalne tablice, koje najee crtamo zajednoSvaki redak pripada jednom stanju automata, koja su popisana s lijeve

    strane tablice. Time je definiran skup stanja. Svaki stupac odgovara

    jednom ulaznom simbolu, koji su popisani u prvom retku tablice (dva

    puta, posebno za tablicu prijelaza i posebno za tablicu izlaza). Time jedefiniran skup ulaznih simbola.

  • 5/27/2018 digitalni automati

    13/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zapisivanje automata-1

    Svako mjesto u tablici prijelaza i izlaza odgovara jednom paru stanja iulaznog simbola, odreenom retkom i stupcem na ijem se presjekumjesto nalazi. Time mjesto odgovara jednom lanu kartezijevog produktaSxU.

    U tablici prijelaza u svako mjesto upisujemo stanje iz skupa S, a to je

    stanje u koje e prijei automat za promatrani par sadanjeg stanja iulaznog simbola.

    U tablici izlaza, u svako mjesto upisujemo izlazni simbol iz skupa I, a to

    je izlazni simbol koji automat generira u sadanjemtrenutku. Svi izlaznisimboli upisani u tablicu ine skup izlaznih simbola I.

  • 5/27/2018 digitalni automati

    14/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zapisivanje automata-2

    Za Moore automat, tablica prijelaza i izlaza prikazana je na slici

    To su jedna dvodimenzionalna i jedna jednodimenzionalna tablica, koje

    najee crtamo zajedno. Svaki redak pripada jednom stanju automata,

    koja su popisana s lijeve strane tablice. Time je definiran skup stanja.Svaki stupac tablice prijelaza odgovara jednom ulaznom simbolu, koji su

    popisani u prvom retku tablice. Time je definiran skup ulaznih simbola.

    Tablica izlaza ima samo jedan stupac. Svako mjesto u tablici prijelaza

    odgovara jednom paru stanja i ulaznog simbola, u koje upisujemo

    slijedee stanje kao za Mealy automat

  • 5/27/2018 digitalni automati

    15/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zapisivanje automata-3

    U tablici izlaza, u svako mjesto upisujemo izlazni simbol iz skupa I, a to

    je izlazni simbol koji automat generira u sadanjemtrenutku. Kako izlazMoore automata ovisi samo o stanju, tablica ima jedan stupac. Svi izlazni

    simboli upisani u tablicu ine skup izlaznih simbola I.

    Za potpuno specificirani automat, popunjena su sva mjesta u tablicama.Za nepotpuno specificirani automat neka mjesta nisu popunjena. To znaida smo pretpostavili ogranienja na ulaznoj sekvenci, tako da su samoneki nizovi ulaznih simbola mogui. Zbog toga e i neki parovi stanje -

    ulazni simbol biti nemogui, pa za njih nije potrebno definirati funkcijuprijelaza, kao ni funkciju izlaza za Mealy automat.

    Ova preslikavanja moemo kasnije defmirati proizvoljno, premapotrebama minimizacije sklopa.

  • 5/27/2018 digitalni automati

    16/68

    11001

    01001

    110010

    100111

    11001

    01001

    METODEZADAVANJA KONANOG AUTOMATATablini metod1/2

    tablica prelaza tablica izlaza

    X/S S0 S1... Sk X/S S0 S1... Sk

    X1...

    Xm

    (S0, X1)...

    (S0, Xm)

    (S1, X1) ...(Sk, X1). . .

    (S1, Xm) ...(Sk, Xm)

    X1...

    Xm

    (S0, X1)...

    (S0, Xm)

    (S1, X1) ...(Sk, X1). . .

    (S1, Xm) ...(Sk, Xm)

    Primjer 1

    Tablice prelaza i izlaza za konaan automat prve vrste

    A1 tabela prelaza

    S0 S1 S2

    X1

    X2

    S2

    S0

    S0

    S2

    S0

    S1

    A1 tabela izlaza

    S 0 S 1 S 2

    X 1

    X 2

    Y 1

    Y 1

    Y 1

    Y 2

    Y 2

    Y 1

  • 5/27/2018 digitalni automati

    17/68

    11001

    01001

    110010

    100111

    11001

    01001

    METODE ZADAVANJA KONANOG AUTOMATATablini metod2/2

    Primjer 2

    A2 tabela prelazaS0 S1 S2 S3

    X1

    X2

    S1

    S2

    S2

    -

    S3

    S1

    -

    S1

    A2 tabela izlaza

    X1

    X2

    Y1

    Y2

    Y3

    -

    Y3

    Y1

    -

    Y2

    Tablice prelaza i izlaza za nepotpuno definiran automatPrimjer 3

    Opi Murov automat; b) za konaan Murov automat

    (S 0 ) ... (S k ) Y 1 Y 1 Y 3 Y 2 Y 3

    S 0 ... S kA3

    S 0 S 1 S 2 S 3 S 4

    X 1

    X 2

    S 1

    S 3

    S 4

    S 1

    S 4

    S 1

    S 2

    S 0

    S 2

    S 0

    X 1

    . . .

    X p

    (S 0 , X 1 ) ... (S k , X 1 )

    . . .

    (S 0 , X p ) ... (S k , X p )

  • 5/27/2018 digitalni automati

    18/68

    11001

    01001

    110010

    100111

    11001

    01001

    Graf automata

    To je usmjereni graf kod kojeg vorita (oznaena krugovima)predstavljaju stanja, a usmjerene duine predstavljaju prijelaze. Uvorita upisujemo oznaku stanja, a uz duine (prijelaze) upisujemoulazne simbole, koji te prijelaze uzrokuju. Na taj je nain definiranafunkcija prijelaza. Jedno vorite i jedna duinaine par stanje - ulazni

    simbol, pa uz njih piemo i izlazni simbol Mealy automata. Na taj jenain definirana i funkcija izlaza. Graf Mealyevog automata prikazujeslika.

  • 5/27/2018 digitalni automati

    19/68

    11001

    01001

    110010

    100111

    11001

    01001

    Graf automata-1

    To je usmjereni graf kod kojeg vorita opet predstavljaju stanja, a

    usmjerene duine prijelaze. Uz oznaku stanja piemo oznaku izlaznogsimbola, pa je time definirana funkcija izlaza Moore automata.

    Za nepotpuno specificirane automate, iz svakog stanja nee biti

    definirani prijelazi za sve ulazne simbole.

  • 5/27/2018 digitalni automati

    20/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata grafom

    Y1

    S0

    S4 S1

    S3 S2

    X2

    Y3

    X2

    Y2 Y3

    X2

    X1

    Y1

    X1

    X2

    X1

    X1

    Y1

    X2

    Y2

    S0 S2

    S1

    S3

    X1

    Y1

    X2

    Y3

    X1

    Y2

    Y1

    X1

    Y3X2

    automat A2

    automat A3

    X1

    Y2

    X2

    S0

    S1 S2

    Y1

    X1

    Y2X2

    X2Y1

    automat A1

    X1

    Y1

    X1

    X2

  • 5/27/2018 digitalni automati

    21/68

    11001

    01001

    110010

    100111

    11001

    01001

    Apstraktna sinteza automata

    Sintezu automata obavljamo u dvije faze, kroz apstraktnu i strukturnusintezu. Apstraktna se odnosi na definiranje automata kao matematskog

    modela, a strukturna se odnosi na sintezu konkretnog digitalnog sklopa.

    Koraci sinteze su:

    APSTRAKTNA SINTEZA - zadavanje automata

    minimizacija automata

    STRUKTURNA SINTEZA

    - kodiranje stanja, ulaza i izlaza

    - uvrtavanjekodova, prepoznavanje

    tablica prijelaza za pojedine bistabile* tablica istine za izlazne varijable- realizacija automata

    * opim bistabilima i logikim vratima* MD strukturom i D bistabilima (MDD)

  • 5/27/2018 digitalni automati

    22/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata

    Zadavanje automata je postupak poetnog formalnog zapisivanjaautomata. Na osnovu ideje u funkciji automatapokuavamoga zapisati sprvenstvenom eljomda zapis bude toan.Automat poetno zadajemo koritenjem jednog od tri mogua pristupa.To su zadavanje automata kao transformatora sekvence, kao akceptora

    sekvence, te korak po korak.

    Sva tri pristupa za neki problem rezultiraju istim automatom.

    Zadavanje sutomata kao transformatora sekvence provodi se krozpostavljanje pravila o transformaciji ulazne na izlaznu sekvencu. Ova

    pravila spadaju u grupu matematskih gramatika. Govorimo o

    preslikavanju ulazne sekvence na izlaznu.

  • 5/27/2018 digitalni automati

    23/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-1

    Zadavanje automata kao akceptora sekvence provodi su krozprepoznavanje sekvenci koje izazivaju pojavu nekog simbola na izlazu.

    Koriste se metode zadavanja kao toje jezik regularnih izraza. Govorimoo transformaciji ulazne sekvence na izlazni simbol.

    Zadavanje automata metodom korak po korak provodi se kroz analizu

    svakog mogueg para stanje-ulazni simbol. Neposredno se crta grafautomata, po mogunosti u obliku potpunog stabla. Za velike automate

    potpuno stablo je preveliko (eksplozija stanja) pa se koriste reducirani

    grafovi prema snalaljivostidizajnera.

    Govorimo o transformaciji ulaznog simbola, uz stanje, na izlazni simbol.

  • 5/27/2018 digitalni automati

    24/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-2

    Rad automata znatno ovisi o karakteru ulazne sekvence. Radi se o tome

    da su nekad uzastopni simboli nezavisni jedan od drugog, a nekad inepovezane nizove, rijei. Govorimo o dvije vrste ulazne sekvence:

    o sekvenci bez strukture, i

    o sekvenci sa strukturom.

    Sekvenca bez strukture je beskonana sekvenca ulaznih simbola, kodkoje se traenasekvenca moepojaviti u proizvoljnom trenutku. Nekadsu ak mogua preklapanja sekvenci na koje automat reagira, pa moramoodluiti da li e preklopljena sekvenca djelovati kao ona koja nije

    preklopljena. Automat mora u svakom trenutku biti u stanju voditi raunao bilo kojem poetnom dijelu sekvence koji se je upravo desio.

  • 5/27/2018 digitalni automati

    25/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-3

    Sekvenca sa strukturomje beskonana sekvenca ulaznih simbola, kojase sastoji od beskonanog niza konanih sekvenci. Traenasekvenca seovdje moedesiti nakon tose desi prethodna. Automat mora analiziratiulazni niz sinkrono s pojavom konanih sekvenci.

    Obino pretpostavljamo da je automat ukljuen u trenutku kadazapoinje slijedea konana sekvenca, pa je prvi simbol kojeg automat

    primi ujedno prvi simbol konane sekvence.

    Konane sekvence mogu biti iste duljine, ali ne moraju. Ukoliko sekoriste sekvence razliite duljine, odravanjeje mogue samo ako kraasekvenca nije sadranana poetku niti jedne od duihsekvenci.

  • 5/27/2018 digitalni automati

    26/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-4

    Kod metode korak po korak crtamo graf u obliku potpunog stabla

    Potpuno stablo je

    karakterizitano zasebnim

    prijelazima u nova stanja za

    svaki par stanja i ulaznogsimbola. Stoga se kod veihautomata broj stanja vrlo brzo

    poveava. Karakteristika jepotpunog stabla, da za svaki niz

    dogaaja (za svaku ulaznusekvencu) generira zasebno

    stanje. To znai da je automat usvakom trenutku u mogunostirazlikovati (razluiti) koja se

    tono sekvenca stvarno desila uneposrednojprolosti.

  • 5/27/2018 digitalni automati

    27/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-5

    U praksi, veliki broj sekvenci za automat nema znaenje, a neke imajuisto znaenje kao i druge.

    Stoga je nepotrebno realizirati automat koji e razluivati svaku ulaznusekvencu za sebe. Naprotiv, nastojat emo koristiti automat koji ima

    najmanju razluivost, dovoljnu za izvrenje zadane funkcije. Takavautomat ima najmanji broj stanja.

    Na ovom osnovu zasniva se kasnije minimizacija automata.

  • 5/27/2018 digitalni automati

    28/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-6

    Za Moore sutomat i sekvencu sa strukturompotpuni graf izgleda

  • 5/27/2018 digitalni automati

    29/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-7

    Radi se o sekvencama duljine po 3 simbola, a potpuno stablo ima ukupno

    4 razine (raunajui i korijen).Openito, za Moore automat potpuno stablo e imati n+1 razina, gdje jen duljina konane sekvence.

    Od poetka rada, automat analizira konanu ulaznu sekvencu i donosiodluku u jednom od stanja posljednje razine.

    U ovom primjeru, u stanjima sloi sl, daje aktivni izlazni simbol. Ta stanja

    se nazivaju akceptorska stanja, jer je sekvenca prepoznata i simbol

    generiran.

    Prvi slijedei simbol je veprvi simbol slijedee konane sekvence, paprijelazi iz svih stanja idu u stanja s2 i s3. Ovo je karakteristino zasekvencu sa strukturom.

  • 5/27/2018 digitalni automati

    30/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-8

    Za Moore automat i sekvencu bez strukturepotpuni graf izgleda

  • 5/27/2018 digitalni automati

    31/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-9

    Struktura potpunog stabla i odluivanje automata od poetka rada su istikao za sekvencu sa strukturom. Meutim, nakon donoenja odlukeautomat prelazi u stanja posljednje razine (n+1 za Moore automat) zato

    jer u obzir uzima i prethodnih n-1 simbola, kao da je poeo iz poetka.

    Npr. ako je u stanju sl4 primljen simbol u2, posljednja tri simbola suu2,u1,u2, a to bi nas od poetka odvelo u stanje s13, pa upravo u to stanje

    prelazimo iz stanja s14sa u2.

    Posebno kontroliramo prijelaze iz akceptorskih stanja. Ako elimosprijeiti preklapanje, za ta stanja odredimo prijelaze u stanja s2i s3, kaoza sekvencu sa strukturom.

  • 5/27/2018 digitalni automati

    32/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-10

    Za Mealy automat i sekvencu sa strukturom imamo

  • 5/27/2018 digitalni automati

    33/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-11

    Odmah uoavamo da graf ima svega n razina, jednu manje nego zaMoore automat.

    To je posljedica naina rada Mealy automata koji izlaz generira naosnovu stanja i ulaznog simbola.

    Nakon toje primljen trei simbol donosi se odluka bez prijelaza u novostanje. Kako je odluka ve donesena, a simbol pripada staroj sekvenci,svi prijelazi za stanja posljednje razine idu u stanje s1.

  • 5/27/2018 digitalni automati

    34/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-12

    Za Mealy automat i sekvencu bez strukturepotpuni graf je

  • 5/27/2018 digitalni automati

    35/68

    11001

    01001

    110010

    100111

    11001

    01001

    Zadavanje automata-13

    Za Mealy automat i sekvencu bez strukturepotpuni graf je

    Graf je opet po strukturi isti kao za sekvencu sa strukturom, osim toprijelazi posljednje razine stanja idu u istu, posljednju razinu. Na isti

    nain kao prije kontroliramo prijelaze akceptorskih stanja.

  • 5/27/2018 digitalni automati

    36/68

    11001

    01001

    110010

    100111

    11001

    01001

    Minimizaci ja automata

    Dva automata mogu biti razliita, kad obavljaju razliitu funkciju, a

    mogu biti i isti, kad su isti po strukturi i (naravno) obavljaju istufunkciju. Dva automata mogu biti razliiti po strukturi, a obavljati istufunkciju: takva dva automata su ekvivalentni.

    Postojanje ekvivalentnih automata objanjavamo ranije spomenutomsposobnou razluivanja ulazne sekvence. Ako dva automata nisu isti, arade istu funkciju, tada jedan od njih nepotrebno razluuje ulaznesekvence, iako na kraju za njih donosi istu odluku kao da ih nije razluio.Minimizaciju provodimo sa ciljem pronalaenjaoptimalnog apstraktnogautomata, onog koji e rezultirati minimalnim sekvencijalnim sklopom.

    Kako automat s najmanjom razluivou ima najmanji broj stanja,proglaavamo ga minimalnim. Cilj minimizacije je pronai minimalanautomat ekvivalentan zadanom, odnosno ako je zadani veminimalan,dokazati njegovu minimalnost.

  • 5/27/2018 digitalni automati

    37/68

    11001

    01001

    110010

    100111

    11001

    01001

    Ekvivalentnost automata

    Dva automata (A i B) sn ekvivalentna ako ponu s radom izpoetnog stanja so, te za istu proizvoljnu sekvencu na ulazu daju istusekvencu na izlazu.

    Istovjetnost izlaznih sekvenci mora biti ostvarena za proizvoljnu,dakle bilo koju ulaznu sekvencu. U praksi, dovoljno je s testiranjem

    ii do duljine sekvence koja je jednaka broju stanja veeg automata. Ekvivalentni automati se ne mogu razlikovati u skupovima ulaznih i

    izlaznih simbola, jer nee biti zadovoljen zahtjev istovjetnosti ulazne iizlaznih sekvenci. Oni se mogu razlikovati samo u skupu stanja, a

    minimalan je onaj s najmanjim brojem stanja. Stanja koja nepotrebno razluuju ulazne sekvence, jer za njih automat

    donosi istu odluku, nazivamo ekvivalentnim stanjima.

  • 5/27/2018 digitalni automati

    38/68

    11001

    01001

    110010

    100111

    11001

    01001

    Ekvivalentnost automata-1

    Nuan uvjet ekvivalencije kae da su dva stanja potencijalnoekvivalentna ako su im reci u tablici izlaza automata identini.

    Dovoljan uvjet ekvivalencije kaeda su dva stanja ekvivalentna ako jezadovoljen nuanuvjet, te ako su im reci u tablici prijelaza automataisti.

    Da bi dobili minimalni automat dovoljno je otkriti podskupoveekvivalentnih stanja, te sva stanja nekog podskupa zamijeniti s jednim

    stanjem. Metode zapronalaenjepodskupova ekvivalentnih stanja su:

    metode primitivne matrice,

    HufmannMealy algoritam i Paul-Unger algoritam-tablica implikanata.

  • 5/27/2018 digitalni automati

    39/68

    11001

    01001

    110010

    100111

    11001

    01001

    MINIMIZACIJA BROJA STANJA KONANIH AUTOMATA

    Pod minimizacijom broja stanja konanihautomata podrazumijeva seproces dobijanja automata koji ima minimalan broj stanja u odnosu nasve automate koji realiziraju zadati zakon funkcioniranja automata.

    U matematikom smislu, stanja Si i Sj su k-razluiva ako postoji

    ulazna rijex(t, t+k-1) takva da je:Z(x(t, t+k-1), Si) Z(x(t, t+k-1), Sj)

    Ukoliko dva stanja nisu k-razluivaza bilo koju vrednost k, onda sekaeda su ekvivalentna.

    Drugim rijeimaukoliko promatranjem izlaza automata u dva razliitastanja nije mogue uoiti razlike kae se da su ta dva stanjaekvivalentna, odnosno, da se mogu zamijeniti jednim stanjem.

  • 5/27/2018 digitalni automati

    40/68

    11001

    01001

    110010

    100111

    11001

    01001

    Metoda minimizacije primitivne matr ice

    Provodi se nad primitivnom tablicom neposrednom primjenom

    nunog i dovoljnog uvjeta ekvivalentnosti stanja. Metoda polazi odpretpostavke da su sva stanja neekvivalentna, te primjenom uvjeta

    traieventualno ekvivalentna stanja.

    Neposredna primjena nunogi dovoljnog uvjeta ima dvije mane. Prva

    je u tome, to je dovoljan uvjet prestrog, jer ne vodi rauna oeventualno neotkrivenim ekvivalentnostima slijedeih stanja. Ovo jemogue djelom kompenzirati iterativnom primjenom uvjetaekvivalentnosti. Druga mana proizlazi iz prve, a to je da primjena

    uvjeta ne moeuvijek dati minimalni automat. To je lako ilustrirati naprimjeru

  • 5/27/2018 digitalni automati

    41/68

    11001

    01001

    110010

    100111

    11001

    01001

    Metoda minimizacije primitivne matrice-1

    Stanja sli s2su ekvivalentna, a metoda primitivne tablice to ne moe

    otkriti. Prednost je metode primitivne tablice to se moe provestinad primitivnom tablicom bez viestrukogprepisivanja, pa slui za

    poetnu redukciju automata. Osim toga, kad je automat poetnozadan potpunim ili djelominim stablom, metoda primitivne tablicesigurno daje minimalni automat.

    Metoda primitivne tablice provodi se u koracima:

    1.Traimostanja s istim recima u tablici prijelaza i izlaza2. Prekriimosve retke ekvivalentnih stanja osim jednoga3. Sve oznake prekrienih stanja zamijenimo oznakom onog

    neprekrienog4. Nastavimo postupak dok ima ekvivalentnih stanja.

  • 5/27/2018 digitalni automati

    42/68

    11001

    01001

    110010

    100111

    11001

    01001

    Primitivna tablica-2

    1.Traimo stanja s istim recima u tablici prijelaza i izlaza

    2. Prekriimo sve retke ekvivalentnih stanja osim jednoga

    3. Sve oznake prekrienih stanja zamijenimo oznakom onog neprekrienog

    4. Nastavimo postupak dok ima ekvivalentnih stanja.

  • 5/27/2018 digitalni automati

    43/68

    11001

    01001

    110010

    100111

    11001

    01001

    Primitivna tablica-3

    Ispiemo tablicu prijelaza i izlaza minimalnog automatai nacrtamo graf

  • 5/27/2018 digitalni automati

    44/68

    11001

    01001

    110010

    100111

    11001

    01001

    Implikativna tablica

    U

    postupku minimizacije automata koristiemo implikativnutablicu. To je specijalna dijagonalna tablicapomoukoje se dolazi doklasa ekvivalentnih stanja.

    Tablica se formira na slijedeinain: vertikalno (kolone, vrste) unosimo sva stanja izuzev prvog,

    horizontalno (retci) sva stanja izuzev zadnjeg (radi kraegzapisivanjaunosimo samo indekse stanja), tako da e za automat sa k-stanja,implikativna tabela imati k-1 kolona i isto toliko redaka;

    oznake kolone i retci se interpretiraju kao koordinate elijetablice;na osnovi tablice prelaza/izlaza popunjavamo sve elije implikativnetablice, a zatimpretraujemotabelu.

  • 5/27/2018 digitalni automati

    45/68

    Minimizaci ja primjenom implikativne tablice11001

    01001

    110010

    100111

    11001

    01001

    X\S

    S1 S2 S3 S4 S5 S6 S7 S8 S9 S10 S11 S120 S2/0 S4/0 S6/0 S8/0 S10/0 S4/0 S10/0 S8/0 S10/1 S4/0 S2/0 S2/0

    1 S3/0 S5/0 S7/0 S9/0 S12/0 S12/0 S12/0 S1/0 S1/0 S1/0 S1/0 S1/0

    X\S S1 S2 S3 S4 S5 S6 S8 S10 S11 S9

    0 S2 S4 S6 S8 S10 S4 S8 S4 S2 S101 S3 S5 S5 S9 S11 S1 1 S1 S1 S1 S1

  • 5/27/2018 digitalni automati

    46/68

    11001

    01001

    110010

    100111

    11001

    01001

    Implikativna tablica-1

    Da bismo ispravno popunili tablicu, potrebno je pridravati seslijedeihpravila:1 U elije, ije koordinate ine neekvivalentna stanja (za isti ulaznisignal, izlazi su razliiti)unosimo znak X;2. U elije,ijekoordinate ineekvivalentna stanja (za isti ulazni signal,izlazi su isti) unosimo parove indeksa narednih stanja automata zaodgovarajueulaze (najprije manji, pa veiindeks), i to bez ponavljanja.Ukoliko su stanja ista, ne upisujemo nita;3. Ukoliko je elijasa koordinatama (i,j) precrtana, moraju se precrtati i

    elijekoje i-j sadrekao obuhvaenipar;4. Koordinate neprecrtanih elijapredstavljaju meusobno ekvivalentnastanja.

  • 5/27/2018 digitalni automati

    47/68

    11001

    01001

    110010

    100111

    11001

    01001

    Minimizaci ja primjenom implikativne tablice

    X\S S1 S2 S3 S4 S5 S6 S8 S10 S11 S9

    0 S2 S4 S6 S8 S10 S4 S8 S4 S2 S101 S3 S5 S5 S9 S11 S1 1 S1 S1 S1 S1

    2 - 43 - 5

    2 - 63 - 5 4 - 6-2 - 83 - 9

    4 - 85 - 9

    6 - 85 - 9

    2 - 103 - 11

    4 - 105 - 11

    6 - 105 - 11

    8 - 109 - 11

    2 - 4

    3 - 112 - 81 - 32 - 41 - 3

    -1 - 3

    -

    5 - 114 - 81 - 5

    -1 - 52 - 41 - 5

    4 - 6

    5 - 116 - 81 - 54 - 61 - 52 - 61 - 5

    4 - 8

    9 - 11-

    1 - 94 - 81 - 92 - 81 - 9

    4 - 10

    -8 - 101 - 114 - 101 - 112 - 101 - 11

    4 - 81 - 11

    -1 - 112 - 41 - 11

    4 - 8-

    2 - 8-

    2 - 4-

    x x x x x x x x x

    11

    10

    8

    6

    5

    4

    3

    2

    9

  • 5/27/2018 digitalni automati

    48/68

    11001

    01001

    110010

    100111

    11001

    01001

    Minimizaci ja primjenom implikativne tablice-1

    2 - 43 - 5

    2 - 63 - 5

    4 - 6-

    2 - 83 - 9

    4 - 85 - 9

    6 - 85 - 9

    2 - 10

    3 - 11

    4 - 10

    5 - 11

    6 - 10

    5 - 11

    8 - 10

    9 - 112 - 43 - 112 - 81 - 3

    2 - 41 - 3

    -1 - 3

    -5 - 114 - 81 - 5

    -1 - 52 - 41 - 5

    4 - 65 - 116 - 81 - 5

    4 - 61 - 52 - 61 - 5

    4 - 89 - 11

    -1 - 9

    4 - 81 - 92 - 81 - 9

    4 - 10-

    8 - 101 - 11

    4 - 101 - 112 - 101 - 11

    4 - 81 - 11

    -1 - 112 - 41 - 11

    4 - 8-

    2 - 8-

    2 - 4-

    x x x x x x x x x

    11

    10

    8

    6

    5

    4

    3

    2

    9

    1 2 3 4 5 6 8 10 11

  • 5/27/2018 digitalni automati

    49/68

    11001

    01001

    110010

    100111

    11001

    01001

    Minimizaci ja primjenom implikativne tablice-2

    2 - 43 - 5

    2 - 63 - 5 4 - 6-2 - 83 - 9

    4 - 85 - 9

    6 - 85 - 9

    2 - 103 - 11

    4 - 105 - 11

    6 - 105 - 11

    8 - 109 - 11

    2 - 43 - 112 - 81 - 32 - 4

    1 - 3-

    1 - 3

    -5 - 114 - 81 - 5

    -

    1 - 52 - 41 - 5

    4 - 65 - 116 - 81 - 54 - 6

    1 - 52 - 61 - 5

    4 - 89 - 11

    -1 - 94 - 8

    1 - 92 - 81 - 9

    4 - 10-

    8 - 101 - 114 - 10

    1 - 112 - 101 - 11

    4 - 81 - 11

    -

    1 - 112 - 41 - 11

    4 - 8

    -2 - 8

    -2 - 4

    -x x x x x x x x x

    11

    10

    8

    6

    5

    4

    3

    2

    9

    1 2 3 4 5 6 8 10 11

  • 5/27/2018 digitalni automati

    50/68

    11001

    01001

    110010

    100111

    11001

    01001

    Minimizaci ja primjenom implikativne tablice-3

    2 - 43 - 5

    2 - 63 - 5

    4 - 6-

    2 - 83 - 9

    4 - 85 - 9

    6 - 85 - 9

    2 - 10

    3 - 11

    4 - 10

    5 - 11

    6 - 10

    5 - 11

    8 - 10

    9 - 112 - 43 - 112 - 81 - 3

    2 - 41 - 3

    -1 - 3

    -5 - 114 - 81 - 5

    -1 - 52 - 41 - 5

    4 - 65 - 116 - 81 - 5

    4 - 61 - 52 - 61 - 5

    4 - 89 - 11

    -1 - 9

    4 - 81 - 92 - 81 - 9

    4 - 10-

    8 - 101 - 11

    4 - 101 - 112 - 101 - 11

    4 - 81 - 11

    -1 - 112 - 41 - 11

    4 - 8-

    2 - 8-

    2 - 4-

    x x x x x x x x x

    11

    10

    8

    6

    5

    4

    3

    2

    9

    1 2 3 4 5 6 8 10 11

    Si i j i li k i bl i 4

  • 5/27/2018 digitalni automati

    51/68

    11001

    01001

    110010

    100111

    11001

    01001

    Sinteza primjenom impli kativne tabl ice -4

    S11 -

    S10 -

    S8 -

    S6 (S6, S10)

    S5 (S5, S11), (S6, S10)

    S4 (S5, S11), (S6, S10)S3 (S3, S5, S11), (S6, S10)

    S2 (S3, S5, S11), (S2, S6, S10)

    S1 (S1, S3, S5, S11), (S2, S6, S10)klase

    ekvivalencije(S1, S3, S5, S11), (S2, S6, S10)

    (S4), (S8), (S9),

    2 - 43 - 5

    2 - 63 - 5

    4 - 6-

    2 - 83 - 9

    4 - 85 - 9

    6 - 85 - 9

    2 - 10

    3 - 11

    4 - 10

    5 - 11

    6 - 10

    5 - 11

    8 - 10

    9 - 112 - 43 - 112 - 81 - 3

    2 - 41 - 3

    -1 - 3

    -5 - 114 - 81 - 5

    -1 - 52 - 41 - 5

    4 - 65 - 116 - 81 - 5

    4 - 61 - 52 - 61 - 5

    4 - 89 - 11

    -1 - 9

    4 - 81 - 92 - 81 - 9

    4 - 10-

    8 - 101 - 11

    4 - 101 - 112 - 101 - 11

    4 - 81 - 11

    -1 - 112 - 41 - 11

    4 - 8-

    2 - 8-

    2 - 4-

    x x x x x x x x x

    11

    10

    8

    6

    5

    4

    3

    2

    9

    1 2 3 4 5 6 8 10 11

    110010 St kt i t t t

  • 5/27/2018 digitalni automati

    52/68

    11001

    01001

    110010

    100111

    11001

    01001

    Strukturna sinteza automata

    Strukturnu sintezu automata obavljamo na osnovu modela prema slici

    110010 St kt i t t t 1

  • 5/27/2018 digitalni automati

    53/68

    11001

    01001

    110010

    100111

    11001

    01001

    Strukturna sinteza automata-1

    Model automata se sastoji od kombinacione logike strukture (KLS) imemorije.

    Memorija automata sastoji se od k bistabila. Izlazi memorije, k varijabli

    iz skupa Z, su izlazi pojedinih bistabila memorije.

    Svaka kodna rije varijabli Z predstavlja jedno stanje, dakle svu

    informaciju koju memorija moedati o svim prethodnim dogadajima naulazu u automat.

    Memorija ima k ili 2k ulaza, ovisno o vrsti bistabila.

    To su signali koji dolaze sa KLS i koji odreuju slijedee stanjememorije. Stanje memorije se mijenja u trenutku nastupa aktivnog dijelataktnog signala, koji je zajedniki za sve bistabile.

    110010 St kt i t t t 2

  • 5/27/2018 digitalni automati

    54/68

    11001

    01001

    110010

    100111

    11001

    01001

    Strukturna sinteza automata-2

    Kombinaciona logika struktura ima vanjske ulaze, elektrine varijableiz skupa X, na koje dolaze kodne rijei kojima su kodirani ulaznisimboli, te vanjske izlaze, elektrine varijable iz skupa Y, na kojima segeneriraju kodne rijei kojima su kodirani izlazni simboli.

    KLS takoer raspolaeunutranjimulazima na koje dolaze varijable izskupa Z, a to su gore spomenuti izlazi bistabila memorije, te unutranje

    izlaze preko kojih upravlja prijelazima memorije.KLS na osnovu vanjskih i unutranjih ulaza X i Z (gdje kodne rijei

    predstavljaju ulazne simbole i stanja automata) generira kontrolne

    signale na ulaz bistabila i time upravlja prijelazom memorije. Kako je

    time odreeno sljedee stanje automata, istovremeno je i realiziranafunkcija prijelaza automata. Znanje o radu automata sadranoje u KLS.KLS na osnovu vanjskih i unutranjih ulaza (Mealy) ili samo

    unutranjihulaza (Moore) generira kodne rijei varijabli y na vanjskimizlazima. Time je realizirana funkcija izlaza automata. I ovdje je znanje

    koncentrirano u KLS.

    110010 K di j t j l i i l

  • 5/27/2018 digitalni automati

    55/68

    11001

    01001

    110010

    100111

    11001

    01001

    Kodiranje stanja ulaza i izlaza

    Veza izmeu apstraktnog i konkretnog automata ostvaruje se krozkodiranje ulaznih i izlaznih simbola, te stanja automata. Stoga je prvi

    korak strukturne sinteze, a na osnovu poznavanja modela realizacije,

    kodiranje skupova ulaznih i izlaznih simbola, te stanja.

    Kodiranje ulaznih i izlaznih simbola ovisi o okolini automata. Preko ovihkodnih rijei automat komunicira s izvoritem i odreditem informacije(s procesom), pa kodovi moraju biti usklaeni. Stoga esto nemamoslobodu kodiranja, vesu nam ulazni i izlazni kod zadani.

    Kodiranje stanja je unutranjastvar automata. Vesmo rekli, da stanjeautomata predstavlja znanje o prethodnim dogaajima na ulazu. Moglobi se pomisliti da emo dogaaje jednostavno pamtiti u memorijiautomata. Iako je i to mogue, sigurno nije racionalno. Stanja emokodirati minimalnim brojem bistabila, odnosno koncentriranim kodom.

    110010 K di j t j l i i l 1

  • 5/27/2018 digitalni automati

    56/68

    11001

    01001

    110010

    100111

    11001

    01001

    Kodiranje stanja ulaza i izlaza-1

    Kodiranje stanja automata ima neposredan utjecaj na veliinu KLS, jere raspored nula i jedinica u konanici odreivati mogunostminimizacije Booleovih funkcija koje definiraju KLS. Na alost, ne

    postoji egzaktna metoda kodiranja koja bi rezultirala minimalnim

    sklopom. Stoga se koristimo strategijom na osnovi susjednosti.

    Kodiranje po kriteriju susjednosti obavlja se tako, da stanjima izmeukojih postoji mogunost prijelaza, nastojimo dodijeliti susjednekompleksije, ili kompleksije sa to manjom distancom. Minimalnadistanca u kodnim rijeima rezultira promjenom stanja jednog ili svega

    nekoliko bistabila memorije kod promjene stanja automata. Ovdje sepolazi od pretpostavke, da e promjena stanja malog broja bistabilamemorije, povoljno utjecati na minimalnost KLS. Ova je pretpostavka

    prilino neutemeljena, ali kako nemamo boljeg kriterija, koristimokriterij susjednost.

    110010 Kodiranje stanja la a i i la a 2

  • 5/27/2018 digitalni automati

    57/68

    11001

    01001

    110010

    100111

    11001

    01001

    Kodiranje stanja ulaza i izlaza-2

    U praksi stanja po kriteriju susjednosti kodiramo primjenom

    Veitchevog dijagrama.

    Za automat A1kodiramo skupove ulaznih i izlaznih simbola, te stanja.

    Poetnostanje obinokodiramo kodnom rijei0.

    110010 Kodirane tabli ce ulaza izlaza i stanja

  • 5/27/2018 digitalni automati

    58/68

    11001

    01001

    110010

    100111

    11001

    01001

    Kodirane tabli ce ulaza, izlaza i stanja

    X1 X2

    W0 0 0W1 0 1

    W2 1 0

    b) ulazni simbol

    Q1 Q2

    S0 0 0S1 0 1

    S2 1 0

    S3 1 1

    a) stanja

    Z1 Z2

    Y0 0 0

    Y1 0 1Y2 1 1

    Y3 1 0

    c) izlazni simboli

    X1X2

    ME1

    ME2

    Y1

    Y2

    Z1

    Z2

    Q1

    Q2

    Q1

    Q2

    K M

    110010 I nterpretaci ja kodiranog automata

  • 5/27/2018 digitalni automati

    59/68

    11001

    01001

    110010

    100111

    11001

    01001

    I nterpretaci ja kodiranog automata

    Kodove dobivene

    postupkom kodiranja

    uvrtavamo u tablicuprijelaza i izlaza automata.

    Pri tome umjesto

    dvodimenzionalne, koristimo

    jednodimenzionalnu tablicu.U toj tablici redom

    nabrajamo parove stanje-

    ulazni simbol, dakle lanove

    Kartezijevog produkta SxU

    Za apstraktni automat,

    jednodimenzionalna tablica

    prikazana je na slici

    110010 I nterpretaci ja kodiranog automata 1

  • 5/27/2018 digitalni automati

    60/68

    11001

    01001

    110010

    100111

    11001

    01001

    I nterpretaci ja kodiranog automata-1

    Ovaj oblik tablice samo je osnovica za upis kodova. Naime, nakon

    upisa kodova (umjesto simbola i stanja) dobivamo kodne rijei, koje jemnogo povoljnije poslagati prirodnim binarnim nizom:

    Svaki redak opet pripada paru stanje-ulazni simbol, ali su sada oni

    poredani po kriteriju prirodnog binarnog niza kodnih rijei. Kodna rijepara dobivena je pripajanjem kodne rijei ulaznog simbola s desnakodnoj rijei stanja. Tako ukupna kodna rijeini lijevu stranu tablice.

    110010 I nterpretaci ja kodiranog automata 2

  • 5/27/2018 digitalni automati

    61/68

    11001

    01001

    110010

    100111

    11001

    01001

    I nterpretaci ja kodiranog automata-2

    S desne strane imamo najprije dio koji s odnosi na prijelaze. Tu su

    kodne rijei stanja, ali u slijedeem trenutku. Desno imamo kodne rijeiizlaza u sadanjemtrenutku.

    Uoimo najprije da svaka kodna rije stanja u slijedeem trenutku,ovisi o kodnoj rijei stanja i ulaznog simbola u sadanjem trenutku. Na

    isti nain ovisi i kodna rijeizlaza, ali u sadanjemtrenutku.Ako o lijevoj strani ovisi kodna rijes desne strane, tada o desnoj strani

    ovisi i svaki pojedini bit s desne strane.

    Promatramo li sada itavu lijevu stranu tablice i stupac npr. zl desne

    strane, vidjet emo da je to potpuna tablica prijelaza za bistabil zl. Istotako, moemo identificirati potpune tablice prijelaza za ostale bistabile.Ove tablice su nestandardne utoliko, tose stanje bistabila u sadanjemtrenutku ne nalazi na poziciji najmanje znaajnog bita. O tome trebavoditi rauna kod koritenjatablica.

    110010 I nterpretaci ja kodiranog automata 3

  • 5/27/2018 digitalni automati

    62/68

    11001

    01001

    110010

    100111

    11001

    01001

    I nterpretaci ja kodiranog automata-3

    Istim razmatranjem zakljuit emo da itava lijeva strana tablice istupac za npr. yl ine tablicu istine za izlaznu varijablu y1. To vrijedi i zaostale varijable y.

    Nakon uvrtavanja kodova, tablicu prijelaza i izlaza automata

    promatramo kao kombiniranu tablicu prijelaza bistabila z i tablicu istineizlaznih varijabli y. Na tom osnovu moemo obaviti sintezu KLS

    primjenom poznatih postupaka sinteze opih bistabila i Booleovihfunkcija.

    KLS se zapravo sastoji od malih dijelova, KLS za pojedine bistabile i

    KLS za pojedine Booleove funkcije izlaznih varijabli.

    110010 I nterpretaci ja kodiranog automata 4

  • 5/27/2018 digitalni automati

    63/68

    11001

    01001

    110010

    100111

    11001

    01001

    I nterpretaci ja kodiranog automata-4

    Za primjer automata A1 tablica s upisanim kodovima je

    110010 Realizaci ja automata

  • 5/27/2018 digitalni automati

    64/68

    11001

    01001

    00 0

    100111

    11001

    01001

    Realizaci ja automata

    Realizacija automata provodi se kroz sintezu sklopovlja prema

    osnovnom modelu(KLS i Memorija).Sinteza memorije se provodi izborom vrste i izraunavanjem broja

    bistabila (po kriteriju jednoznanosti kodiranja stanja automata).Sinteza kombinacione logike strukture se provodi koritenjem

    prepoznatih dijelova kodirane tablice prijelaza i izlaza automata:potpunih tablica prijelaza bistabila memorije i tablica istine za izlazne

    varijable.

    KLS automata je zapravo sastavljen od KLS pojedinih opih bistabila iKLS kojima realiziramo Booleove funkcije izlaznih varijabli. Sintezu

    svakog pojedinog dijela obavljamo koritenjemranije razradenih metodasinteze opih bistabila i realizacije booleovih funkcija.

    U samoj realizaciji moemo koristiti integrirane krugove niske razineintegracije (bistabili i logika vrata), ili integrirane krugove srednje

    razine integracije (multipleksere, demultipleksere i registre)

    110010 Realizaci ja automata-1

  • 5/27/2018 digitalni automati

    65/68

    11001

    01001

    100111

    11001

    01001

    Realizaci ja automata-1

    Kod realizacije automata bistabilima i logikim vratima,biramo NI ili

    NILI vrata, te neki od standardnih bistabila.

    Prihvatljivi su svi standardni bistabili, unato tome toRS i JK imajupo dva ulaza. Booleove funkcije koje opisuju te ulaze po kompleksnosti

    zajedno odgovaraju funkciji za bistabil s jednim ulazom (D ili T).Kompleksnost sklopa vie ovisi o mogunosti minimizacije , dakle onainu na koji su kodirana stanja, ulazni simboli i izlazni simboli.

    110010

  • 5/27/2018 digitalni automati

    66/68

    Realizaci ja automata-211001

    01001

    100111

    11001

    01001

    X1(t)

    X1(t)

    ...

    K M

    z(t)

    M

    ME1

    ME2

    MEk

    ...

    .

    .

    .

    .

    .

    .

    INICIJALIZACIJA

    ST

    Idealni takt-1 0 1 2 3 4 5 6

    a)

    b)

    110010 Kanonika struktura Milijevog i Murovog automata

  • 5/27/2018 digitalni automati

    67/68

    11001

    01001

    100111

    11001

    01001

    Kanonika struktura Milijevog i Murovog automata

    a)

    X(t)

    K M1

    STREGISTAR

    STANJA

    S(t)

    K M2

    Z(t)

    b)

    X(t)

    K M1

    STREGISTAR

    STANJAS(t)

    K M2

    Z(t)

    110010 Elementarni automati i mree

  • 5/27/2018 digitalni automati

    68/68

    11001

    01001

    100111

    11001

    01001

    Elementarni automati i mree

    R

    ST

    S

    ST

    VODEIF -FST PRATEIF -F

    R

    S

    Q1Q2

    Q2Q2

    a)

    aktivanvodei

    aktivan

    pratei

    TAKTR

    Q1

    Q2