sigda publications on cd-rom: dac ‘97 design...

35
SIGDA Publications on CD-ROM: DAC ‘97 Design Automation Conference Anaheim, CA June 9 - 13, 1997 DAC97 on CD-ROM Copyright © 1997 by the Association for Computing Machinery, Inc. Permission to make digital or hard copies of part or all of this work is granted without fee provided that copies are not made or distributed for fee or direct commercial advantage, that copies show this notice on the first page or initial screen of a display along with the full citation, and that copies are not posted on public servers. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, to republish, to repost on public lists or servers, or to use any component of this work in other works whether directly or by incorporation by a link, requires prior specific permission and/or a fee. Permissions may be requested from Publications Dept, ACM Inc., 1515 Broadway, New York, NY 10036, fax 212-869-0481, or [email protected]. ACM Order # 477971 ISBN # 0-89791-847-9 Click on the text below to go to: Cover Page Front Matter Table of Contents Session Index Author Index

Upload: vanminh

Post on 28-Mar-2018

227 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

SIGDA Publications on CD-ROM:

DAC ‘97Design Automation Conference

Anaheim, CAJune 9 - 13, 1997

DAC97 on CD-ROM Copyright © 1997 by the Association for Computing Machinery, Inc. Permission to make digital or hard copies ofpart or all of this work is granted without fee provided that copies are not made or distributed for fee or direct commercial advantage,that copies show this notice on the first page or initial screen of a display along with the full citation, and that copies are not posted onpublic servers. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit ispermitted. To copy otherwise, to republish, to repost on public lists or servers, or to use any component of this work in other workswhether directly or by incorporation by a link, requires prior specific permission and/or a fee. Permissions may be requested fromPublications Dept, ACM Inc., 1515 Broadway, New York, NY 10036, fax 212-869-0481, or [email protected].

ACM Order # 477971 ISBN # 0-89791-847-9

Click on the text below to go to:

Cover Page Front Matter Table of Contents Session Index Author Index

Page 2: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

34th

DESIGN AUTOMATION CONFERENCE®

Sponsored by

special interest group on

des ign au tomat ion ®CONSORTIUM

Anaheim Convention Center June 9 - 13, 1997

PROCEEDINGS 1997

Anaheim, CA

Page 3: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

PROCEEDING OF THE 34th DESIGN AUTOMATION CONFERENCE

Copyright ©1997 by the Association for Computing Machinery, Inc. Copying without fee is per-mitted provided that copies are not made or distributed for direct commercial advantage andcredit to the source is given. Abstracting with credit is permitted. For other copying of articlesthat carry a code at the bottom of the first page, copying is permitted provided that the per-copyfee indicated in the code is paid through the Copyright Clearance Center, 222 Rosewood Drive,Danvers, MA 01923. For permission to republish write to Director of Publications, Associationfor Computing Machinery, 1515 Broadway, New York, NY 10036. To copy otherwise or repub-lish, requires a fee and/or specific permission.

IEEE Catalog Number 97CH36101ACM Order Number 477970 ISBN 0-89791-920-3 (Softbound Edition)ISBN 0-89791-920-3 ISBN 0-7803-4093-0 (Casebound Edition)

ISBN 0-7803-4094-9 (Microfiche Edition)

Library of Congress Number 85-644924 ISSN 0738-100X

Additional copies of 1997 or prior Proceedings may be ordered prepaid from

ACM Order Department A C M European Service Center Phone: 1-800-342-6626

P.O. Box 12114 108 Cowley Rd. (U.S.A. and Canada)

Church Street Station Oxford, OX41JF, U.K. +1-212-626-0500

New York, NY 10257 Phone: 44-1865-382338 (All other countries)

Fax: 44-1865-381338 Fax: +1-212-944-1318

E-mail: [email protected] E-mail: [email protected]

Additional copies of this publication are available from

IEEE Service Center 1-800-678-IEEEP.O. Box 1331 1-908-981-1393445 Hoes Lane 1-908-981-9667 (Fax)Piscataway, NJ 08855-1331 833-233 (Telex)

Page 4: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

General Chair’s Welcome

Welcome to the 34th Design Automation Conference!

DAC is the premier forum for exchanging your most exciting ideas and innovations in design automation.At DAC you will hear about the latest research and development in design tools. You will also hear aboutdesigners’ experiences with design automation, good and bad. They will tell you what their hottest designsare—and how they designed them. Combined with visionary keynote addresses, 170 exhibits, 7 full-daytutorials and numerous other special technical gatherings, the technical program represents the very bestof the leading edge technology driving the world’s integrated circuit and electronic systems industry.

These proceedings, representing an outstanding expanded technical program were assembled under thevery able direction of Technical Program Co-Chairs Giovanni De Micheli (Design Tools) and Jan Rabaey(Design Methods). Nearly 400 papers from North America, Europe, the Middle East, Asia and the SouthPacific were submitted to the design tools and design methods tracks, and were reviewed by over 700professionals. The Technical Program Committee used these reviews, along with their own expertopinions, to select the very best 139 papers in topics ranging from deep submicron design to hardware-software co-design. Nine panel sessions, including EDA company CEOs’ views of their industry,challenges in worldwide intellectual property reuse, and financing EDA startups, complement thetechnical presentations and tutorials embedded in the 5 tracks of the technical program.

I want to thank all of the people who contributed to the success of DAC: the Executive Committee, theTechnical Program Committee, the EDA Industry Committee, DAC’s sponsors, MP Associates, andespecially the exhibitors, authors, speakers, session organizers and session chairs. Their hard work anddedication have made this the world’s premier conference for electronic design automation tools andmethods. DAC is sponsored by ACM/SIGDA, IEEE Circuits and Systems Society, and EDAC. Theirmembers represent the spectrum of DAC’s participants and we are thankful for their continued and activesupport.

Welcome to Anaheim and the 34th Design Automation Conference. See the challenge and promise ofelectronic design—it has no boundaries. We wish you a very productive and fun-filled week and trust youwill find these proceedings to be a valuable information reference for many years to come.

Ellen J. YoffaGeneral Chair, 34th Design Automation Conference

Page 5: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

TECHNICAL PROGRAMCO-CHAIR, DESIGN TOOLSGiovanni De MicheliStanford Univ.Gates Computer Science Bldg.Rm. 333Stanford, CA 94305-9030(415) [email protected]

FINANCE CHAIRMary Jane IrwinPenn State Univ.Dept. of CS and Engr.220 Pond Lab.University Park, PA 16802-6106(814) [email protected]

ELECTRONIC SYSTEMSINDUSTRY CHAIRBryan PreasXerox PARC3333 Coyote Hill Rd.Palo Alto, CA 94304(415) [email protected]

ELECTRONIC MEDIA CHAIRRandal BryantCarnegie Mellon Univ.School of Computer SciencePittsburgh, PA 15213(412) [email protected]

TECHNICAL PROGRAMCO-CHAIR, DESIGN METHODSJan M. RabaeyUniv. of CaliforniaDept. of EECS, 511 Cory HallBerkeley, CA 94720(510) [email protected]

TUTORIAL CHAIRStephen TrimbergerXilinx, Inc.2100 Logic Dr.San Jose, CA 95124(408) [email protected]

EDA INDUSTRY CHAIRMichael LorenzettiMentor Graphics Corp.8005 SW Boeckman Rd.Wilsonville, OR 97070-7777(503) [email protected]

PUBLICITY CHAIRAbbie KendallOrCAD9300 SW Nimbus Ave.Beaverton, OR 97008(503) [email protected]

EXECUTIVE COMMITTEEGENERAL CHAIR

Ellen J. YoffaIBM Corp.

T.J. Watson Research Ctr.Rm. 33-109, P.O. Box 218

Yorktown Heights, NY 10598(914) 945-3270

[email protected]

VICE CHAIRBasant R. Chawla

Lucent Technologies283 King George Rd., E4D43

Warren, NJ 07059(908) 559-5281

[email protected]

Page 6: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

EUROPE/MIDDLE EASTREPRESENTATIVEGerry MusgraveBrunel Univ.Dept. of EEEUxbridge, UB8 3PH, UK(44) [email protected]

ACM REPRESENTATIVEJames CohoonUniv. of VirginiaDept. of Computer ScienceOlsson HallCharlottesville, VA 22903(804) [email protected]

IEEE/CAS REPRESENTATIVEPhilip LoprestiPrivate Consultant327 Sked St.Pennington, NJ 08534(609) [email protected]

EXHIBIT MANAGERMarie R. PistilliMP Associates, Inc.5305 Spine Rd., Ste. ABoulder, CO 80301(303) [email protected]

PAST-CHAIRThomas P. PenninoLucent Technologies, Bell Labs.101 Crawfords Corner Rd.Rm. 1M-415Holmdel, NJ 07733(908) [email protected]

ASIA/INDIA/S. PACIFICREPRESENTATIVEFumiyasu HiroseFujitsu Labs. Ltd.CAD Lab.4-1-1 Kamikodanaka, Nakahara-kuKawasaki 211, Japan(81) [email protected]

EDAC REPRESENTATIVELorie BowlbyPrecedence, Inc.1700 Dell Ave.Campbell, CA 95008(408) [email protected]

CONFERENCE MANAGERP.O. PistilliMP Associates, Inc.5305 Spine Rd., Ste. ABoulder, CO 80301(303) [email protected]

EXECUTIVE COMMITTEE (cont.)

Page 7: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Technical Program Committee

Giovanni De MicheliDesign Tools Co-ChairStanford Univ.Gates Computer Science Bldg.Rm. 333Stanford, CA 94305-9030(415) [email protected]

Jan M. Rabaey Design Methods Co-ChairUniv. of California Dept. of EECS511 Cory HallBerkeley, CA 94720 (510) [email protected]

David BlaauwMotorola, Inc.Bridgepoint Plaza 15918 W. Courtyard Dr., Ste. 330Austin, TX 78730(512) [email protected]

Ivo BolsensIMECVSDM/DISTAKapeldreef 75B-3001 Leuven, Belgium(32) [email protected]

Raul CamposanoSynopsys, Inc.700 E. Middlefield Rd.Mountain View, CA 94043-4033(415) [email protected]

Anantha ChandrakasanMassachusetts Inst. of Tech.Dept. of EE, Rm. 38-10750 Vassar St.Cambridge, MA 02139(617) [email protected]

Nanette CollinsConsultant37 Symphony Rd., Unit ABoston, MA 02115-4004(617) [email protected]

Jason CongUniv. of CaliforniaDept. of CS4711 Boelter HallLos Angeles, CA 90095(310) [email protected]

Antun DomicSynopsys, Inc.700 E. Middlefield Rd.Mountain View, CA 94043-4033(415) 962-5000

Phil DuncanAngeles Design Systems501 Santa Monica Blvd., Ste. 701Santa Monica, CA 90401(310) [email protected]

Anders ForsenEricsson Radio Systems ABRCUR-T/NKISTA16480 Stockholm, Sweden(46) [email protected]

Robert C. FryeLucent Technologies, Bell Labs.700 Mountain Ave.Rm. 1C-339Murray Hill, NJ 07974-0636(908) [email protected]

Patrick GroeneveldCompass Design Automation1865 Lundy Ave.MS 430San Jose, CA 95131(408) [email protected]

Rajesh K. GuptaUniv. of CaliforniaDept. of ICS, 208B IERFIrvine, CA 92697-3425(714) [email protected]

Page 8: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Technical Program Committee (cont.)Randolph E. HarrDARPA/ETO3701 N. Fairfax Dr.Arlington, VA 22203-1714(703) [email protected]

Takahide InoueSony530 Cottonwood Dr.Milpitas, CA 95035(408) [email protected]

Andrew B. KahngUniv. of CaliforniaDept. of CS3713 Boelter HallLos Angeles, CA 90095-1596(310) [email protected]

David KuEscalade Corp.2575 Augustine Dr.Santa Clara, CA 95054(408) [email protected]

Andreas KuehlmannIBM Corp.T.J. Watson Research Ctr.P.O. Box 218Yorktown Heights, NY 10598(914) [email protected]

Luciano LavagnoPolitecnico di TorinoDipartimento di ElettronicaCorso Duca Degli Abruzzi 2410129 Torino, Italy(39) [email protected]

Sharad MalikPrinceton Univ.Dept. of EEPrinceton, NJ 08544(609) [email protected]

Alan MantoothAnalogy, Inc.P.O. Box 1669Beaverton, OR 97075-1669(503) [email protected]

Teresa MengStanford Univ.Gates Computer Science Bldg., Rm. 301Stanford, CA 94028(415) [email protected]

Mike MurrayAcuson Corp.1220 Charleston Rd.Box 7393Mountain View, CA 94043(415) [email protected]

Kunle OlukotunStanford Univ.Gates Computer Science Bldg., Rm. 302Stanford, CA 94305-9030(415) [email protected]

Hidetoshi OnoderaKyoto Univ.Dept. of Electronics & Comm.Sakyo-kuKyoto 606-01, Japan(81) [email protected]

Massoud PedramUniv. of Southern CaliforniaDept. of EE-Systems3740 McClintock Ave.Los Angeles, CA 90089-2562(213) [email protected]

Janusz RajskiMentor Graphics Corp.8005 SW Boeckman Rd.Wilsonville, OR 97070-7777(503) [email protected]

Page 9: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Technical Program Committee (cont.)James A. RowsonAlta Group of Cadence Design Systems, Inc.555 N. Matilda Ave.Sunnyvale, CA 94086(408) [email protected]

Karem A. SakallahUniv. of Michigan2213 EECS Bldg.Ann Arbor, MI 48109-2122(313) [email protected]

Gabriele SaucierInst. Nat’l Polytech de Grenoble/CSI46, Ave. Felix Viallet38031, Grenoble, France(33) [email protected]

Deo SinghIntel Corp.2200 Mission College Blvd.MS SC9-13, P.O. Box 58119Santa Clara, CA 95052-8119(408) [email protected]

Richard SmithCadence Design Systems, Inc.5215 N. O’Connor Rd.Ste. 1000Irving, TX 75039(972) [email protected]

Fabio SomenziUniv. of ColoradoDept. ECE, C.B. 425Boulder, CO 80309-0425(303) [email protected]

Haruyuki TagoToshiba America Electronic Components1060 Rincon Cir.San Jose, CA 95131(408) [email protected]

Vivek TiwariIntel Corp.2200 Mission College Blvd.M/S-RNB 5-09Santa Clara, CA 95052(408) [email protected]

Kazutoshi WakabayashiNEC Corp.C&C Research Labs.4-1-1 MiyazakiKawasaki 216, Japan(81) [email protected]

Neil WesteMacquarie Univ.Electronics Dept.Sydney 2109, Australia(61) [email protected]

Jacob K. WhiteMassachusetts Inst. of Tech.Dept. of EECSRm. 36-817, 50 Vassar St.Cambridge, MA 02139(617) [email protected]

Andrew T. YangAvant! Corp.1208 E. Arques Ave.Sunnyvale, CA 94086-5401(408) [email protected]

Yervant ZorianLogicVision, Inc.31B Chicopee Dr.Princeton, NJ 08540(609) [email protected]

Page 10: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

1997 Best Paper Award

This year, awards are made for the best papers in four categories. Winners are determined from detailedreviews of the accepted papers in the technical sessions. Each award is accompanied by a plaque and acash award of $400. The awards are given by ACM/SIGDA (Special Interest Group on DesignAutomation), IEEE/CAS (Institute of Electrical and Electronics Engineers/Circuits and Systems Society)and EDAC (Electronic Design Automation Companies).

PHYSICAL DESIGN AND LOGIC SYNTHESIS

Paper 1.1: “An Improved Algorithm for Minimum-Area Retiming”Authors: Naresh Maheshwari, Sachin SapatnekarAffiliation: Iowa State Univ., Ames, IA

HIGH-LEVEL SYNTHESIS, VERIFICATION AND CODESIGN

Paper 16.2: “Equivalence Checking Using Cuts and Heaps”Authors: Andreas Kuehlmann, Florian KrohmAffiliation: IBM Corp., Yorktown Heights, NY

MODELING, SIMULATION AND ESTIMATION

Paper 17.1: “Time-Domain and Mixed Frequency-Time Algorithms for Strongly Nonlinear Circuits with Multi-Tone Excitations”

Author: J.S. RoychowdhuryAffiliation: Lucent Technologies, Bell Labs., Murray Hill, NJ

DESIGN METHODOLOGY

Paper 49.1: “Computer-Aided Design of Free-Space Opto-Electronic Systems”Authors: S.P. Levitan, T.P. Kurzweg, P.J. Marchand, C. Fan,

M.A. Rempel, D.M. Chiarulli F.B. McCormickAffiliation: Univ. of Pittsburgh, Pittsburgh, PA Univ. of California, La Jolla, CA

Advancement in Computer Science andElectrical Engineering Undergraduate Scholarships

The objective of the ACSEE Scholarship program is to increase the pool of professionals in ElectricalEngineering and Computer Science from under-represented groups (Women, African American, Hispanic,Native American, and Physically Challenged). In 1989, ACM Special Interest Group on DesignAutomation (SIGDA) began providing the program. Beginning in 1993, the Design AutomationConference provides the funds for the scholarship and SIGDA continues to administer the program forDAC. DAC funds two $4000 scholarships renewable up to 5 years to graduating high school seniors. Theformer International Daisy User Group funds one $1000 one-time-only scholarship.

The 1997 winners will be announced at the Conference. The 1996 winners were:

1996 DAC/IDUG ACSEE Undergraduate ScholarshipsDAC $4K: Ann Nuñez Alejandro, Houston, TX - attending University of Houston

DAC $4K: Ellen Weiss Carvill, Milford, NY - attending Carleton CollegeIDUG $1K: Shawn P. Koch, Houston, TX - attending Rice University

This year, the graduates of the ACSEE program include Hector Villalobos who will be receiving his BS inElectrical Engineering from Santa Clara University and Sung Jo who will receive his BS in ElectricalEngineering from San Jose State University.

For more information about the ACSEE scholarship, please contact Dr. Cherrice Traver, EE/CSDepartment, Union College, Schenectady, NY 12308 email: [email protected].

Page 11: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Proposals should not exceed two pages in length and should describethe topic and intended audience. They must include a list of allparticipants, including the moderator for Panels. For proposalinstructions, send a one-line email message to [email protected] Topic Sessions may be either independent papers with acommon theme or a set of closely related papers describing an overallsystem. In both cases, independent reviews of each paper andevaluation of the session as a whole will be used to select sessions.Proposals for Special Topic Sessions should be submitted along withthe list of papers to be included in the session and should describethe session's theme. These proposals and paper submissions must bepostmarked no later than October 10, 1997.

UNIVERSITY DESIGN CONTESTSubmissions of original electronic designs (circuit or system), developedat universities and research organizations after June 1996 and resultingin operational implementations are invited. Submissions should containthe title of the project, a 60-word abstract and a complete description ofthe design, not exceeding 4000 words in text. The submission shouldclarify the originality, distinguishing features, and the measuredperformance metrics of the design. Proof - of-implementation in the formof die or board photographs and measurement data is a must. Submitteddesigns should not have received awards in other contests. Submissionswill be reviewed by a special committee of experts. Selected designs willbe presented and exhibited at the conference.

M3.4 Mixed-signal, analog, and RFM3.5 New devices, sensors, MEMSM3.6 Other

M4 Integration and management of DA systemsM4.1 Management of DA systems, design interfacesM4.2 Standardization issuesM4.3 Distributed, networked, and collaborative designM4.4 Intellectual property, design reuse and design libraries

Previously published papers, including workshop proceedings, willnot be considered. Each submission should include one cover page andeleven (11) stapled copies of the complete manuscript.

The one cover page should include:

• Name, affiliation, and complete address for each author

• A designated contact person including his/her telephone number,fax number, and email address

• A designated presenter, should the paper be accepted

• A list of topic numbers preceded by the letter T (Tools Track) orM (Methods Track), ordered by relevancy, most clearly matchingthe content of the paper

• The following signed statement: “All appropriate organizationalapprovals for the publication of this paper have been obtained. Ifaccepted, the author(s) will prepare the final manuscript in timefor inclusion in the Conference Proceedings and will present thepaper at the Conference.”

To permit a blind review, do not include name(s) or affiliation(s) ofthe author(s) on the manuscript. Include:

• Title of paper• 60-word abstract indicating significance of contribution.• The complete text of the paper in English, including allillustrations and references, not exceeding 4000 words.The papers will be reviewed as finished papers. Preliminarysubmissions will be at a disadvantage.

Notice of acceptance will be mailed to the contact person by February 23,1998. Authors of accepted papers must sign a copyright release form.

DAC is the premier conference devoted solely to the field of DesignAutomation. All aspects of the use of computers as aids to the designprocess are welcome, from conceptual design to manufacturing.Five types of submissions are invited: regular papers, special topicsessions, panels, tutorials, and design contest entries. All types ofsubmissions should be sent to the Program Chair, postmarked NOlater than October 10, 1997.

Authors are invited to submit original technical papers describing recentand novel research or engineering developments in all areas of designautomation. The DESIGN TOOLS TRACK (T) is devoted to contributionsto the research and development of design tools and the supportingalgorithms. The DESIGN METHODS TRACK (M) deals withcontributions to the research and development of design methodologiesand applications of design automation tools to designs. Topics of interestinclude, but are not limited to:

DESIGN TOOLS TRACK:T1.1 Electrical-level circuit and timing simulationT1.2 Discrete simulationT1.3 Critical path analysis and timing verificationT1.4 Power estimationT2.1 Testing, fault modeling and simulation, TPG, test

validation and DFTT2.2 Design and implementation verification (excluding

layout verification)T3.1 Floorplanning and placementT3.2 Global and detailed routingT3.3 Module generation and compaction, transistor sizing and cell

library optimization, layout verificationT4.1 Technology independent, combinational logic synthesisT4.2 Technology dependent logic synthesis, library mapping,

interactions between logic design and layoutT4.3 Sequential logic synthesis and optimizationT4.4 High-level synthesisT4.5 Asynchronous logic synthesisT5.1 Hardware Description LanguagesT5.2 Hardware/Software co-design, partitioning, system-

level specification and design aidsT5.3 Software synthesis and retargetable compilationT5.4 Hardware/Software co-simulationT6.1 Interconnect and packaging modeling and extractionT6.2 Signal integrity and reliability analysisT6.3 Analog and mixed-signal design toolsT6.4 Microsensor and microactuator design toolsT6.5 Statistical design and yield maximizationT7.1 Frameworks, intertool communication, WWW-based

tools and databases

DESIGN METHODS TRACK:The Design Methods track deals with innovative methodologies for thedesign of electronic circuits and systems, as well as creative experienceswith design automation in state-of-the-art designs. Submissions for thistrack will be judged especially on their design application contents.M1 Design methodologies and case studies for specific design tasks

M1.1 Design entry and specificationM1.2 Simulation, analysis, modeling and estimationM1.3 Verification, test and debuggingM1.4 Physical design, module generation, design for manufacturingM1.5 Logic and high-level synthesisM1.6 System-level design, embedded-system design and co-designM1.7 Other

M2 Design flows and case studies for specific application domainsand platforms

M2.1 Board and MCMM2.2 FPGA, rapid prototyping and reconfigurable computingM2.3 ASICM2.4 Microprocessor, multiprocessor and networkingM2.5 Multimedia and consumerM2.6 DSP, communications and wirelessM2.7 Other (automative, medical, optical, ...)

M3 Design technologies and technology driversM3.1 Deep sub-micron: signal integrity, interconnectM3.2 High-performance design: timing, clocking and power

distributionM3.3 Low power design

35th DESIGN AUTOMATION CONFERENCE ®

CALL FOR PAPERSMOSCONE CENTER • JUNE 15 - 19, 1998

MP Associates, Inc.ATTN: Technical Program Co-ChairsJan Rabaey/Randal Bryant5305 Spine Rd., Suite ABoulder, CO 80301For information call: (303) 530-4333

35thDE

SIGN AUTOMATION

CONFERENCE

sponsored by:

Watch the WWW for updates! (http://www.dac.com)

TOPICS OF INTERESTREQUIREMENTS FOR SUBMISSION OF PAPERS

PANELS, TUTORIALS, SPECIAL TOPIC SESSIONS

PROGRAM CHAIR

special interest group on

des ign au tomat ion

®

Page 12: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Design Automation Conference Graduate Scholarships

Each year the Design Automation Conference sponsors several $12,000 scholarships to support graduateresearch and study in Design Automation (DA), with emphasis in "design and test automation of electronicand computer systems". Each scholarship is awarded directly to a university for the Faculty Investigatorto expend in direct support of one or more DA graduate students.

The criteria for granting such a scholarship expanded in 1996 to include financial need. The criteria are:the academic credentials of the student(s); the quality and applicability of the proposed research; theimpact of the award on the DA program at the institution; and financial need. Preference is given toinstitutions that are trying to establish new DA research programs.

Information on next year's DAC scholarship award program will be available on the DAC World WideWeb page at: http://www.dac.com/scholarship.html.

Design Automation Conference Graduate Scholarship Awards

• Prof. R. Iris Bahar of the Brown University, Providence, RI, for Qian Cui. Their project is entitled, "Using Implications to Drive Low-Power Optimization of Technology-Dependent Circuits".

• Prof. David Kaeli of the Northeastern University, Boston, MA, for Ying Liu. Their project is entitled, "Designing in the 3rd Dimension".

•Prof. Miodrag Potkonjak of the University of California, Los Angeles, CA, for Inki Hong. Their project is entitled, "Design Methodology for Synthesis of Real-Time Systems on Silicon".

• Prof. Shambhu J. Upadhyaya of the State University of New York, Buffalo, NY, for Kamran Zarrineh. Their project is entitled, "A Design for Test Perspective on Memory Synthesis".

The Lucent Technologies supported DAC Graduate Scholarship is awarded to:

• Prof. Sachin S. Sapatnekar of the Iowa State University, Ames, IA, for Naresh Maheshwari and Yanbin Jiang. Their project is entitled, "Practical Methods for Gate-Level and Transistor-Level Timing

Optimization".

The Xilinx supported DAC Graduate Scholarship is awarded to:

• Prof. Michael J. Alexander of the Washington State University, Pullman, WA, for Yongmin Ge. Their project is entitled, "CAD-Driven FPGAArchitecture Design".

Design Automation Conference Graduate Scholarship Committee

The 1997 DAC Scholarship Committee was comprised of the following people:

James P. Cohoon, University of Virginia (Chair)Philip V. Lopresti, Independent Consultant

Jeffrey S. Salowe, Cadence Design Systems, Inc.

1997 SIGDA Meritorious Service AwardRobert A. Walker

Kent State UniversityKent, OH

Page 13: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

REVIEWERSA total of 389 manuscripts were submitted to the 1997 DAC. The Conference Executive and TechnicalProgram Committees wish to acknowledge the time and effort spent by the following people who reviewedthese manuscripts and returned the review forms completed. Our thanks to all of those who participatedand contributed to the success of the Conference.

David G. Agnew Vishwani Agrawal Aharon Aharon Robert Aitken Salahuddin Almajdoub Wafa Almansoori Charles J. Alpert Joachim Altmeyer N.R. Aluru Tod Amon Catia Marc Angelo Kurt Antreich Rafael Aquino Mario Aranha Guido Araujo Pranav Ashar William W. Au Michael S. Austwick Bechir Ayari Smita Bakshi Felice Balarin Erich Barke John K. Bartholomew Eugene Beaumont, Jr. James A. Beausang James E. Beck Dirk Behrens Jeff Bell Luca Benini Alfredo Benso Michel Berkelaar E. Berrebi Mike A. Beunder Narasimha Bhat Sandeep Bhatia Subhrajit Bhattacharya Flavio Bianchi Peter Bingley Stephen Blythe Richard Booth Carsten Borchers Bhaskar Bose Eric Bracken

Daniel Brand Daniel R. Brasen Ansgar Bredenfeld Forrest D. Brewer Jay B. Brockman Richard B. Brown Randal E. Bryant Joseph Buck Thomas Buechner Giacomo Buonanno Timothy M. Burks Gianpiero Cabodi Paolo E. Camurati Ariel Cao Wanlin Cao Juan Antonio Carbalo Joan E. Carletta Robert Carragher Patrizia Cavalloro Viraphol Chaiyakul K. Chakrabarty Abhijeet Chakraborty Tapan J. Chakraborty Sreejit Chakravarty Heming H. Chan V. Chandramouli K.C. Chang Yao-Wen Chang Basant Chawla Chien-In Henry Chen Chih-Ang Chen Chih-Tung Chen Dahe Chen Howard H. Chen Sao-Jie Chen Wenfeng Chen Xiangfeng Chen Xinghao Chen Yirng-An Chen David I. Cheng Wei-Kai Cheng Wu-Tung Cheng Yi-Kan Cheng

Brian V. Chess Chun-Ping George Chi Anton V. Chichkov Pai Chou Malgorzata Chrzanowska-Jeske Johan Cockx John M. Cohn Bob Conn Alan J. Coppola Tedd Corman Fulvio Corno Jose Luis Correia Neves Olivier R. Coudert Ajay J. Daga Joseph P. Damore Sumit Dasgupta Hiroshi Date Kaushik De Gjalt De Jong Aykut Dengi Allen M. Dewey Lewis W. Dewey Sujit Dey Olivier Deygas Abhijit Dharchoudhury Thomas E. Dillinger Cuong H. Do Enrico Domenis Bernard J. Doray Rolf Drechsler Anthony D. Drumm Philippe P. Duchene Nikil D. Dutt Amir H. Earrahi Klaus Eckl Hisakazu Edamatsu Stephen A. Edwards Avi Efrati Cindy Eisner Ibrahim M. Elfadel Norman Elias Peter J.H. Elias Frank Elof

Page 14: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Nong Fan Erin P. Fassio Gary K. Fedder Peter Feldmann Gerard Fenelon Fabrizio Ferrandi Josef Fleischmann Paulo Flores Marie-Lise Flottes Paul Franzon Mark S. Fredrickson Stephen T. Frezza Thomas Fuhrman Hiroshige Fujii Takashi Fujii Masahiro Fujita Tomoo Fukazawa F. Fummi George Gadelkarim Anthony J. Gadient Dinesh D. Gaitonde Shantanu Ganguly Andreas Ganz David S.-W. Gao Daniel Geist Dimitris Gizofoulos Nanda Gopal Nobuyuki Goto Ravender Goyal Robert B. Grafton Werner Grass Gary S. Greenstein Thorsten Groetker J.P. Grossman John S. Grout Lon Grover Aarti Gupta Rohini Gupta Mircba R. Gusat Paul Gutwin Ian A. Guyler John Hagerman Winfried F. Hahn Ibrahim N. Hajj Cordula Hansen Justin Harlow Ismed D. Hartanto Soha Hassoun Lei He

Jim Heaton Lars Hedrich Richard HeidenreichShankar Hemmady Stefan Hendricx Manfred Henftling Harry Hengster Hiroyuki Higuchi Dwight D. Hill Lynwood Hines Kanji Hirabayshi Mark J. Hirsch Pei-Hsin Ho Shervin Hojat Ulrich Holtmann Inki Hong Seong K. Hong Wei Hong Yatin V. Hoskote Michael S. Hsiao Harry Hsieh Yaun-Chung Hsu Alan J. Hu Xiaobo Hu Shi-Yu Huang Ying-Min I. Huang Yunching Huang Michael Hutton Yean-You Hwang C. Norris Ip Quan Iran Mary Jane Irwin Balakrishnan Iyer James Jacob Neil G. Jacobson Margarida Jacome Alok Jain Michael A. Jassowski Alvin Jee James Jensen Jochen AG Jess Bruce D. Jilek Frank M. Johannes Eric N. Johnson Eric W. Johnson K.D. Jones Luli Josephson Raju Joshi Jing-Yang Jou

Hsiao Juan Knut Just Hilary J. Kahn Asawaree Kalavade Takashi Kambe Steve Kang De-Yu Kao William H. Kao Arvind K. Karandikar Osamu Karatsu Ireneusz Karkowski Maddumage Karunaratne Mark A. Kassab Masahiro Kawakita M. Kawarabayashi Wuudiann Ke Holger Keding Martin Keim Pratibha Kelapure Kevin J. Kerns John E. Kerro Manpreet Khaira Sanjay A. Khan Sunil Khatri Kei-Yong Khoo Robert H. Klenke Alfred Koelbl Marcel Kolsteren Srinivas Komar Alex Kondratyev Andrzej Krasniewski Byron Krauter Harish Kriplani Kayhan Kucukcakar Yuji Kukimoto David S. Kung Wolfgang Kunz Arno Kunzmann Ming-Ter Kuo Sy-Yen Kuo William K. Lam Dirk Lanneer Chunho Lee Jaushin Lee Jayhyun Lee Jens Leenstra Christian Legl Gunther Lehmann Daksh Lehther

Page 15: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Guang-Tsai Lei Rainer Leupers Regis Leveugle Moshe Levinger Steven Levitan Jeremy R. Levitt Jian Li Jianmin Li Mien Li Yau-Tsun Steven Li Ying-Meng Li Stan Liao Luigi Licciardi Clifford Liem Chih-Chang Lin David Ling Paul E. Lippens Yu Liu Arun N. Lokanathan David E. Long Michael Lorenzetti Aiguo Lu Enrico Macii Christophe Madre Rafic Z. Makki Enrico Malavasi Joseph Malka Srilatha Manne Elena Marchetti Diana Marculescu Radu Marculescu Grant E. Martin Peter Marwedel Masataka Matsui Yusuke Matsunaga Peter Maurer Peter C. Maxwell Uwe B. Meding Mahesh Mehendale Sharad Mehrotra Gaurav Mehta Huzefa A. Mehta Noel Menezes Scott F. Midkiff Paolo Miliozzi Linda Milor Shin-ichi Minato Takashi Mitsuhashi Manmohan Mittal

Hiroshi Miyashita Toshiaki Miyazaki Peter Moceyunas Paul Molitor Delfin Y. Montuno Jean Paul Moriw Seijiro Moriyama Vasily G. Moshnyaga Chandra S. Moturu Klaus D. Mueller-Glaser Pradipto Mukherjee Rajarshi Mukherjee Fidel Muradali Masami Murakata Michiaki Muraoka Gerry Musgrave Benoit Nadeau-Dostie Surendra Nahar Yuichi Nakamura J. Narasimhan Sridhar Narayanan Violante Nassimo Danial Neebel M. Nemani Seiichi Nishio Lisa M. Noack Istvan Novak Ramakrisha Nunna Peter Odryna Jaewon Oh Yukihito Oowaki Neven Orhanovic David Z. Pan Peichen Pan Wenwei Pan Preeti Ranjan Panda Rajendran V. Panda Maurizio Paolini Christos Papachristou Marios C. Papaefthymiou Abelardo Pardo Rubin A. Parekhji Keshab K. Parhi Janak H. Patel Srinivas Patil Lalit M. Patnaik Michael Payer Stefan Pees Marc Picquendar

Lawrence T. Pileggi Carl P. Pixley Francois Pogodalla Franck J. Poirot Massimo Poncino Guido Post Miodrag M. Potkonjak Dhiraj K. Pradhan John Provence Giorgio Puggelli Satya Pullela Iksoo Pyo Isa S. Qamber Stefano Quer Michael Quinn Ivan Radivojevic Richard Raimi Rajesh Raina Salil Raje Suresh Rajgopal Rajeev K. Ranjan Sreenivasa Rao Shishpal S. Rawat Alain Raynaud Bill Read Maurizio Rebaudengo Bob Reese Michael Riepe Andrew L. Rood Wolfgang Rosenstiel Charles Rosenthal Roni Rosner Rabindra K. Roy Elizabeth Rudnick P. Sadasivan Hans Sahm Alexander Saldanha Yasunori Sameshima Lejm Samir Raul San Martin Peter Sandborn Sachin Sapatnekar Majid Sarrafzadeh Hidenori Sato Koichi Sato Janardhan H. Satyanarayana Sunao Sawada Prashant Sawkar Riccardo Scarsi

Page 16: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Patrick R. Schaumont Ulf Schlichtmann Bernd Schuermann Donatella Sciuto Andrew Seawright Carl Sechen Joel Seidman Masatoshi Sekine Craig Selinger Alexei Semenov Jonjen Sern Dorothy E. Setliff Wen-Zen Shen Narendra V. Shenoy Will Sherwood Hyongkyoon Shin Thomas R. Shiple Allan Silburt L. Miguel Silveira Eng J. Sin Montek Singh Vigyan Singhal Mukund Sivaraman Joseph P. Skudlarek Eric Skuldt Anna Slobodova Mani Soma Larry P. Soule Lambert Spaanenburg Rajagopala Srinivasan Mysore Sriram Brian Stacey George Stamoulis Balsha R. Stanisic Don Stark Jack A. Stinson Leon Stok Neal Stollon Noel Strader Ming Su Peter R. Suaris P.A. Subrahmanyam Ashok Sudarsanam Stephen Sugiyama Wei-Kai Sun Peter Sutton William Swartz Frank Szorc Thomas G. Szymanski

Masayoshi M. Tachibana Paul Tafertshofer Hiromasa Takahashi Thomas Tamisier Yutaka Tamiya Gerard Tarroux Ricardo Telichevesky Chin-Chi Teng Ramesh C. Terumalla Shashidhar Thakur Michael Theobald Thorsten Theobald Tzu-Chieh Tien Adwin H. Timmer Vivek Tiwari Steve Tjiang Paul G. Tobin Robert Todd Masahiko Toyonaga Tuan Anh Tran Stephen Trimberger J. Donald Trotter Anne-Marie Trullemans-AnckaertChien-Chun Tsai Chung-Wen Tsao Yu-Wen Tsay Chi-Ying Tsui Raymond Y. Tsui Maura Turolla Jon G. Udell Hasan F. Ugurdag Yasuo Unekawa Radha Vaidyanathan Hirendu Vaishnav Jan Van Der Steen A.J. Van Genderen Lukas P.P.P. Van Ginneken Jef Van Meerbergen Johan Van Praet Peter Vanbekbergen Jagadeesh Vasudevamurthy Rajagop Venkatachalam G. Venkatesh Ingrid Verbauwhede Wim Verhaegh Serge Vernalde Idalina J. Videira Tiziano Villa Ashok Vittal

Jakob Vlietstra Zeroen P. Voeten Ronald L. Wadsack Michael Wahl Duncan M. Walker Peter A. Walker Robert A. Walker Elizabeth Walkup David Wallace Ching-Yi Wang Duen-Jeng Wang Huey-Yih Wang Jimmy S. Wang Yifeng Wang Greg Ward Yen-Cheng Wen Jen-Pin Weng Jesse Whittemore Manfred Wiesel Markus Willems Anthony Wojcik Yaron Wolfsthal Allen C.H. Wu Chang Wu Ephrem Wu Tsung-Yi Wu Bernhard Wunder Bernd Wurth Jiabei Xiao Jin Xu Min Xu Songjie Xu Alexandre Yakovlev Hakan Yalcin Koichi Yamashita Lawrence R. Yang Hiroto Yasuura Dah-Cherng Yuan Frank Y. Yuan Joel T. Yuen Roberto Zafalon Peter W. Zepter Jian-Kun Zhao Hai Zhou Zheng Zhou Jiab J. Zhu Jianwen Zhu Thomas Ziaja Vojin Zivojnovic

Page 17: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

OPENING KEYNOTE ADDRESS

Scott G. McNealyPresident/Chairman of the Board/CEOSun Microsystems, Inc.Mountain View, CA

Under the leadership of co-founder Scott McNealy, Sun Microsystems, Inc. has become one of America’sfastest-growing companies, according to Fortune magazine. The 41-year-old chief executive leads “themost efficient company in the industry,” writes Business Week.

Mr. McNealy serves as Chairman of the Board, President and CEO of Sun, the parent company of all Sunsubsidiaries and operating companies. With more than $6 billion in annual revenues, Sun Microsystemsranks 222 on the Fortune 500. The company is the world’s leading provider of powerful UNIX®

workstations, servers and related software. Java™, its platform-independent programming language,provides a comprehensive solution to the challenge of programming for complex networks, including theInternet.Mr. McNealy has become an industry spokesman for open computer systems and a chief proponent ofclient-server, peer computing. He serves as Co-vice Chairman for the security encryption and exportcontrols committee of the Computer Systems Policy Project, a consortium of 13 of the largest U.S.computer companies that address public policy issues affecting the industry and the nation. He is also onthe Board of Directors of the Santa Clara County Manufacturers Board.

Mr. McNealy was Vice President of Operations at Sun before his appointment to President in February1984. Prior to Sun, he was Director of Operations at Onyx Systems, a manufacturer of microcomputersystems, and a member of FMC’s corporate manufacturing staff. He also held various operations and salespositions at Rockwell International.

Mr. McNealy was awarded a degree in economics from Harvard University and an M.B.A. from StanfordUniversity.

Page 18: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

THURSDAY KEYNOTE ADDRESS

Michael A. AymarVice-President/General ManagerIntel Corp.Santa Clara, CA

A VISION OF THE FUTURE OF COMPUTING

The pace of technology advancement in computing continues to accelerate. Mr. Aymar will offer aglimpse into the future of EDA design environment. The continued evolution will provide new capabilitieswhich are based on standards, innovation, value and choice. Technologies such as video, audio, imaging,animation and the internet provide the foundation. These capabilities will improve your productivity atwork and on the road, and provide new entertainment experiences at home.

Michael Aymar is Vice President and General Manager of Intel Corp.’s Desktop Products Group.

Mr. Aymar, who joined Intel in 1976, has extensive experience in micro- and mini-computer systems.Prior to his current assignment, he held positions in system and software engineering, microprocessormarketing, VLSI design automation, and general management assignments in development systems andmobile personal computers. Before coming to Intel, Mr. Aymar was employed by Hewlett-Packard.

A graduate of Stanford University, Mr. Aymar received both his B.S. and M.S. degrees, cum laude, inelectrical engineering in 1970.

Page 19: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Table of Contents

General Chair’s Welcome .........................................................................................................................................iii

Executive Committee ................................................................................................................................................iv

Technical Program Committee..................................................................................................................................vi

1997 Best Paper Award .............................................................................................................................................ix

ACSEE Undergraduate Scholarships........................................................................................................................ix

35th Call for Papers ...................................................................................................................................................x

Design Automation Conference Scholarship Awards ...............................................................................................xi

Meritorious Service Award .......................................................................................................................................xi

Reviewers.................................................................................................................................................................xii

Opening Keynote Address—Scott G. McNealy ......................................................................................................xvi

Thursday Keynote Address—Michael A. Aymar ...................................................................................................xvii

Panel: An Executive View of the EDA IndustryChair: A. Richard NewtonOrganizer: Mike MurrayPanel Members: Joseph Costello, Aart de Geus, William Herman, Gerald Hsu, Keith Lobo, Walden Rhines..............................................................................................................1

Session 1Sequential Synthesis

Chair: Richard L. RudellOrganizers: Fabio Somenzi, Sharad Malik

1.1 An Improved Algorithm for Minimum-Area RetimingNaresh Maheshwari, Sachin S. Sapatnekar .............................................................................2

1.2 Efficient Latch Optimization Using Exclusive SetsEllen M. Sentovich, Horia Toma, Gérard Berry ......................................................................8

1.3 Sequence Compaction for Probabilistic Analysis of Finite-State MachinesDiana Marculescu, Radu Marculescu, Massoud Pedram......................................................12

1.4 Synthesis of Speed-Independent Circuits from STG-unfolding SegmentAlexei Semenov, Alexandre Yakovlev, Enric Pastor, Marco A. Peña, Jordi Cortadella.........16

1.5 Telescopic Units: Increasing the Average Throughput of Pipelined Designs by AdaptiveLatency Control

Luca Benini, Enrico Macii, Massimo Poncino ......................................................................22

Session 2Interconnect Modeling

Chair: Lawrence T. PileggiOrganizers: Andrew T. Yang, Jacob White

2.1 Zeros and Passivity of Arnoldi-Reduced-Order Models for Interconnect NetworksIbrahim M. Elfadel, David D. Ling ........................................................................................28

2.2 Preservation of Passivity During RLC Network Reduction via Split CongruenceTransformations

Kevin J. Kerns, Andrew T. Yang .............................................................................................342.3 Lumped Interconnect Models Via Gaussian Quadrature

Keith Nabors, Tze-Ting Fang, Hung-Wen Chang, Kenneth S. Kundert, Jacob K. White......402.4 Calculating Worst-Case Gate Delays Due to Dominant Capacitance Coupling

Florentin Dartu, Lawrence T. Pileggi ....................................................................................46

xviii

Page 20: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 3Novel Techniques for Software Scheduling

Chair: Gaetano BorrielloOrganizers: Rajesh K. Gupta, Luciano Lavagno

3.1 Schedule Validation for Embedded Reactive Real-Time SystemsFelice Balarin, Alberto Sangiovanni-Vincentelli....................................................................52

3.2 Incorporating Imprecise Computation into System-Level Design of Application-SpecificHeterogeneous Multiprocessors

Yosef G. Tirat-Gefen, Diogenes C. Silva, Alice C. Parker .....................................................583.3 Data Memory Minimisation for Synchronous Data Flow Graphs Emulated on DSP-FPGA

TargetsMarleen Adé, Rudy Lauwereins, J. A. Peperstraete...............................................................64

3.4 An Efficient Implementation of Reactivity for Modeling Hardware in the Scenic DesignEnvironment

Stan Liao, Steve Tjiang, Rajesh Gupta...................................................................................70

Session 44.1 Embedded Tutorial: Tools and Methodologies for Low Power Design

Jerry Frenkil ...........................................................................................................................76

Panel: Low-Power Design Tools: Where Is the Impact?Chair: Jan M. RabaeyOrganizer: Nanette CollinsPanel Members: Bill Bell, Jerry Frenkil, Vassilios Gerousis, Massoud Pedram, Deo Singh, Jim Sproch ..................................................................................................................82

Session 5Simulation Techniques for Microprocessors

Chair: Haruyuki TagoOrganizers: Haruyuki Tago, Neil Weste

5.1 A C-Based RTL Design Verification Methodology for Complex MicroprocessorJoon-Seo Yim, Yoon-Ho Hwang, Chang-Jae Park, Hoon Choi, Woo-Seung Yang, Hun-Seung Oh, In-Cheol Park, Chong-Min Kyung ...............................................................83

5.2 Hierarchical Random Simulation Approach for the Verification of S/390 CMOSMultiprocessors

Jörg Walter, Jens Leenstra, Gerhard Döttling, Bernd Leppla, Hans-Jürgen Münster,Kevin Kark, Bruce Wile ..........................................................................................................89

5.3 Efficient Testing of Clock Regenerator Circuits in Scan DesignsRajesh Raina, Robert Bailey, Charles Njinda, Robert Molyneaux, Charlie Beh ..................95

5.4 A Real-Time RTL Engineering-Change Method Supporting On-Line Debugging forLogic-Emulation Applications

Wen-Jong Fang, Allen C.-H. Wu, Ti-Yen Yen .......................................................................101

Session 6Combinational Logic Synthesis

Chair: Hamid SavojOrganizers: Andreas Kuehlmann, Massoud Pedram

6.1 A Graph-Based Synthesis Algorithm for AND/XOR NetworksYibin Ye, Kaushik Roy...........................................................................................................107

6.2 Optimizing Designs Containing Black BoxesTai-Hung Liu, Khurram Sajid, Adnan Aziz, Vigyan Singhal ................................................113

xix

Page 21: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

6.3 Solving Covering Problems Using LPR-Based Lower BoundsStan Liao, Srinivas Devadas.................................................................................................117

6.4 Exact Coloring of Real-Life Graphs is EasyOlivier Coudert.....................................................................................................................121

Session 7Interconnect Parasitic Extraction

Chair: Andrew T. YangOrganizers: Jacob White, Jason Cong

7.1 Hierarchical 2-D Field Solution for Capacitance Extraction for VLSI InterconnectModeling

E. Aykut Dengi, Ronald A. Rohrer........................................................................................1277.2 Bounds for BEM Capacitance Extraction

Michael W. Beattie, Lawrence T. Pileggi .............................................................................1337.3 SPIE: Sparse Partial Inductance Extraction

Zhijiang He, Mustafa Celik, Lawrence T. Pileggi................................................................1377.4 A Fast Method of Moments Solver for Efficient Parameter Extraction of MCMs

Sharad Kapur, Jinsong Zhao ................................................................................................141

Session 8Advances in Timing Analysis for Embedded Software

Chair: Wendell Baker, Hiroto YasuuraOrganizers: Rajesh K. Gupta, Luciano Lavagno

8.1 Embedded Tutorial: Static Timing Analysis of Embedded SoftwareSharad Malik, Margaret Martonosi, Yau-Tsun Steven Li....................................................147

8.2 A Task-Level Hierarchical Memory Model for System Synthesis of MultiprocessorsYanbing Li, Wayne Wolf ........................................................................................................153

8.3 Predicting Timing Behavior in Architectural Design Exploration of Real-Time EmbeddedSystems

Rajeshkumar Sambandam, Xiaobo (Sharon) Hu..................................................................157

Session 9Applications of Formal Verification

Chair: Andreas KuehlmannOrganizers: Haruyuki Tago, Neil Weste

9.1 Formal Verification of a Superscalar Execution UnitKyle L. Nelson, Alok Jain, Randal E. Bryant .......................................................................161

9.2 Formal Verification of Content Addressable Memories Using Symbolic TrajectoryEvaluation

Manish Pandey, Richard Raimi, Randal E. Bryant, Magdy S. Abadir ................................1679.3 Formal Verification of FIRE: A Case Study

Jae-Young Jang, Shaz Qadeer, Matt Kaufmann, Carl Pixley ..............................................173

Session 10System-Level Exploration and Refinement

Chair: Ivo BolsensOrganizers: Ivo Bolsens, Anders Forsen

10.1 Interface-Based DesignJames A. Rowson, Alberto Sangiovanni-Vincentelli.............................................................178

10.2 An Integrated Design Environment for Performance and Dependability AnalysisRobert H. Klenke, Moshe Meyassed, James H. Aylor, Barry W. Johnson, Ramesh Rao, Anup Ghosh ..........................................................................................................................184

xx

Page 22: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

10.3 A Dynamic Design Estimation and Exploration EnvironmentOle Bentz, Jan M. Rabaey, David B. Lidsky ........................................................................190

Session 11Binary Decision Diagrams

Chair: Andreas KuehlmannOrganizers: Massoud Pedram, Andreas Kuehlmann

11.1 Remembrance of Things Past: Locality and Memory in BDDsSrilatha Manne, Dirk Grunwald, Fabio Somenzi ................................................................196

11.2 Linear Sifting of Decision DiagramsChristoph Meinel, Fabio Somenzi, Thorsten Theobald .......................................................202

11.3 Safe BDD Minimization Using Don’t CaresYoupyo Hong, Peter A. Beerel, Jerry R. Burch, Kenneth L. McMillan................................208

Session 12Timing Analysis

Chair: Karem A. SakallahOrganizers: Karem Sakallah, Sharad Malik

12.1 Timing Optimization for Multi-Source Nets: Characterization and Optimal RepeaterInsertion

John Lillis, Chung-Kuan Cheng...........................................................................................21412.2 Exact Required Time Analysis via False Path Detection

Yuji Kukimoto, Robert K. Brayton........................................................................................22012.3 Symbolic Timing Verification of Timing Diagrams using Presburger Formulas

Tod Amon, Gaetano Borriello, Taokuan Hu, Jiwen Liu.......................................................226

Session 13Embedded Tutorial: Code Generation for Core Processors

13.1 Chair: Peter MarwedelOrganizers: Giovanni De MicheliPresenter: Peter Marwedel ..........................................................................................................232

Session 14Panel: Physical Design and Synthesis: Merge or Die!

Chair: Massoud PedramOrganizer: Massoud PedramPanel Members: Richard Bushroe, Raul Camposano, Giovanni De Micheli, Antun Domic, Chi-Ping Hsu, Michael Jackson ..................................................................................................238

Session 15System-Level Optimization and Verification

Chair: Phil DuncanOrganizers: Ivo Bolsens, James A. Rowsen

15.1 Interface Timing Verification Drives System DesignAjay J. Daga, Peter R. Suaris ..............................................................................................240

15.2 Memory-CPU Size Optimization for Embedded System DesignsBarry Shackleford, Mitsuhiro Yasuda, Etsuko Okushi, Hisao Koizumi, Hiroyuki Tomiyama,Hiroto Yasuura......................................................................................................................246

15.3 Methodology for Behavioral Synthesis-based Algorithm-level Design Space Exploration:DCT Case Study

Miodrag Potkonjak, Kyosun Kim, Ramesh Karri ................................................................252

xxi

Page 23: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 16Formal Verification

Chair: Fabio SomenziOrganizers: Fabio Somenzi, Giovanni De Micheli

16.1 Embedded Tutorial: Formal Verification in a Commercial SettingR. P. Kurshan ........................................................................................................................258

16.2 Equivalence Checking Using Cuts and HeapsAndreas Kuehlmann, Florian Krohm ...................................................................................263

Session 17Analog Simulation

Chair: Giorgio CasinoviOrganizers: Jacob White, Hidetoshi Onodera

17.1 Efficient Methods for Simulating Highly Nonlinear Multi-Rate CircuitsJaijeet Roychowdhury...........................................................................................................269

17.2 Rapid Frequency-Domain Analog Fault Simulation Under Parameter TolerancesMichael W. Tian, C.-J. Richard Shi ......................................................................................275

17.3 SWITTEST: Automatic Switch-level Fault Simulation and Test Evaluation of Switched-Capacitor Systems

S. Mir, A. Rueda, T. Olbrich, E. Peralías, J. L. Huertas ......................................................281

Session 18Software Synthesis for Embedded Systems

Chair: Sharad MalikOrganizers: Sharad Malik, Luciano Lavagno

18.1 Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSPArchitectures

Ashok Sudarsanam, Stan Liao, Srinivas Devadas ...............................................................28718.2 System Level Fixed-Point Design Based on an Interpolative Approach

Markus Willems, Volker Bürsgens, Holger Keding, Thorsten Grötker, Heinrich Meyr ......29318.3 ISDL: An Instruction Set Description Language for Retargetability

George Hadjiyiannis, Silvina Hanono, Srinivas Devadas ...................................................29918.4 Generation of Software Tools from Processor Descriptions for Hardware/Software

CodesignMark R. Hartoog, James A. Rowson, Prakash D. Reddy, Soumya Desai, Douglas D. Dunlop, Edwin A. Harcourt, Neeti Khullar ......................................................303

Session 19Experiences in System Design and Education at Universities

Chairs: Jan M. Rabaey, Anantha ChandrakasanOrganizers: Jan M. Rabaey

19.1 Education for the Deep-Submicron Age: Business As Usual?Hugo De Man .......................................................................................................................307

19.2 INFOPAD: An Experiment in System-Level Design and IntegrationRobert W. Brodersen.............................................................................................................313

19.3 Very Rapid Prototyping of Wearable Computers: A Case Study of Custom Versus Off-the-Shelf Design Methodologies

Asim Smailagic, Daniel P. Siewiorek, Richard Martin, John Stivoric .................................315

xxii

Page 24: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 20Standard Cell and Physical Design Methods

Chair: Neil WesteOrganizers: Neil Weste, Randolph E. Harr

20.1 CAD at the Design-Manufacturing InterfaceH. T. Heineken, J. Khare, W. Maly, P. K. Nag, C. Ouyang, W. A. Pleskacz ........................321

20.2 CELLERITY: A Fully Automatic Layout Synthesis System for Standard Cell LibrariesMohan Guruswamy, Robert L. Maziasz, Daniel Dulitz, Srilata Raman, Venkat Chiluvuri,Andrea Fernandez, Larry G. Jones ......................................................................................327

20.3 Developing a Concurrent Methodology for Standard-Cell Library GenerationDonald G. Baltus, Thomas Varga, Robert C. Armstrong, John Duh, T. G. Matheson ........333

20.4 A Fast and Accurate Technique to Optimize Characterization Tables for Logic SynthesisJohn F. Croix, Martin D. F. Wong ........................................................................................337

Session 21Modeling and Transformations in Synthesis

Chair: David KuOrganizers: Kazutoshi Wakabayashi, Raul Camposano

21.1 Limited Exception Modeling and Its Use in Presynthesis OptimizationJian Li, Rajesh K. Gupta ......................................................................................................341

21.2 Potential-Driven Statistical Ordering of TransformationsInki Hong, Darko Kirovski, Miodrag Potkonjak..................................................................347

21.3 Synthesis of Application Specific Programmable ProcessorsKyosun Kim, Ramesh Karri, Miodrag Potkonjak ................................................................353

21.4 Symbolic Evaluation of Performance Models for Tradeoff VisualizationJeffrey Walrath, Ranga Vemuri.............................................................................................359

Session 22Statistical Power Estimation Techniques

Chair: Luca BeniniOrganizers: Massoud Pedram, Andrew T. Yang

22.1 Power Macromodeling for High Level Power EstimationSubodh Gupta, Farid N. Najm .............................................................................................365

22.2 Statistical Estimation of the Cumulative Distribution Function for Power Dissipation in VLSI Circuits

Chih-Shun Ding, Qing Wu, Cheng-Ta Hsieh, Massoud Pedram .........................................37122.3 Statistical Estimation of Average Power Dissipation in Sequential Circuits

Li-Pen Yuan, Chin-Chi Teng, Sung-Mo Kang ......................................................................37722.4 Vector Generation for Maximum Instantaneous Current Through Supply Lines for

CMOS CircuitsAngela Krstic, Kwang-Ting (Tim) Cheng.............................................................................383

Session 23Co-Simulation

Chair: Kunle OlukotunOrganizers: Rajesh Gupta, Kunle Olukoton

23.1 Fast Hardware/Software Co-Simulation for Virtual Prototyping and Trade-Off AnalysisClaudio Passerone, Luciano Lavagno, Massimiliano Chiodo, Alberto Sangiovanni-Vincentelli ...........................................................................................389

23.2 Dynamic Communication Models in Embedded System Co-SimulationKen Hines, Gaetano Borriello..............................................................................................395

xxiii

Page 25: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 24Panel: Challenges in Worldwide IP Reuse

Chair: Rita GloverOrganizers: Takahide Inoue, Rita Glover, John TeetsPanel Members: Doug Fairbairn, Larry Cooke, Steve Schulz, Takahide Inoue, Raj Raghavan, Jean-Louis Bories, Wally Rhines ........................................................................401

Session 25Emerging Technologies and Architectures for Low Power

Chair: Vivek TiwariOrganizers: Anatha Chandrakasan, Robert C. Frye

25.1 Device-Circuit Optimization for Minimal Energy and Power Consumption in CMOSRandom Logic Networks

Pankaj Pant, Vivek De, Abhijit Chatterjee ...........................................................................40325.2 Transistor Sizing Issues and Tool for Multi-Threshold CMOS Technology

James Kao, Anantha Chandrakasan, Dimitri Antoniadis ....................................................40925.3 Architectural Exploration Using Verilog-Based Power Estimation: A Case Study

of the IDCTThucydides Xanthopoulos, Yoshifumi Yaoi, Anantha Chandrakasan...................................415

25.4 A Power Estimation Framework for Designing Low Power Portable Video ApplicationsChi-Ying Tsui, Kai-Keung Chan, Qing Wu, Chih-Shun Ding, Massoud Pedram ................421

25.5 An Investigation of Power Delay Trade-Offs on PowerPC CircuitsQi Wang, Sarma B. K. Vrudhula, Shantanu Ganguly ..........................................................425

Session 26High Level Synthesis for Low Power

Chair: Kazutoshi WakabayashiOrganizers: Raul Camposano, Kazutoshi Wakabayashi

26.1 Power Management Techniques for Control-Flow Intensive DesignsAnand Raghunathan, Sujit Dey, Niraj K. Jha, Kazutoshi Wakabayashi..............................429

26.2 Low Energy Memory and Register Allocation Using Network FlowCatherine H. Gebotys ...........................................................................................................435

26.3 Power-Conscious High Level Synthesis Using Loop FoldingDaehong Kim, Kiyoung Choi ...............................................................................................441

Session 27Module Generation

Chair: Dwight D. HillOrganizers: Antun Domic, Patrick Groeneveld

27.1 Embedded Tutorial: The Future of Custom Cell Generation in Physical SynthesisMartin Lefebvre, David Marple, Carl Sechen......................................................................446

27.2 CLIP: An Optimizing Layout Generator for Two-Dimensional CMOS CellsAvaneendra Gupta, John P. Hayes .......................................................................................452

27.3 An Efficient Transistor Folding Algorithm for Row-Based CMOS Layout DesignJaewon Kim, S. M. Kang ......................................................................................................456

27.4 Technology Retargeting for IC LayoutJohn Lakos............................................................................................................................460

xxiv

Page 26: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 28BIST and DFT

Chair: Yervant ZorianOrganizers: Janusz Rajski, Yervant Zorian

28.1 A Test Synthesis Approach to Reducing BALLAST DFT OverheadDouglas Chang, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Takashi Aikyo, Kwang-Ting Cheng ...............................................................................................................466

28.2 STARBIST: Scan Autocorrelated Random Pattern GenerationK. H. Tsai, S. Hellebrand, J. Rajski, M. Marek-Sadowska ..................................................472

28.3 A Hybrid Algorithm for Test Point Selection for Scan-Based BISTHuan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik..............................478

Session 29Panel: Hardware/Software Co-Verification

Chair: Gary SmithOrganizers: Michel Courtoy, Marion KenefickPanel Members: Brian Bailey, Kurt Keutzer, Amr Mohsen, Richard Moseley, Jim Rowson, Geoff Bunza, Willis Hendley........................................................................................................484

Session 30DSP & Telecommunication System Design

Chair: Rajeev JainOrganizers: Phil Duncan, Teresa Meng

30.1 Design and Synthesis of Array Structured Telecommunication Processing ApplicationsWolfgang Meyer, Andrew Seawright, Fumiya Tada .............................................................486

30.2 RASSP Virtual Prototyping of DSP SystemsC. Hein, J. Pridgen, W. Kline ...............................................................................................492

30.3 A Parallel/Serial Trade-Off Methodology for Look-Up Table Based DecodersClaus Schneider....................................................................................................................498

Session 31Embedded Tutorial: High Level Power

Modeling, Estimation, and Optimization31.1 Chair: Massoud Pedram

Organizers: Giovanni De Micheli, Massoud PedramPresenters: Enrico Macii, Massoud Pedram, Fabio Somenzi .....................................................504

Session 32Advances in Partitioning

Chair: Martin D. F. WongOrganizers: Antun Domic, Patrick Groeneveld

32.1 A Network Flow Approach for Hierarchical Tree PartitioningMing-Ter Kuo, Chung-Kuan Cheng .....................................................................................512

32.2 Multi-Way FPGA Partitioning by Fully Exploiting Design HierarchyWen-Jong Fang, Allen C.-H. Wu ..........................................................................................518

32.3 A Hierarchy-Driven FPGA Partitioning MethodHelena Krupnova, Ali Abbara, Gabrièle Saucier ................................................................522

32.4 Multilevel Hypergraph Partitioning: Application in VLSI DomainGeorge Karypis, Rajat Aggarwal, Vipin Kumar, Shashi Shekhar........................................526

32.5 Multilevel Circuit PartitioningCharles J. Alpert, Jen-Hsin Huang, Andrew B. Kahng........................................................530

xxv

Page 27: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 33Processor Test Techniques

Chair: Janusz RajskiOrganizers: Yervant Zorian, Janusz Rajski

33.1 Hierarchical Test Generation and Design for Testability of ASPPs and ASIPsIndradeep Ghosh, Anand Raghunathan, Niraj K. Jha .........................................................534

33.2 Frequency-Domain Compatibility in Digital Filter BISTLaurence Goodby, Alex Orailoglu........................................................................................540

33.3 A Scheme for Integrated Controller-Datapath Fault TestingM. Nourani, J. Carletta, C. Papachristou............................................................................546

Session 34Panel: The Next Generation HDL

Chair: Steve E. SchulzOrganizers: Richard Goering, Nanette CollinsPanel Members: Gerard Berry, Kurt Keutzer, Maq Mannan, James A. Rowson, Alberto Sangiovanni-Vincentelli, Larry Saunders.......................................................................552

Session 35Design Processes and Frameworks

Chair: Teresa MengOrganizers: Teresa Meng, Jan M. Rabaey

35.1 Executable Workflows: A Paradigm for Collaborative Design on the InternetHemang Lavana, Amit Khetawat, Franc Brglez, Krzysztof Kozminski................................553

35.2 Electronic Component Information Exchange (ECIX)Donald R. Cottrell ................................................................................................................559

35.3 Modeling Design Tasks and Tools - The Link Between Product and Flow ModelBernd Schürmann, Joachim Altmeyer ..................................................................................564

Session 36Probabilistic Models of Input Data for Efficient Power Estimation

Chair: Farid N. NajmOrganizers: Fabio Somenzi, Andrew T. Yang

36.1 Hierarchical Sequence Compaction for Power EstimationRadu Marculescu, Diana Marculescu, Massoud Pedram....................................................570

36.2 Profile-Driven Program Synthesis for Evaluation of System Power DissipationCheng-Ta Hsieh, Massoud Pedram, Gaurav Mehta, Fred Rastgar.....................................576

36.3 Analytical Estimation of Transition Activity from Word-Level Signal StatisticsSumant Ramprasad, Naresh R. Shanbhag, Ibrahim N. Hajj................................................582

Session 37Hot Topics in Routing

Chair: Carl SechenOrganizers: Patrick Groeneveld, Antun Domic

37.1 Wire Segmenting for Improved Buffer InsertionCharles Alpert, Anirudh Devgan..........................................................................................588

37.2 More Practical Bounded-Skew Clock RoutingAndrew B. Kahng, C.-W. Albert Tsao ...................................................................................594

37.3 An Efficient Approach to Multi-Layer Layer Assignment with Application to ViaMinimization

Chin-Chih Chang, Jason Cong ............................................................................................600

xxvi

Page 28: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

37.4 Optimal Wire-Sizing Function with Fringing Capacitance ConsiderationChung-Ping Chen, D. F. Wong .............................................................................................604

Session 38Test Generation and Fault Simulation

Chair: Vishwani AgrawalOrganizers: Yervant Zorian, Janusz Rajski

38.1 Fault Simulation Under the Multiple Observation Time Approach Using BackwardImplications

Irith Pomeranz, Sudhakar M. Reddy....................................................................................60838.2 ATPG for Heat Dissipation Minimization during Scan Testing

Seongmoon Wang, Sandeep K. Gupta ..................................................................................61438.3 Automatic Generation of Synchronous Test Patterns for Asynchronous Circuits

Oriol Roig, Jordi Cortadella, Marco A. Peña, Enric Pastor ...............................................620

Session 39Panel: The Road Ahead in CPLD & FPGA Design MethodologyChair: Rhondalee RohlederOrganizer: John BirknerPanel Members: Don Faria, Steve Golson, Robert K. Beachler, Bruce Kleinman, Mike Dini, Bob Donaldson, Dave Kohlmeier..............................................................................626

Session 40Deep Submicron Modeling and Analysis

Chair: Robert C. FryeOrganizers: Vivek Tiwari, Anantha Chandrakasan

40.1 Analysis and Justification of a Simple, Practical 2 1/2-D Capacitance ExtractionMethodology

Jason Cong, Lei He, Andrew B. Kahng, David Noice, Nagesh Shirali, Steven H.-C. Yen ...................................................................................................................627

40.2 Accurate and Efficient Macromodel of Submicron Digital Standard CellsCristiano Forzan, Bruno Franzini, Carlo Guardiani...........................................................633

40.3 Power Supply Noise Analysis Methodology for Deep-Submicron VLSI Chip DesignHoward H. Chen, David D. Ling..........................................................................................638

Session 41Technology-Dependent Optimization for Performance and Power

Chair: Gabriele SaucierOrganizers: Jason Cong, Gabriele Saucier

41.1 FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization ofSequential Circuits

Jason Cong, Chang Wu ........................................................................................................64441.2 Technology-Dependent Transformations for Low-Power Synthesis

Rajendran Panda, Farid N. Najm ........................................................................................65041.3 Low Power FPGA Design - A Re-engineering Approach

Chau-Shen Chen, TingTing Hwang, C.L. Liu.......................................................................65641.4 Post-Layout Logic Restructuring for Performance Optimization

Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng, Malgorzata Marek-Sadowska ..............66241.5 Layout Driven Re-Synthesis for Low Power Consumption LSIs

Masako Murofushi, Takashi Ishioka, Masami Murakata, Takashi Mitsuhashi....................666

xxvii

Page 29: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 42CAD Issues for Micro-Electro-Mechanical Systems

Chair: Randolph E. HarrOrganizers: Randolph E. Harr, Jacob White

42.1 Embedded Tutorial: Overview of Microelectromechanical Systems and Design Processes

William C. Tang ....................................................................................................................67042.2 CAD and Foundries for Microsystems

J. M. Karam, B. Courtois, H. Boutamine, P. Drake, A. Poppe, V. Szekely, M. Rencz, K. Hofmann, M. Glesner ......................................................................................................674

42.3 Structured Design of Microelectromechanical SystemsTamal Mukherjee, Gary K. Fedder.......................................................................................680

42.4 Algorithms for Coupled Domain MEMS SimulationN. Aluru, J. White .................................................................................................................686

Session 43Hardware/Software Partitioning

Chair: Rolf ErnstOrganizers: Luciano Lavagno, Rajesh K. Gupta

43.1 A Hardware/Software Partitioner Using a Dynamically Determined GranularityJörg Henkel, Rolf Ernst ........................................................................................................691

43.2 System-Level Synthesis of Low-Power Hard Real-Time SystemsDarko Kirovski, Miodrag Potkonjak ....................................................................................697

43.3 COSYN: Hardware-Software Co-Synthesis of Embedded SystemsBharat P. Dave, Ganesh Lakshminarayana, Niraj K. Jha ...................................................703

43.4 Data-Flow Assisted Behavioral Partitioning for Embedded SystemsSamir Agrawal, Rajesh K. Gupta .........................................................................................709

43.5 Hardware/Software Partitioning and PipeliningSmita Bakshi, Daniel D. Gajski............................................................................................713

Session 4444.1 Embedded Tutorial: Chip Parasitic Extraction and Signal Integrity Verification

Wayne W.-M. Dai ..................................................................................................................717

Panel: Noise and Signal Integrity in Deep Submicron DesignChair: William E. GuthrieOrganizer: Massoud PedramPanel Members: Rakesh Chadha, Jason Cong, Charlie Xiaoli Huang, Anirudh Devgan, Tom Mozdzen, Andrew Yang ........................................................................................................720

Session 45Designing High Performance and Low Power Microprocessors

Using Full Custom TechniquesChair: Anantha ChandrakasanOrganizer: Anantha Chandrakasan

45.1 Designing High Performance CMOS Microprocessors Using Full Custom Techniques

William J. Grundmann, Dan Dobberpuhl, Randy L. Allmon, Nicholas L. Rethman...........722

xxviii

Page 30: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 46Formal Verification Techniques

Chair: Rich GoldmanOrganizers: Andreas Kuehlmann, Fabio Somenzi

46.1 Disjunctive Partitioning and Partial Iterative Squaring: An Effective Approach forSymbolic Traversal of Large Circuits

Gianpiero Cabodi, Paolo Camurati, Luciano Lavagno, Stefano Quer ...............................72846.2 An Efficient Assertion Checker for Combinational Properties

Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee............................................................73446.3 Toward Formalizing a Validation Methodology Using Simulation Coverage

Aarti Gupta, Sharad Malik, Pranav Ashar ..........................................................................740

Session 47Placement Techniques

Chair: Malgorzata Marek-SadowskaOrganizers: Patrick Groeneveld, Antun Domic

47.1 Algorithms for Large-Scale Flat PlacementJens Vygen ............................................................................................................................746

47.2 Quadratic Placement RevisitedC. J. Alpert, T. Chan, D. J.-H. Huang, I. Markov, K. Yan ...................................................752

47.3 Unification of Budgeting and PlacementMajid Sarrafzadeh, David Knol, Gustavo Tellez..................................................................758

47.4 Cluster Refinement for Block PlacementJin Xu, Pei-Ning Guo, Chung-Kuan Cheng .........................................................................762

Session 48Panel: The EDA Startup Experience: Financing the Venture

Chair: A. K. KalekosOrganizer: Mike MurrayPanel Members: Marty Walker, Penny Herscher, Lucio Lanza, Peter Odrina, John Cooper, Gerrald Langeler...................................................................................................766

Session 49Heterogeneous System Analysis

Chairs: Randolph E. Harr, Richard SmithOrganizers: David Blaauw, Jan M. Rabaey

49.1 Computer-Aided Design of Free-Space Opto-Electronic SystemsS. P. Levitan, P. J. Marchand, T. P. Kurzweg, M. A. Rempel, D. M. Chiarulli, C. Fan, F. B. McCormick...................................................................................................................768

49.2 Hardware/Software Co-Simulation in a VHDL-Based Test Bench ApproachMatthias Bauer, Wolfgang Ecker ..........................................................................................774

49.3 An Embedded System Case Study: The FirmWare Development Environment for aMultimedia Audio Processor

Clifford Liem, Marco Cornero, Miguel Santana, Pierre Paulin, Ahmed Jerraya, Jean-Marc Gentit, Jean Lopez, Xavier Figari, Laurent Bergher.........................................780

xxix

Page 31: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

AAbadir, M. S. 167Abbara, A. 522Adé, M. 64Aggarwal, R. 526Agrawal, S. 709Aikyo, T. 466Allmon, R. L. 722Alpert, C. 588Alpert, C. J. 530, 752Altmeyer, J. 564Aluru, N. 686Amon, T. 226Antoniadis, D. 409Armstrong, R. C. 333Ashar, P. 740Aylor, J. H. 184Aziz, A. 113

BBailey, B. 484Bailey, R. 95Bakshi, S. 713Balarin, F. 52Baltus, D. G. 333Banerjee, P. 734Bauer, M. 774Beachler, R. K. 626Beattie, M. W. 133Beerel, P. A. 208Beh, C. 95Bell, B. 82Benini, L. 22Bentz, O. 190Bergher, L. 780Berry, G. 8, 552Bhawmik, S. 478Bories, J.-L. 401Borriello, G. 226, 395Boutamine, H. 674Brayton, R. K. 220Brglez, F. 553Brodersen, R. W. 313Bryant, R. E. 161, 167Bunza, G. 484Burch, J. R. 208Bürsgens, V. 293Bushroe, R. 238

CCabodi, G. 728Camposano, R. 238Camurati, P. 728Carletta, J. 546Celik, M. 137Chadha, R. 720Chan, K.-K. 421Chan, T. 752Chandrakasan, A. 409, 415Chang, C.-C. 600

Chang, D. 466Chang, H.-W. 40Chatterjee, A. 403Chen, C.-P. 604Chen, C.-S. 656Chen, H. H. 638Cheng, C.-K. 214, 512, 762Cheng, K.-T. 383, 466, 478, 662Chiarulli, D. M. 768Chiluvuri, V. 327Chiodo, M. 389Choi, H. 83Choi, K. 441Cong, J. 600, 627, 644, 720Cooke, L. 401Cooper, J. 766Cornero, M. 780Cortadella, J. 16, 620Costello, J. 1Cottrell, D. R. 559Coudert, O. 121Courtois, B. 674Croix, J. F. 337

DDaga, A. J. 240Dai, W. W-M. 717Dartu, F. 46Dave, B. P. 703De, V. 403de Geus, A. 1De Man, H. 307De Micheli, G. 238Dengi, E. A. 127Desai, S. 303Devadas, S. 117, 287, 299Devgan, A. 588, 720Dey, S. 429Ding, C.-S. 371, 421Dini, M. 626Dobberpuhl, D. 722Domic, A. 238Donaldson, B. 626Döttling, G. 89Drake, P. 674Duh, J. 333Dulitz, D. 327Dunlop, D. D. 303

EEcker, W. 774Elfadel, I. M. 28Ernst, R. 691

FFairbairn, D. 401Fan, C. 768Fang, T.-T. 40Fang, W.-J. 101, 518

Faria, D. 626Fedder, G. K. 680Fernandez, A. 327Figari, X. 780Forzan, C. 633Franzini, B. 633Frenkil, J. 76, 82

GGajski, D. D. 713Ganguly, S. 425Gebotys, C. H. 435Gentit, J.-M. 780Gerousis, V. 82Ghosh, A. 184Ghosh, I. 534Glesner, M. 674Golson, S. 626Goodby, L. 540Grötker, T. 293Grundmann, W. J. 722Grunwald, D. 196Guardiani, C. 633Guo, P.-N. 762Gupta, A. 452, 740Gupta, R. 70Gupta, R. K. 341, 709Gupta, S. 365Gupta, S. K. 614Guruswamy, M. 327

HHadjiyiannis, G. 299Hajj, I. N. 582Hanono, S. 299Harcourt, E. A. 303Hartoog, M. R. 303Hasteer, G. 734Hayes, J. P. 452He, L. 627He, Z. 137Hein, C. 492Heineken, H. T. 321Hellebrand, S. 472Hendley, W. 484Henkel, J. 691Herman, W. 1Herscher, P. 766Hines, K. 395Hofmann, K. 674Hong, I. 347Hong, Y. 208Hsieh, C.-T. 371, 576Hsu, C.-P. 238Hsu, G. 1Hu, T. 226Hu, X. 157Huang, C. X. 720Huang, D. J.-H. 752Huang, J.-H. 530

786

Conference Author/Panelist Index

Page 32: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Huertas, J. L. 281Hwang, T. 656Hwang, Y.-H. 83

IInoue, T. 401Ishioka, T. 666

JJackson, M. 238Jain, A. 161Jang, J.-Y. 173Jerraya, A. 780Jha, N. K. 429, 534, 703Jiang, Y.-M. 662Johnson, B. W. 184Jones, L. G. 327

KKahng, A. B. 530, 594, 627Kang, S. M. 377, 456Kao, J. 409Kapur, S. 141Karam, J. M. 674Kark, K. 89Karri, R. 252, 353Karypis, G. 526Kaufmann, M. 173Keding, H. 293Kerns, K. J. 34Keutzer, K. 484, 552Khare, J. 321Khetawat, A. 553Khullar, N. 303Kim, D. 441Kim, J. 456Kim, K. 252, 353Kirovski, D. 347, 697Kleinman, B. 626Klenke, R. H. 184Kline, W. 492Knol, D. 758Kohlmeier, D. 626Koizumi, H. 246Kozminski, K. 553Krohm, F. 263Krstic, A. 383, 662Krupnova, H. 522Kuehlmann, A. 263Kukimoto, Y. 220Kumar, V. 526Kundert, K. S. 40Kuo, M.-T. 512Kurshan, R. P. 258Kurzweg, T. P. 768Kyung, C.-M. 83

LLakos, J. 460Lakshminarayana, G. 703Langeler, G. 766Lanza, L. 766Lauwereins, R. 64Lavagno, L. 389, 728

Lavana, H. 553Lee, M. T-.C. 466Leenstra, J. 89Lefebvre, M. 446Leppla, B. 89Levitan, S. P. 768Li, J. 341Li, S. 147Li, Y. 153Liao, S. 70, 117, 287Lidsky, D. B. 190Liem, C. 780Lillis, J. 214Lin, C.-J. 478Ling, D. D. 28, 638Liu, C. L. 656Liu, J. 226Liu, T.-H. 113Lobo, K. 1Lopez, J. 780

MMacii, E. 22, 504Maheshwari, N. 2Malik, S. 147, 740Maly, W. 321Mannan, M. 552Manne, S. 196Marchand, P. J. 768Marculescu, D. 12, 570Marculescu, R. 12, 570Marek-Sadowska, M. 466, 472, 662Markov, I. 752Marple, D. 446Martin, R. 315Martonosi, M. 147Marwedel, P. 232Matheson, T. G. 333Mathur, A. 734Maziasz, R. L. 327McCormick, F. B. 768McMillan, K. L. 208Mehta, G. 576Meinel, C. 202Meyassed, M. 184Meyer, W. 486Meyr, H. 293Mir, S. 281Mitsuhashi, T. 666Mohsen, A. 484Molyneaux, R. 95Moseley, R. 484Mozdzen, T. 720Mukherjee, T. 680Münster, H.-J. 89Murakata, M. 666Murofushi, M. 666

NNabors, K. 40Nag, P. K. 321Najm, F. N. 365, 650Nelson, K. L. 161Njinda, C. 95Noice, D. 627Nourani, M. 546

OOdrina, P. 766Oh, H.-S. 83Okushi, E. 246Olbrich, T. 281Orailoglu, A. 540Ouyang, C. 321

PPanda, R. 650Pandey, M. 167Pant, P. 403Papachristou, C. 546Park, C.-J. 83Park, I.-C. 83Parker, A. C. 58Passerone, C. 389Pastor, E. 16, 620Paulin, P. 780Pedram, M. 12, 82, 371, 421, 504,

570, 576Peña, M. A. 16, 620Peperstraete, J. A. 64Peralías, E. 281Pileggi, L. T. 46, 133, 137Pixley, C. 173Pleskacz, W. A. 321Pomeranz, I. 608Poncino, M. 22Poppe, A. 674Potkonjak, M. 252, 347, 353, 697Pridgen, J. 492

QQadeer, S. 173Quer, S. 728

RRabaey, J. M. 190Raghavan, R. 401Raghunathan, A. 429, 534Raimi, R. 167Raina, R. 95Rajski, J. 472Raman, S. 327Ramprasad, S. 582Rao, R. 184Rastgar, F. 576Reddy, P. D. 303Reddy, S. M. 608Rempel, M. A. 768Rencz, M. 674Rethman, N. L. 722Rhines, W. 1, 401Rohrer, R. A. 127Roig, O. 620Rowson, J. 484Rowson, J. A. 178, 303, 552Roy, K. 107Roychowdhury, J. 269Rueda, A. 281

787

Page 33: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

SSajid, K. 113Sambandam, R. 157Sangiovanni-Vincentelli, A. 52, 178,

389, 552Santana, M. 780Sapatnekar, S. S. 2Sarrafzadeh, M. 758Saucier, G. 522Saunders, L. 552Schneider, C. 498Schulz, S. 401Schürmann, B. 564Seawright, A. 486Sechen, C. 446Semenov, A. 16Sentovich, E. M. 8Shackleford, B. 246Shanbhag, N. R. 582Shekhar, S. 526Shi, C.-J. R. 275Shirali, N. 627Siewiorek, D. P. 315Silva, D. C. 58Singh, D. 82Singhal, V. 113Smailagic, A. 315Somenzi, F. 196, 202, 504Sproch, J. 82Stivoric, J. 315Suaris, P. R. 240Sudarsanam, A. 287Szekely, V. 674

TTada, F. 486Tang, W. C. 670Tellez, G. 758Teng, C.-C. 377Theobald, T. 202Tian, M. W. 275Tirat-Gefen, Y. G. 58Tjiang, S. 70Toma, H. 8Tomiyama, H. 246Tsai, H.-C. 478Tsai, K. H. 472Tsao, C.-W. A. 594Tsui, C.-Y. 421

VVarga, T. 333Vemuri, R. 359Vrudhula, S. B. K. 425Vygen, J. 746

WWakabayashi, K. 429Walker, M. 766Walrath, J. 359Walter, J. 89Wang, Q. 425Wang, S. 614White, J. 686White, J. K. 40

Wile, B. 89Willems, M. 293Wolf, W. 153Wong, D. F. 604Wong, M. D. F. 337Wu, A. C.-H. 101, 518Wu, C. 644Wu, Q. 371, 421

XXanthopoulos, T. 415Xu, J. 762

YYakovlev, A. 16Yan,K. 752Yang, A. 720Yang, A. T. 34Yang, W.-S. 83Yaoi, Y. 415Yasuda, M. 246Yasuura, H. 246Ye, Y. 107Yen, S. H.-C. 627Yen, T.-Y. 101Yim, J.-S. 83Yuan, L.-P. 377

ZZhao, J. 141

788

Page 34: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session Index

Panel: An Executive View of the EDA Industry

Session 1 - Sequential Synthesis

Session 2 - Interconnect Modeling

Session 3 - Novel Techniques for Software Scheduling

Session 4 - Embedded Tutorial: Tools and Methodologies for Low Power Design Panel: Low-Power Design Tools: Where Is the Impact?

Session 5 - Simulation Techniques for Microprocessors

Session 6 - Combinational Logic Synthesis

Session 7 - Interconnect Parasitic Extraction

Session 8 - Advances in Timing Analysis for Embedded Software

Session 9 - Applications of Formal Verification

Session 10 - System-Level Exploration and Refinement

Session 11 - Binary Decision Diagrams

Session 12 - Timing Analysis

Session 13 - Embedded Tutorial: Code Generation for Core Processors

Session 14 - Panel: Physical Design and Synthesis: Merge or Die!

Session 15 - System-Level Optimization and Verification

Session 16 - Formal Verification

Session 17 - Analog Simulation

Session 18 - Software Synthesis for Embedded Systems

Session 19 - Experiences in System Design and Education at Universities

Session 20 - Standard Cell and Physical Design Methods

Session 21 - Modeling and Transformations in Synthesis

Session 22 - Statistical Power Estimation Techniques

Session 23 - Co-Simulation

Session 24 - Panel: Challenges in Worldwide IP Reuse

Page 35: SIGDA Publications on CD-ROM: DAC ‘97 Design …papers/compendium94-03/papers/1997/dac97/dac97.pdfGeneral Chair’s W elcome Welcome to the 34th Design Automation Conference! DAC

Session 25 - Emerging Technologies and Architectures for Low Power

Session 26 - High Level Synthesis for Low Power

Session 27 - Module Generation

Session 28 - BIST and DFT

Session 29 - Panel: Hardware/Software Co-Verification

Session 30 - DSP & Telecommunication System Design

Session 31 - Embedded Tutorial: High Level Power Modeling, Estimation, and Optimization

Session 32 - Advances in Partitioning

Session 33 - Processor Test Techniques

Session 34 - Panel: The Next Generation HDL

Session 35 - Design Processes and Frameworks

Session 36 - Probabilistic Models of Input Data for Efficient Power Estimation

Session 37 - Hot Topics in Routing

Session 38 - Test Generation and Fault Simulation

Session 39 - Panel: The Road Ahead in CPLD & FPGA Design Methodology

Session 40 - Deep Submicron Modeling and Analysis

Session 41 - Technology-Dependent Optimization for Performance and Power

Session 42 - CAD Issues for Micro-Electro-Mechanical Systems

Session 43 - Hardware/Software Partitioning

Session 44 - Embedded Tutorial: Chip Parasitic Extraction and Signal Integrity Verification Panel: Noise and Signal Integrity in Deep Submicron Design

Session 45 - Designing High Performance and Low Power Microprocessors Using Full Custom Techniques

Session 46 - Formal Verification Techniques

Session 47 - Placement Techniques

Session 48 - Panel: The EDA Startup Experience: Financing the Venture

Session 49 - Heterogeneous System Analysis