self-assembled nanostructures in block copolymer …

153
SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER THIN FILMS FOR NANOFABRICATION by CHUNLIN HE B.S., Chemistry, Nanchang University, 2006 M.S., Chemistry, Zhejiang University, 2008 A thesis submitted to the Faculty of the Graduate School of the University of Colorado in partial fulfillment Of the requirement for the degree of Doctor of Philosophy Department of Chemistry and Biochemistry 2014

Upload: others

Post on 16-Oct-2021

7 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER THIN FILMS FOR

NANOFABRICATION

by

CHUNLIN HE

B.S., Chemistry, Nanchang University, 2006

M.S., Chemistry, Zhejiang University, 2008

A thesis submitted to the

Faculty of the Graduate School of the

University of Colorado in partial fulfillment

Of the requirement for the degree of

Doctor of Philosophy

Department of Chemistry and Biochemistry

2014

Page 2: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

This thesis entitled:

Self-assembled Nanostructures in Block Copolymer Thin Films for Nanofabrication

written by Chunlin He

has been approved for the Department of Chemistry and Biochemistry

(Dr. Mark P. Stoykovich)

(Dr. David M. Walba)

Date

Committee Members: Dr. Mark P. Stoykovich, Dr. David M. Walba, Dr. Wei Zhang, Dr.

Douglas L. Gin, and Dr. Yifu Ding

The final copy of this thesis has been examined by the signatories, and we find that both of the

content and the form meet acceptable presentation standards of scholarly work in the above

mentioned discipline.

IRB protocol #

Page 3: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

iii

He, Chunlin (Ph.D., Chemistry and Biochemistry)

Self-assembled Nanostructures in Block Copolymer Thin Films for Nanofabrication

Thesis directed by Assistant Professor Mark P. Stoykovich

Abstract

Self-assembly of block copolymer in thin films provides an attractive approach to

fabricating nanoscale dots and lines (5~100 nm) rapidly and in parallel over wafer-scale areas.

This PhD dissertation mainly studies using the cross-linking reaction based on epoxide

incorporated in copolymers to control the nanostructures of self-assembled block copolymer in

thin films.

Although control over the domain orientation and long-range order of block copolymer

nanostructures self-assembled in thin films has been achieved using various directed

self-assembly techniques, more challenging but equally important for many lithographic

applications is the ability to precisely control the shape of the interface between domains. This

dissertation details a novel layer-by-layer approach for controlling the interface profile of block

copolymer nanostructures, and demonstrates the application of an undercut sidewall profile for

an enhanced metal lift-off process for pattern transfer. Bilayer films of lamellar-forming

poly(styrene-block-methyl methacrylate) were assembled and thermally cross-linked on wafer

substrates in a layer-by-layer process. Undercut or negative sidewall profiles in the PS

nanostructures are proven, through electron microscopy and optical transmission measurements,

Page 4: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

iv

to provide better templates for the lift-off of Au nanowires by achieving complete and defect-free

pattern transfer more than 3 times faster than comparable systems with vertical sidewall profiles.

Cross-linking and photopatterning of the self-assembled block copolymer morphologies

provide further opportunities to structure self-assembled block copolymer materials for

lithographic applications, and to also enhance the thermal, chemical or mechanical stability of

such nanostructures to achieve robust templates for subsequent fabrication processes. We

demonstrate that self-assembly and cross-linking of the reactive block copolymer materials in

thin films can be decoupled into distinct, controlled process steps using solvent annealing and

thermal treatment / ultraviolet exposure, respectively. Conventional optical lithography

approaches can also be applied to the cross-linkable block copolymer materials in thin films and

enables simultaneous structure formation across scales — micrometer scale patterns achieved by

photolithography and lamellar nanostructures with different periods via sequential

self-assembly of the smaller symmetric block copolymer on the photopatterned self-assembled

symmetric block copolymer in thin film.

Page 5: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

Dedication

Dedicated to family.

Page 6: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

vi

Acknowledgements

First and foremost, I will forever be grateful to my advisor, Professor Mark Stoykovich

for his patience, understanding, encouragements, inspiration, and guidance through this work,

and for the opportunity to work in his research group. His profound knowledge, enormous

enthusiasm, and keen insight in polymers gave me a deep impression and have been a great

treasure to me. I have utmost respect for his professionalism and kindness.

I would like to thank my other committee members: Professors Wei Zhang, Douglas Gin,

David Walba and Yifu Ding for their suggestions on my research and future career.

I want to thank many previous and current members of Stoykovich group. I thank Nathan

Feaver for his mentoring on polymer thin film processing and AFM imaging. I thank Dr. Ian

Campbell for the useful discussions on fabrication and SEM imaging. BJ Ward, Kate Morrissey

and Kelsey Childress are always very nice to help me learn American culture and improve my

presentation skills. I enjoyed the time working with Min Hao Wong who promoted our

understanding of microalgae recovery by polymeric flocculants. I always felt happy working

with the first-year graduate Garrett Chado who works hard and has solid chemistry / physics

foundation.

I want to give my special thanks to Conoco-Phillips (Phillips 66) for their generous

financial support for two and half years of my research.

I thank Colorado Nanofabrication Laboratory (CNL) and Nanocharacterizaion Facility

(NCF) for the convenient access to their facilities. Ryan Brow at CNL provided me great

assistance in using the equipment.

Page 7: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

vii

Last and definitely not least importantly, I thank my grandmother Guanfeng Zou, parents

Shanping He and Jiuying Zhu and brother Chunmao He for their endless love and support as

always. My girlfriend Fei Xing is one of the biggest gifts I got during my study at CU-Boulder.

She always encourages me to overcome challenges and made my last years of my PhD career

even more enjoyable.

Page 8: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

viii

Table of Contents

Title page

Signature page

Abstract .......................................................................................................................................... iii

Dedication ...................................................................................................................................... iii

Acknowledgements ........................................................................................................................ vi

Table of Contents ......................................................................................................................... viii

List of Tables ................................................................................................................................. ix

List of Figures ................................................................................................................................. x

List of Schemes ............................................................................................................................ xvi

Chapter 1 Introduction .................................................................................................................... 1

Chapter 2 Profile Control in Block Copolymer Nanostructures in Thin Films ............................ 28

Chapter 3 Multilayer Thin Films Nanostructures of Cross-linkable Block Copolymer /

Homopolymer Blends ................................................................................................................... 49

Chapter 4 Block Copolymer Nanostructures across Scales in Thin films .................................... 71

Chapter 5 Interfacial Roughness of Self-assembled Lamellae in Block Copolymer Thin Films 102

Chapter 6 Current Collaborations and Future Work ................................................................... 111

Bibliography ............................................................................................................................... 115

Page 9: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

ix

List of Tables

Table 2.1 The areal fraction of PS and PMMA at the free surface of the block copolymer bilayer

films as determined by top-down SEM imaging. ......................................................................... 36

Table 2.2. Block copolymer blends with controlled volume fractions of PS. .......................... 43

Table 3.1. The areal fraction of PS and PMMA at the free surface of the block copolymer bilayer

films as determined by top-down SEM imaging. ......................................................................... 56

Table 3.2. Block copolymer blends with controlled volume fractions of PS. .......................... 64

Table 5.1. Fabrication requirements for industrial semiconductor devices given by ITRS ....... 104

Page 10: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

x

List of Figures

Figure 1.1. Phase diagram for linear AB diblock copolymers. a: Four basic equilibrium

morphologies: spherical (S), cylindrical (C), gyroid (G) and lamellar (L) predicted by

self-assembly mean-field theory. b: Experimental phase diagram for polyisoprene-b-polystyrene

diblock copolymers.[1]

..................................................................................................................... 2

Figure 1.2. SEM images of self-assembled block copolymer films on a (a)terminal-OH, (b)PH1,

and (c)PG1modified surfaces containing different mole fractions of styrene in the random

copolymer. PS-b-PMMA (52kDa: 52kDa), 45 nm thick (first row); PS-b-PMMA (50kDa:20kDa),

32 nm thick (second row); PS-b-PMMA(20kDa: 50kDa), 22nm thick (third row). Black scale

bars represent 200 nm. Fst is the volume fraction of styrene.[24]

..................................................... 6

Figure 1.3. Perpendicular windows ofterminal-OH, PH1, and PG1for symmetric and asymmetric

block copolymers. PS-b-PMMA (52kDa: 52kDa), 45 nm thick (first row); PS-b-PMMA

(50kDa:20kDa), 32 nm thick (second row); PS-b-PMMA(20kDa: 50kDa), 22nm thick (third

row). Black scale bars represent 200 nm. Fst is the volume fraction of styrene. [24]

....................... 7

Figure 1.4. Graphoepitaxy vs epitaxial self-assembly. (a) Graphoepitaxy utilizes topographic

substrate pattern for directed block copolymer assembly. The substrate pattern remains in the

finally formed nanopatterned morphology. (b) Epitaxial self-assembly utilizes nanoscale

chemical pattern to register block copolymer assembly. Ultrafine chemical patterning requires

e-beam lithography or other high-cost lithography such as EUV ................................................. 13

Figure 1.5. Schematics of the photopatterning process in a) positive- and b) negative-tones using

the cross-linkable block copolymer materials. .............................................................................. 15

Page 11: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

xi

Figure 1.6. Sequential fabrication 3D structures. a,b) Sequential assembly, c,d) Separation layer,

e,f) Graphene separation layer, g,h) Nanotransfer printing of BCP.[106]

....................................... 17

Figure 2.1. Common sidewall profiles of photoresist structures after lithographic patterning. 30

Figure 2.2. Step-by-step process flow to achieve a bilayer undercut profile in self-assembled

block copolymer nanostructures. .................................................................................................. 32

Figure 2.3. Top-down SEM images (left) and the corresponding histograms of grayscale color

(right) for bilayer block copolymer films with top layer polystyrene compositions of a) 40, b) 50

and c) 60 vol%. The blue and red shaded areas indicate the pixels assigned to belong to the

PMMA and PS domains in the SEM image, respectively. Note that, in this case, the PMMA

domains were removed prior to SEM imaging. ............................................................................ 35

Figure 2.4. Cross-sectional SEM images of bilayer 50-60PS films with undercut profiles: a)

the PS mask remaining after the PMMA domain is removed and b) the Cr and Au metal film

deposited on the PS mask, with two breaks or gaps in the film continuity highlighted with the red

arrows. ........................................................................................................................................... 37

Figure 2.5. Top-down SEM images of metal nanowires after a) successful and b) incomplete

lift-off. Under identical lift-off conditions (sonication at 55 °C for 10 hrs), a) a block copolymer

template with an undercut profile (bilayer 50-60PS film) achieves complete lift-off and

defect-free pattern transfer, whereas b) a block copolymer template without an undercut profile

(monolayer 50PS film) does not allow for successful lift-off (the dark regions on the bottom left

and right show the desired outcome, while the remaining brighter areas have not been

successfully lifted-off and have metal blanketing the PS template). ............................................ 39

Page 12: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

xii

Figure 2.6. a) Transmittance at 495 nm of the Au coated block copolymer nanostructures

during the course of the lift-off process as a function of sonication time. The error bars

represent 1σ variation of three measurements taken across the sample. b) Transmittance spectra

for the 50-60PS system before and after completion of the metal lift-off process. ...................... 40

Figure 2.S1. Table of Content Figure .......................................................................................... 28

Figure 2.S2. Top-down SEM images of lamellae self-assembled in single layer films on

neutral brushes by PS-b-PMMA blends with 20 vol% homopolymer and a) 40, b) 50, and c) 60

vol% of PS. ................................................................................................................................... 34

Figure 3.1. Layer-by-layer approach to fabricate multilayer self-assembled block copolymer

nanostructures. .............................................................................................................................. 53

Figure 3.2. Top-down SEM images of lamellae self-assembled in single layer films on neutral

brushes by PS-b-PMMA blends with homopolymers and 10, 20, 30, 40, 50, 60, 70, 80, 90 vol%

of PS. ............................................................................................................................................. 54

Figure 3.3. Top-down SEM images (top) and the corresponding histograms of grayscale color

(bottom, x-axis: Pixel Gray) for block copolymer films with top layer polystyrene compositions

of 30, 40 50, 60, and 70 vol%. The blue and red shaded areas indicate the pixels assigned to

belong to the PMMA and PS domains in the SEM image, respectively. Note that, in this case, the

PMMA domains were removed prior to SEM imaging. ............................................................... 55

Figure 3.4. a) Cross-sectional SEM images of multilayer 50-60-70PS films showing the

registration between layers, b) Thicknesses of cross-linked film on silicon substrate with brush

with increasing layers of block copolymer films. ......................................................................... 57

Page 13: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

xiii

Figure 3.5. The extent of cross-linking as reported by the normalized residual film thickness

(relative to the initial, as-deposited film thickness) as a function of the thermal annealing time

and substrate brushes. ................................................................................................................... 59

Figure 4.1. Top-down scanning electron micrographs of 49 nm thick films of symmetric

PS-b-PMMA copolymer systems on neutral surfaces processed by a) solvent annealing at 22°C

for 4 hrs and b) thermal annealing at 190°C for 24 hrs. Standard uncross-linkable PS-b-PMMA

(left) and cross-linkable PS-b-P(MMA-r-GMA) (right) materials are shown for each processing

condition. ...................................................................................................................................... 77

Figure 4.2. Self-assembly and self-healing of lamellar nanostructures using the cross-linkable

PS-b-PMMA system in 49 nm thin films on neutral surfaces. Top-down SEM images after a)

self-assembly by solvent annealing at 22°C for 4 hrs, b) cross-linking by thermal treatment at

190°C for 4 hrs, c) sonication in a toluene bath for 3 min to test the insolubility of the

cross-linked structure, and d) regeneration of the microphase separated lamellar structures upon

thermal annealing at 190°C for as short as 30 s. ........................................................................... 78

Figure 4.3. The extent of copolymer cross-linking as reported by the normalized residual film

thickness (relative to the initial, as-deposited film thickness) as a function of the thermal

annealing temperature and time. ................................................................................................... 80

Figure 4.4. Schematics of the photopatterning process in a) positive- and b) negative-tones using

the cross-linkable block copolymer materials. In the positive-tone system a), the lamellar

nanostructures are self-assembled by solvent annealing prior to photopatterning and

post-exposure baking that locally cross-links the self-assembled nanostructures, and is followed

by a development step to remove the uncross-linked block copolymer nanostructures. In the

Page 14: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

xiv

negative-tone system b), photopatterning and post-exposure baking is performed prior to

self-assembly to cross-link the block copolymer in a disordered state, such that subsequent

solvent annealing allows self-assembly in only the unexposed regions of the thin film. ............. 81

Figure 4.5. Optically photo-patterned self-assembled block copolymer thin films in the a)

positive-tone after developing in toluene for 30 s and b) negative-tone after solvent vapor

annealing: optical microscope images and top-down SEM images at low and high magnifications.

....................................................................................................................................................... 84

Figure 4.6. Dose response curves for the PS-b-ePMMA material with diphenyliodonium

hexafluorophosphate photoinitiator under controlled post-exposure baking and standard

development conditions (toluene bath at 22°C for 30 s) as characterized by the normalized

residual film thickness. ................................................................................................................. 84

Figure 4.7. Fabrication schematic and top-down SEM image of lamellar nanostructure with

distinctively different periodicities (48 nm for PS-b-ePMMA: 93.7 kg mol-1

, PDI = 1.11, ϕPS =

53.4%, GMA: 4.5 mol%, left; 26 nm for PS-b-PMMA: 51 kg mol-1

, PDI = 1.06, ϕPS = 51.9%). 86

Figure 4.S1. ToC figure ............................................................................................................... 71

Figure 4.S2. Partial 1H NMR spectrum of PSBr in CDCl3 at 22 °C

1H NMR (300 MHz, CDCl3,

δ): 7.32-6.67 (br, 3H, ArH), 6.67-6.20 (br, 2H, ArH), 2.06-1.65 (br, 3H, CH3), 1.64-1.22 (br, 2H,

CH2). ............................................................................................................................................. 89

Figure 4.S3. Partial 1H NMR spectrum of PS-b-P(MMA-r-GMA) in CDCl3 at 22 °C

1H NMR

(300 MHz, CDCl3, δ): 7.37-6.85 (br, 3H, ArH), 6.84-6.28 (br, 2H, ArH), 4.37-4.25 (m, 1H; CH2),

3.88-3.71 (m, 1H, CH2), 3.70-3.44 (br, 3H, CH3), 3.27-3.17 (m,1H, CH), 2.90-2.82 (br, 1H,

CH2), 2.69-2.60 (m, 1H, CH2), 2.11-1.65 (br, 3H, CH3), 1.62-1.24 (br, 2H, CH2), 1.11-0.95 (br,

1H, CH), 0.95-0.64 (br, 2H, CH2). ............................................................................................... 90

Page 15: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

xv

Figure 4.S4. Partial 1H NMR spectrum of PS-b-PMMA in CDCl3 at 22 °C

1H NMR (300 MHz,

CDCl3, δ): 7.37-6.85 (br, 3H, ArH), 6.84-6.28 (br, 2H, ArH), 3.76-3.43 (br, 3H, CH3), 2.17-1.65

(br, 3H, CH3), 1.62-1.24 (br, 2H, CH2), 1.11-0.93 (br, 1H, CH), 0.93-0.60 (br, 2H, CH2). ....... 91

Figure 5.1 A general schematic of directed assembly of block copolymers on chemical surface

patterns. ....................................................................................................................................... 105

Figure 5.2. The influence of directed self-assembly on different frequency interface defects. . 106

Figure 5.3. The LER recovery by thermal annealing from directed self-assembly on

nanopatterned surface by solvent annealing. The SEM images in red box and the legend in red

are the sample solvent annealed at 22°C, while the ones in blue is the sample thermally treated

afterwards .................................................................................................................................... 107

Figure 6.1. Homopolymer solvent annealed on patterned cross-linkable block copolymer: a)

Microemulsion formed from PS / PMMA top layer homopolymer mixed with underlying

uncross-linked block cocopolymer, b) Lamellar nanstructure of cross-linked block copolymer 112

Figure 6.2. Patterned Fe nanodots on Si wafer. ......................................................................... 113

Figure 6.3. Mixing of top layer of homopolymer (a: 2kDa, b: 54.3kDa) with underlying

self-assembled block copolymer PS-b-PMMA (47kDa:53kDa) by thermal annealing (190°C for

24 hours) ..................................................................................................................................... 114

Page 16: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

xvi

List of Schemes

Scheme 1.1. PS / PMMA random copolymers: (a) hydroxyl-terminated random copolymer

(terminal-OH), (b) side-chain hydroxy-containing random copolymer (PH1), (c) side-chain

epoxy-containing random copolymer (PG1).[24]

............................................................................. 5

Scheme 3.1. Proposed mechanism of cross-linking of block copolymer on silicon substrate with

brush. ............................................................................................................................................. 60

Scheme 4.S1. Synthesis of PS-b-P(MMA-r-GMA) ..................................................................... 75

Page 17: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

1

Chapter 1 Introduction

Block copolymer self-assembly in bulk

Block copolymers are composed of different polymer chains linked by covalent bonds.

According to the number of different blocks, their compositions, and the way they are connected

together (linear, branched, or cyclic), in melt they can simultaneously form a variety of ordered

structures with characteristic periods in the range of 5~100 nm.[1]

This distinct self-assembly into

highly ordered structures or morphologies has been extensively studied by theoreticians and

experimentalists all over the world during the last few decades.[2–4]

Living anionic

polymerization firstly enabled the controlled synthesis of block copolymers which allows a

limited range of monomers.[5,6]

The accessible monomers for block copolymer synthesis has

expanded with the developments in various types of controlled polymerization.[5,6]

This PhD

thesis will mostly focus on the physics side and its role on nanofabrication of block copolymer

self-assembly. The most well-characterized class of block copolymers is linear diblock

copolymers (AB) composed of two immiscible blocks, A and B. Depending on the

incompatibility degree χABN and volume fraction ϕA, they can form the following equilibrium

morphologies, spheres (S), cylinders (C), double gyroid (G), lamellae (L), and the inverse

structures as shown in Figure 1.1. [1]

Except the double gyroid, all other morphologies are

characterized by a constant average curvature of the interface between the different domains in

self-assembled nanostructure. For block copolymer with symmetric volume compositions the

immiscible blocks form domains composed of alternating layers, i.e. lamellar phase (L). If the

block copolymer has slightly asymmetric composition, the microphase separation results in the

formation of a different layered structure known as perforated layers (PL) or catenoid phase. The

Page 18: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

2

PL structure was first assigned as an equilibrium, but now it is known to be a long-lived

metastable state that helps the transition from L to G phases.[7,8]

The PL structure is composed of

alternating majority and minority component layers in which hexagonally packed channels of the

majority component penetrate through the minority component. If the compositional asymmetry

is increased even further, the block copolymer assembles in hexagonally packed cylinders (C)

with the minority component in the matrix of the majority. If the asymmetry is pushed even

further, a nanostructure of spheres mostly a body-centered cubic lattice arrangement will be

obtained. Beyond that, finally a mixing on molecular level or disordered structure will be

observed.

Figure 1.1. Phase diagram for linear AB diblock copolymers. a: Four basic equilibrium

morphologies: spherical (S), cylindrical (C), gyroid (G) and lamellar (L) predicted by

self-assembly mean-field theory. b: Experimental phase diagram for polyisoprene-b-polystyrene

diblock copolymers.[1]

Reproduced from Ref. 1. Copyright 1999 American Institute of Physics.

Page 19: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

3

Block copolymer self-assembly in thin films

Block copolymer thin films are generally the cases that the polymer materials subjected

to some levels of confinement when the film thicknesses are comparable to the block copolymer

nanostructure periods. Block copolymers self-assemble into nanostructures that can relieve the

stretching / compression or minimize the entropic penalty with favored enthalpic interactions at

the substrate or free surface because stretching / compression of polymer chains under

confinement is energetically unfavorable. Two major kinds of confinement are studied for

substrate-supported block copolymer thin films: “hard” confinement means a film confined

between two rigid interfaces; “soft” confinement describes a film where one interface (the “free

surface”) is not confined to any rigid substrates.[9]

For soft confinement, chain energy penalty

due to incommensurability between the film thickness (t) and polymer domain spacing (L0) is

minimized by the formation of islands or holes or by perpendicular orientation of the

morphology (for cylinders and lamellae) depending on interfacial interactions. Substrate surfaces

that are preferential for one block usually lead to island / hole formation, while non-preferential

(or neutral) surfaces result in micordomain reorientation.[10,11]

Substrate surface chemistry and

surface tension are important properties for manipulating block copolymer thin film

self-assembly, both in terms of nanostructure stability[9]

and nanostructure orientation (especially

for lamellae and cylinders). Preferential surfaces are mostly only wet by the preferred block,

while neutral surfaces equally wets all blocks at the surface.[10,11]

The substrate surface chemistry

/ tension effect can be considered as a field that starts from the substrate surface and spread into

the film.[12]

Obviously, the spreading distance depends on the strength of the field i.e. the

strength of the interactions between substrate and block copolymer blocks, the competing

interactions of the vacuum or air or solvent vapor with the free surface, and the energetics of the

Page 20: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

4

equilibrium morphology. For neutral surface case, Han and his coworkers reported the substrate

surface field orientation of perpendicular cylinders ~200 nm (~L0×6) into the film evidenced by a

cross-sectional transmission electron microscopy (TEM) image of a thick poly(styrene-b-methyl

methacrylate) (PS-b-PMMA) film.[13]

For preferential case, Shin and his coworkers applied a

strongly preferential surface to direct the alignment a thick lamellar poly(styrene-b-isoprene)

(PS-b-PI) film parallel to the substrate surface over a thickness of 40×L0.[14]

Normally the

substrate surface field spreads no more than 6×L0 from the substrate interface while certainly the

spreading distance is system-dependent because it involves the interactions between the polymer

thin film with a specific substrate surface and free surface.[13–18]

The interaction between block

copolymer thin films and free surface plays more important role or the film may adopt its bulk

morphology with randomly oriented grain boundaries with enough distance away from the

substrate surface which they confined to. Beside evidenced by cross-sectional imaging by TEM,

the vertical orientations of lamellae and cylinders to a neutral substrate surface and parallel or

mixed microdomains to the free surface has been also determined by neutron reflectivity,[15,16,19]

bottom layer imaging with scanning electron microscopy(SEM),[13]

reactive ion etching(REI) /

subsequent SEM imaging,[16]

small angle neutron scattering (SANS)[16,19]

, and grazing-incidence

small angle X-ray scattering (GISAXS).[17,20,21]

Chemical modification of the substrate surface by coating random copolymers or

self-assembled monolayers (SAMs) and surface cleaning such as O2 RIE or piranha treatment are

common approaches to produce neutral or preferential surfaces. The random copolymer methods

is through varying the composition of the copolymer to tune the substrate surface chemistry /

tension. The synthesized random copolymers (Scheme 1.1) can be end-grafted[22]

or

side-grafted[21,23]

to substrate surface to form a brush layer or a cross-linked dense mat covering

Page 21: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

5

Scheme 1.1. PS / PMMA random copolymers: (a) hydroxyl-terminated random copolymer

(terminal-OH), (b) side-chain hydroxy-containing random copolymer (PH1), (c) side-chain

epoxy-containing random copolymer (PG1).[24]

Reproduced from Ref 24. Copyright 2008

American Chemical Society.

the substrate.[25,26]

In the SAM coating methods for block copolymer thin film studies, they

usually involve chlorosilane immobilize to silicon oxide surfaces. The methods have applied in

partial monolayers on surfaces,[27,28]

oxidation of hydrophobic surface,[9,29–31]

and chemical

materials that resembling the block copolymer behavior[18,32]

to control surface chemistry /

tension. Cleaning processes such as O2 RIE or piranha are used to remove the organics on

substrate which normally creates a hydrophilic surface.

The use of block copolymer thin films in nanoscale templates has driven the interest in

fabricating neutral surfaces to form perpendicular lamellae to produce line patterns[33]

perpendicular cylinders to create nanodot, nanomesh patterns[34]

or conducting channels. For the

cases of lamellae and cylinders, the perpendicular orientation windows and the quality of the

perpendicular self-assembled nanostructures rely not only on the surface neutrality of the

Page 22: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

6

substrate, but also a lot on the film thickness, block copolymer compositions,[17,20,24,35]

methods

Figure 1.2. SEM images of self-assembled block copolymer films on a (a)terminal-OH, (b)PH1,

and (c)PG1modified surfaces containing different mole fractions of styrene in the random

copolymer. PS-b-PMMA (52kDa: 52kDa), 45 nm thick (first row); PS-b-PMMA (50kDa:20kDa),

32 nm thick (second row); PS-b-PMMA(20kDa: 50kDa), 22nm thick (third row). Black scale

bars represent 200 nm. Fst is the volume fraction of styrene.[24]

Reproduced from Ref 24.

Copyright 2008 American Chemical Society.

Page 23: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

7

of modification, and self-assembly conditions.[13,36]

Precise control of substrate surface neutrality

has need researchers to take all of these variables in their considerations.[24]

The research on

neutral surface have mostly been carried out using PS-b-PMMA films because the PMMA block

is easily degraded by UV exposure to remove by acetic rinsing to obtain a nanoscale template.[37]

Besides, a relative neutral free surface can be simultaneously created with suitable thermal

annealing conditions.[13,38]

Film thicknesses close to the block copolymer periods (L0) have been

used most often due to the loss of perpendicular orientation or order in thicker films observed.[15–

17,24] On substrates modified with random copolymers (PS-r-PMMA), the neutral surface

compositions are determined by windows of perpendicular microdomain orientation. Not

Figure 1.3. Perpendicular windows ofterminal-OH, PH1, and PG1for symmetric and asymmetric

block copolymers. PS-b-PMMA (52kDa: 52kDa), 45 nm thick (first row); PS-b-PMMA

(50kDa:20kDa), 32 nm thick (second row); PS-b-PMMA(20kDa: 50kDa), 22nm thick (third

row). Black scale bars represent 200 nm. Fst is the volume fraction of styrene. [24]

Reproduced

from Ref 24. Copyright 2008 American Chemical Society.

Page 24: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

8

surprisingly, the higher PS concentration of the block copolymer has the perpendicular window

at higher random copolymer PS fraction (Fst). [17,24]

However, the exact values and widths of the

perpendicular windows also depend on the grafting methods for the used random copolymers in

substrate modification (Figure 1.3).[24]

The perpendicular windows shifts to higher Fst for

substrate surfaces modified with cross-linked (PG1) and side-grafted (PH1) random copolymers

compared with an end- grafted brush (Terminal-OH), resulting from the compensation for the

polarity increase caused by the residual hydroxyl functional groups in the cases of cross-linked

(PG1) and side-grafted (PH1) random copolymers. However, the perpendicular phase windows

do not quantitatively match the trends of water contact angles on the modified substrates,

possibly caused by the chain penetration of block copolymer into the underlying neutral layer.

Further research that studies surface energetics in detail such as consideration of both surface

polarity and surface topography may help explain the correlated trends. In addition, free energy

studies suggest that the neutral condition for asymmetric block copolymers locate not in the

middle of the perpendicular window and that the narrow range of the perpendicular window for

the PS-cylinder-forming polymer may be explained by the influence from free-surface (Figure

1.3).

Similarly, surface preferentiality at the free surface can also be viewed as a field effect

which starts from the free surface and spreading to the block copolymer thin film the and to

substrate surface having preferential or neutral interactions influencing both microdomain

orientation and stability. The free surface is a “soft” interface where surface preferentiality is

partially controlled by the surface tension of the block copolymer domains, with the lower

surface tension domain preferentially in contacting with the free surface. This surface behavior

can be manipulated by the applications of different thermal annealing conditions. For example,

Page 25: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

9

an fairly neutral free surface can be produced for the PS-b-PMMA system by annealing block

copolymer thin films during 170°C~230°C, where the block surface tensions are almost

equal.[24,38]

However, many block copolymers contain blocks with very different surface tensions

or blocks with sensitive functionalities that are vulnerable to thermal treatment (170°C~230°C)

such as epoxide can start to polymerize on Silicon wafer substrate over 140°C. For block

copolymers like these, solvent vapor annealing provides a promising alternative to thermal

annealing. The solvent vapor environment during annealing achieves several purposes. First, the

vapor sets surface preferentiality at the free surface of the block copolymer thin film.[39–43]

Second, the small vapor molecules swells the thin films and in effect lowers the glass transition

temperatures (Tg) of the block copolymer domains, increasing polymer chain mobility.[41,43–46]

Third, the small solvent molecules in the thin films influences the interactions between blocks

(χeff) and possibly the relative volume fractions of domains, allowing interesting controls in

morphologies.[39,41–44,46–50]

Fourth, solvent interactions with the substrate surface can result in the

elimination of undesirable surface interactions[41,44,51,52]

or polymer thin film dewetting.[9,53]

Finally, solvent swelling and confinement of the film on substrate can lead to an actual L0 that

can be bigger[46,48,54]

or smaller[42,43,46,47,54]

than the bulk L0. In a conventional solvent annealing

setup, a reservoir of solvent is placed in a container with the block copolymer thin film samples

for some period of time. The solvent is immediately removed after desired annealing time to lock

the non-equilibrium but often nicely ordered structures.[43,44,48,50,53,55]

More recent setups with

controlled solvent vapor flows have provided better control over the solvent atmosphere and the

extent of film swelling.[43,45,51]

Solvent annealing studies have investigated a number of variables to obtain desired

morphologies such as solvent choice, annealing time, swollen film thickness, and solvent

Page 26: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

10

removal rate.[4]

In some instances, the deposition conditions of the block copolymer thin films

also plays roles in the final self-assembled nanostructure or the time needed to create

well-ordered structures. In one instance, Xuan[39]

solvent annealed thin lamellar PS-b-PMMA

films (<0.5L0) with acetone (strongly PMMA selective), chloroform (slightly PMMA selective),

toluene (slightly PS selective), and carbon disulfide (highly PS selective). The block copolymer

thin film samples annealed with PMMA-selective solvents for 60 hours gave hexagonally packed

microdomains, while films annealed with PS-selective solvents were mostly parallel with small

bumps. The solvent preference for PMMA is required to overcome the free surface preference

for the lower surface tension PS domain to form well-ordered structures. The need for a slightly

selective solvent to produce an effectively neutral free surface also was reported.[41]

Although

these cases are general, finding a single solvent to generate a neutral free surface for each block

copolymer system is time-consuming and using solvent mixtures in deposition and annealing is a

more promising alternative. Combined solvents were used by Ross to finely control phase

transitions and microdomain sizes in a poly(styrene-b-dimethylsiloxane) (PS-b-PDMS) film with

toluene (preferential for PS) and heptane(preferential for PDMS).[47,56–58]

The mixed solvent

approach has also been applied in annealing[44,50]

and casting[40,52]

of poly(ethylene oxide) (PEO)

containing block copolymers at different relative humidities. The mixed solvent approach has

also been employed in annealing PS-b-PMMA to form perpendicular orientation on neutral

surface by Stoykovich.[59,60]

Solvent annealing with selective solvents or under non-saturated

solvent vapor conditions may be desirable to produce a specific morphology or avoid disorder.

However, polymer chain mobility is not as high in these annealing conditions, so longer

annealing times may help improve self-assembly.[47]

Longer annealing times has worked to form

better order in some systems,[44,47,55]

but it has not had much success in generating long-range

Page 27: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

11

ordering.[40,46,61]

One possible reason is that in a conventional solvent annealing process,

continuous solvent absorbed from the vapor forces constant evolution of the nanostructure to

adjusting interfacial interactions and commensurability conditions. Cavicchi controlled the extent

of swelling in poly(isoprene-b-lactide) (PI-b-PLA) thin films annealed in chloroform solvent

vapor and determined the relationship between the phase behavior and swollen film thickness.[51]

The author explained the relationship by that at high solvent concentration, the mild preference

of chloroform for PLA compensates the free surface preference for the lower surface tension PI

domain and reduce the substrate surface preference for PLA.[41]

In the proposed phase diagram,

higher solvent concentrations favor perpendicular ordering which supports their conclusions. The

research work by Zettl[45]

studied solvent uptake effects as a function of thin film thickness and

annealing solvents vapor partial pressures. Their observations suggested that thinner films absorb

more solvent than thicker films for the same annealing solvent partial pressure, indicating the

importance of direct measurements of solvent uptake or understanding the how solvent vapor

pressure influences solvent uptake.

Through manipulating solvent removal rates researchers have found another way to

improve order and control microdomain orientation during deposition and solvent annealing.

Since the study by Karim[62]

showing that cylinder orientation could be controlled by the rate of

solvent evaporation upon spin-casting, attention has been drawn to understand morphology

evolution during solvent evaporation in terms of χeff, volume fractions, and commensurability

conditions.[49,63]

Following Karim, Fukunaga found parallel orientations for slow solvent removal

rates and perpendicular orientations for fast solvent evaporation in solvent-annealed

lamellae-forming poly(styrene-b-2-vinylpryidine-b-tert-butyl methacrylate)

(PS-b-P2VP-b-PtBMA) films.[64]

However, for solvent-annealed PS-b-P2VP-b-PtBMA thin

Page 28: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

12

films with different block copolymer composition, Elbs observed a number of complex

morphologies for different solvent removal rates.[65]

In addition, Zhang reported that removal of

residual solvent in polymer thin films during thermal annealing produced unexpected

perpendicular orientation of cylinders in PS-b-PMMA thin films on preferential substrate

surfaces.[66]

Due to the relatively limited number of research works in this area, the ability of the

solvent removal rate to control copolymer self-assembly deserves deeper investigation.

The need for nanoscale functional materials has prompted developments in

understanding the complicated interplay among surface / interfacial energetics, confinement,

thermodynamic equilibrium, and kinetics on block copolymer self-assembly in thin film. A

modified description of thin film free energy suggested by Han[24]

outlines a framework for the

basic conceptual understanding of block copolymer self-assembly in thin film: F = Felastic + Fblock

+ Fsurface + Finterface, where Felastic expresses chain conformations including chain stretching at the

substrate surface (favors chain ends and shorter blocks at the substrate); Fblock describes block

interactions which can be controlled by surface compatibilization using neutral substrate surfaces

or the presence of solvent molecules, salts, or nanoparticles; Fsurface refers to the free surface

energy; and Finterface describes enthalpic interactions between substrate and copolymer. The

practical applications of self-assembled block copolymer thin film require their near-perfect

order and the desired layout controlled by external stimuli such as electric fields,[67]

shear

alignment,[68]

zone-annealing,[69]

and substrate patterning,[70–73]

among others. Besides, block

copolymer and homopolymer blending has been used to control block copolymer domain sizes

and morphology, while salt-doping or nanoparticle addition has been applied to introduce

functionality or change interfacial energetics.[74]

Block copolymer thin film self-assembly on an unpatterned neutral substrate typically

Page 29: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

13

results in local ordered nanostructures with numerous grain boundaries and defects,[75,76]

thus

limiting block copolymer application in electronic devices that require precise control of the

nanostructure layout. [70–73]

Graphoepitaxy (Figure 1.4a, topographic features on substrates) and

chemoepitaxy are two common approaches to precisely direct the self-assembly of block

copolymer in an attempt to promote the practical applications of block copolymer self-assembly

in designed nanoscale layouts.[70,71,77–80]

Research efforts have demonstrated the creation of

periodic nanopatterns of parallel lines (perpendicular lamellae, parallel cylinders)[81–83]

and dots

arrays (perpendicular cylinders, spheres)[84–86]

using topographic guiding patterns. More complex

structures such as concentric circles and bent lines also were fabricated through the careful

Figure 1.4. Graphoepitaxy vs epitaxial self-assembly. (a) Graphoepitaxy utilizes topographic

substrate pattern for directed block copolymer assembly. The substrate pattern remains in the

finally formed nanopatterned morphology. (b) Epitaxial self-assembly utilizes nanoscale

chemical pattern to register block copolymer assembly. Ultrafine chemical patterning requires

Page 30: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

14

e-beam lithography or other high-cost lithography such as EUV [110]

Reproduced from Ref 110.

Copyright 2010 American Chemical Society.

design of prepatterned geometries or the induction of defects at the substrate walls.[88–90]

The

guiding ordering mechanism is well understood in terms of commensurability and interactions of

each block with substrates and walls.[92–95]

Two important downsides of graphoepitaxy are that

valuable substrate area is lost due to prepatterning and precisely controlled lithographic

patterning instruments are costly. For more details on graphoepitaxy, we refer the readers to

published reviews.[78,79,87]

Compared with graphoepitaxy, chemical prepatterning (Figure 1.4b)

does not lose substrate area and allows guidance of the block copolymer self-assembling and

precise control over nanostructure shapes and dimensions.[78,96,97]

In many of prepatterning

examples, circular, triangular, rectangular, and hexagonal patterns with varying dimensions

usually were fabricated by extreme ultraviolet (EUV) lithography or e-beam lithography.[86,88,91]

Many of the essential features required for producing integrated circuits including dense and

isolated bends, jogs, spots, and T-junctions have been achieved in block copolymer thin films

through chemical prepatterning.[71,96]

However, this prepatterning method is also

disadvantageous as it involves an expensive lithographic writing step, nominally at similar

feature densities to those achieved by block copolymers.[70,98]

Even though block copolymer

self-assembly permits quality nanopattern rectification with respect to the chemical prepattern,

creating a prepatterned substrate in which every feature is written by e-beam lithography is

prohibitive due to the long writing times required for nanopatterning large areas with high

densities.

Various techniques have been developed to fabricate functional nanostructures, and the

use of patternable block copolymers (Figure 1.5) has proved to be one of the most exciting areas

Page 31: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

15

because of its simplicity, elegance, and high productivity.[98]

The nanoscale structures generated

from the self-assembly of disordered molecular building block copolymer are often referred to as

“bottom-up”. Alternatively, nanometer-sized structures can also be generated using lithographic

methods. The techniques like these are often referred to as “top-down” techniques that the final

patterns are formed from large structures to small structures. Both top-down and bottom-up

patterning approaches have been used in various nanofabrication processes to generate nanoscale

structures that can be transferred to other materials. High-resolution nanopatterned substrates,

patterned nanoparticles, nanoporous materials, as well as nanoreplicated materials have been

fabricated from block copolymer templates. A combination of these two patterning approaches

provides hierarchical control of block copolymer structures such that self-assembled nanoscale

patterns with long-range ordering and tunable orientation can be created within lithographically

defined submicron patterns. Because most practical applications require block copolymer thin

films with large area of ordered patterns, special attention is paid to the improvement of

bottom-up structures in block copolymer thin films and the combination of these self-assembled

structures with top-down approaches to achieve multilevel control of the resulting nanostructure.

Figure 1.5. Schematics of the photopatterning process in a) positive- and b) negative-tones using

the cross-linkable block copolymer materials.

Page 32: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

16

Block copolymer self-assembly in 3D Nanofabrication

The 3D devices can be constructed layer by layer by using a sequence of steps, each of

which forms a 2D block copolymer pattern which is then transferred into a functional material

using subtractive or additive processes. Sequential processing of 2D block copolymer patterns

can build multiple layers of nanostructures composed of the same or different morphologies,

with various orientations or registration between the layers. This strategy can create 3D

microdomain arrays with non-periodic structure along the vertical direction, compared with the

3D structures formed by deposition and self-assembly of thick block copolymer films[99,100]

in

which the structure contains microdomains with the same morphology and usually same

orientation. However, stacking or layer-by-layer methods can be categorized into two types:

self-alignment stacking approach of an upper layer of microdomains with a lower layer and an

independent stacking approach. Registration between upper and lower layers requires direct

contact between two block copolymer thin film layers so that the morphology of the underlying

nanostructure can guide the orientation and location of the upper nanostructure. An example is

the self-assembly of high aspect ratio out-of-plane lamellae on a lower layer consisting of

in-plane parallel half-cylinders of the same period,[101,102]

or layer-by-layer stacking of

perpendicularly oriented cylinders of a cross-linkable block copolymer into high aspect

multilayer structures.[103]

Sequential stacking self-assembly of block copolymers[103,104]

was also

demonstrated in which higher density patterns in the upper layer were guided by topographical

features formed by etching or pattern transfer of the lower layer. The resulting pattern density

was increased by 2 or more, and unusual hierarchical structures were generated such as spheres

in perforated lamellae[104]

or nanodot / nanowire layouts in Figure 1.6a, b.[105]

Independent

stacking processes do not depend on chemical or topographical epitaxy of the lower layers of

Page 33: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

17

block copolymers. Figures 1.6c, d illustrate an iterative method to fabricate mesoporous

structures composed of several block copolymer layers with independent orientations for

different layers.[107]

After the spin-coating and self-assembly of PS-b-PMMA block copolymers,

a thin Si film was coated to form a permeable membrane structure which allows the removal of

the underlying PMMA microdomains by UV exposure and acetic acid rinsing. The subsequent

Figure 1.6. Sequential fabrication 3D structures. a,b) Sequential assembly, c,d) Separation layer,

e,f) Graphene separation layer, g,h) Nanotransfer printing of BCP.[106]

Reproduced from Ref 106.

Copyright 2013 John Wiley & Sons, Inc.

Page 34: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

18

deposition of a thicker Si film acted as a new substrate to form an another block copolymer layer.

Another stacking process involving directed self-assembly used graphene as a separation layer,

achieving the formation of multilayered metallic nanowires[108]

shown in Figure 1.6 e, f.

Transfer-printing of ordered block copolymer thin films, Figure 1.6 g, h, generates 3D structures

such as crossed silica nanowire patterns without the use of separation layers, manipulating the

in-plane orientation of the microdomains in each layer.[109]

The block copolymer thin film in the

followed layer were self-assembled under a mold by solvent annealing before transfer printing.

Combinations of these sequential stacking or layer-by-layer methods can produce diverse

multilayer nanostructures with tunable dimensions, spacings, and orientations. The key

challenges of sequential layer-by-layer fabrication are the time-consuming nature of the

approach and the difficulty in ensuring precise registration of the layers.

References

[1] F. S. Bates, G. H. Fredrickson, Phys. Today 1999, 52, 32.

[2] F. S. Bates, G. H. Fredrickson, Annu. Rev. Phys. Chem. 1990, 41, 525.

[3] C. M. Bates, M. J. Maher, D. W. Janes, C. J. Ellison, C. G. Willson, Macromolecules 2014,

47, 2.

[4] J. N. L. Albert, T. H. Epps III, Mater. Today 2010, 13, 24.

[5] M. Hillmyer, Curr. Opin. Solid State Mater. Sci. 1999, 4, 559.

[6] N. Hadjichristidis, M. Pitsikalis, H. Iatrou, Adv. Polym. Sci. 2005, 189, 1.

Page 35: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

19

[7] S. Forster, A. K. Khandpur, J. Zhao, F. S. Bates, I. W. Hamley, A. J. Ryan, W. Bras,

Macromolecules 1994, 27, 6922.

[8] D. A. Hajduk, P. E. Harper, S. M. Gruner, C. C. Honeker, G. Kim, E. L. Thomas, L. J.

Fetters, Macromolecules 1994, 27, 4063.

[9] T. H. Epps, D. M. DeLongchamp, M. J. Fasolka, D. A. Fischer, E. L. Jablonski, Langmuir

2007, 23, 3355.

[10] R. A. Segalman, Mater. Sci. Eng. R Reports 2005, 48, 191.

[11] M. J. Fasolka, A. M. Mayes, Annu. Rev. Mater. Res. 2001, 31, 323.

[12] T. Russell, G. Coulon, V. Deline, D. Miller, Macromolecules 1989, 4600.

[13] E. Han, K. O. Stuen, M. Leolukman, C. C. Liu, P. F. Nealey, P. Gopalan, Macromolecules

2009, 42, 4896.

[14] C. Shin, H. Ahn, E. Kim, D. Y. Ryu, J. Huh, K. W. Kim, T. P. Russell, Macromolecules

2008, 41, 9140.

[15] P. Mansky, T. P. Russell, C. J. Hawker, M. Pitsikalis, J. Mays, Macromolecules 1997, 30,

6810.

[16] E. Huang, T. P. Russell, C. Harrison, P. M. Chaikin, R. A. Register, C. J. Hawker, J. Mays,

Macromolecules 1998, 31, 7641.

Page 36: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

20

[17] S. Ham, C. Shin, E. Kim, D. Y. Ryu, U. Jeong, T. P. Russell, C. J. Hawker, Macromolecules

2008, 41, 6431.

[18] J. N. L. Albert, M. J. Baney, C. M. Stafford, J. Y. Kelly, T. H. Epps, ACS Nano 2009, 3,

3977.

[19] E. Huang, P. Mansky, T. P. Russell, C. Harrison, P. M. Chaikin, R. A. Register, C. J.

Hawker, J. Mays, Macromolecules 2000, 33, 80.

[20] D. Y. Ryu, S. Ham, E. Kim, U. Jeong, C. J. Hawker, T. P. Russell, Macromolecules 2009,

42, 4902.

[21] S. Ji, C.-C. Liu, J. G. Son, K. Gotrik, G. S. W. Craig, P. Gopalan, F. J. Himpsel, K. Char, P.

F. Nealey, Macromolecules 2008, 41, 9098.

[22] P. Mansky, Science (80-. ). 1997, 275, 1458.

[23] I. In, Y. H. La, S. M. Park, P. F. Nealey, P. Gopalan, Langmuir 2006, 22, 7855.

[24] E. Han, K. O. Stuen, Y. H. La, P. F. Nealey, P. Gopalan, Macromolecules 2008, 41, 9090.

[25] D. Y. Ryu, K. Shin, E. Drockenmuller, C. J. Hawker, T. P. Russell, Science 2005, 308, 236.

[26] E. Han, P. Gopalan, Langmuir 2010, 26, 1311.

[27] R. D. Peters, X. M. Yang, T. K. Kim, P. F. Nealey, Langmuir 2000, 16, 9620.

[28] A. Niemz, K. Bandyopadhyay, E. Tan, K. Cha, S. M. Baker, Langmuir 2006, 22, 11092.

Page 37: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

21

[29] A. P. Smith, J. F. Douglas, J. C. Meredith, E. J. Amis, A. Karim, Phys. Rev. Lett. 2001, 87,

015503.

[30] R. D. Peters, X. M. Yang, T. K. Kim, P. F. Nealey, Langmuir 2000, 16, 9620.

[31] P. H. Liu, P. Thébault, P. Guenoun, J. Daillant, Macromolecules 2009, 42, 9609.

[32] D.-H. Park, Nanotechnology 2007, 18, 355304.

[33] S. M. Park, P. Ravindran, Y. H. La, G. S. W. Craig, N. J. Ferrier, P. F. Nealey, Langmuir

2007, 23, 9037.

[34] D. A. Rider, K. A. Cavicchi, L. Vanderark, T. P. Russell, I. Manners, Macromolecules 2007,

40, 3790.

[35] E. Huang, S. Pruzinsky, T. P. Russell, J. Mays, C. J. Hawker, Macromolecules 1999, 32,

5299.

[36] K. W. Guarini, C. T. Black, S. H. I. Yeung, Adv. Mater. 2002, 14, 1290.

[37] T. Thurn-Albrecht, R. Steiner, J. DeRouchey, C. M. Stafford, E. Huang, M. Bal, M.

Tuominen, C. J. Hawker, T. P. Russell, Adv. Mater. 2000, 12, 787.

[38] P. Mansky, T. Russell, C. Hawker, J. Mays, D. Cook, S. Satija, Phys. Rev. Lett. 1997, 79,

237.

[39] Y. Xuan, J. Peng, L. Cui, H. Wang, B. Li, Y. Han, Macromolecules 2004, 37, 7301.

Page 38: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

22

[40] Z. Q. Lin, D. H. Kim, X. D. Wu, L. Boosahda, D. Stone, L. LaRose, T. P. Russell, Adv.

Mater. 2002, 14, 1373.

[41] K. A. Cavicchi, K. J. Berthiaume, T. P. Russell, Polymer (Guildf). 2005, 46, 11635.

[42] A. Knoll, A. Horvat, K. S. Lyakhova, G. Krausch, G. J. A. Sevink, A. V Zvelindovsky, R.

Magerle, Phys. Rev. Lett. 2002, 89, 035501.

[43] A. Knoll, R. Magerle, G. Krausch, J. Chem. Phys. 2004, 120, 1105.

[44] S. H. Kim, M. J. Misner, T. Xu, M. Kimura, T. P. Russell, Adv. Mater. 2004, 16, 226.

[45] U. Zettl, A. Knoll, L. Tsarkova, Langmuir 2010, 26, 6610.

[46] Z. Di, D. Posselt, D. M. Smilgies, C. M. Papadakis, Macromolecules 2010, 43, 418.

[47] Y. S. Jung, C. A. Ross, Adv. Mater. 2009, 21, 2540.

[48] Y. Chen, H. Huang, Z. Hu, T. He, Langmuir 2004, 3805.

[49] Y. Li, H. Huang, T. He, Y. Gong, J. Phys. Chem. B 2010, 114, 1264.

[50] J. Bang, B. J. Kim, G. E. Stein, T. P. Russell, X. Li, J. Wang, E. J. Kramer, C. J. Hawker,

Macromolecules 2007, 40, 7019.

[51] K. A. Cavicchi, T. P. Russell, Macromolecules 2007, 40, 1181.

[52] S. Kim, R. M. Briber, A. Karim, R. L. Lones, H.-C. Kim, Macromolecules 2007, 4102.

Page 39: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

23

[53] A. W. Harant, C. N. Bowman, J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 2005,

23, 1615.

[54] A. Knoll, L. Tsarkova, G. Krausch, Nano Lett. 2007, 7, 843.

[55] M. D. Rodwogin, C. S. Spanjers, C. Leighton, M. A. Hillmyer, in ACS Nano, 2010, pp. 725–

732.

[56] J. G. Son, K. W. Gotrik, C. A. Ross, ACS Macro Lett. 2012, 1, 1279.

[57] K. W. Gotrik, C. A. Ross, Nano Lett. 2013, 13, 5117.

[58] K. W. Gotrik, A. F. Hannon, J. G. Son, B. Keller, A. Alexander-Katz, C. A. Ross, ACS Nano

2012, 6, 8052.

[59] C. He, M. Stoykovich, Adv. Funct. Mater. 2014, DOI 10.1002/adfm.201401810.

[60] I. P. Campbell, C. He, M. P. Stoykovich, ACS Macro Lett. 2013, 2, 918.

[61] Y. Wang, X. Hong, B. Liu, C. Ma, C. Zhang, Macromolecules 2008, 41, 5799.

[62] G. Kim, M. Libera, Macromolecules 1998, 31, 2569.

[63] Y. Gong, H. Huang, Z. Hu, Y. Chen, Macromolecules 2006, 3369.

[64] K. Fukunaga, H. Elbs, R. Magerle, G. Krausch, Macromolecules 2000, 33, 947.

[65] H. Elbs, C. Drummer, V. Abetz, G. Krausch, Macromolecules 2002, 35, 5570.

Page 40: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

24

[66] X. Zhang, B. C. Berry, K. G. Yager, S. Kim, R. L. Jones, S. Satija, D. L. Pickel, J. F.

Douglas, A. Karim, ACS Nano 2008, 2, 2331.

[67] T. Xu, Y. Zhu, S. P. Gido, T. P. Russell, Macromolecules 2004, 37, 2625.

[68] A. P. Marencic, M. W. Wu, R. A. Register, P. M. Chaikin, Macromolecules 2007, 40, 7299.

[69] B. C. Berry, A. W. Bosse, J. F. Douglas, R. L. Jones, A. Karim, Nano Lett. 2007, 7, 2789.

[70] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. De Pablo, P. F. Nealey, Nature

2003, 424, 411.

[71] M. P. Stoykovich, M. Müller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F.

Nealey, Science 2005, 308, 1442.

[72]R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo,

P. F. Nealey, Science 2008, 321, 936.

[73] M. P. Stoykovich, P. F. Nealey, Mater. Today 2006, 9, 20.

[74] S. C. Park, B. J. Kim, C. J. Hawker, E. J. Kramer, J. Bang, J. S. Ha, Macromolecules 2007,

40, 8119.

[75] I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, K. K. Berggren, Science 2008,

321, 939.

[76] V. Mishra, G. H. Fredrickson, E. J. Kramer, ACS Nano 2012, 6, 2629.

Page 41: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

25

[77] H.-S. Moon, D. O. Shin, B. H. Kim, H. M. Jin, S. Lee, M. G. Lee, S. O. Kim, J. Mater.

Chem. 2012, 22, 6307.

[78] J. Bang, U. Jeong, D. Y. Ryu, T. P. Russell, C. J Hawker, Adv. Mater. 2009, 21, 4769.

[79] J. Y. Cheng, C. A. Ross, H. I. Smith, E. L. Thomas, Adv. Mater. 2006, 18, 2505.

[80] R. A. Segalman, H. Yokoyama, E. J. Kramer, Adv. Mater. 2001, 13, 1152.

[81] S. M. Park, M. P. Stoykovich, R. Ruiz, Y. Zhang, C. T. Black, P. E. Nealey, Adv. Mater.

2007, 19, 607.

[82] D. Sundrani, S. B. Darling, S. J. Sibener, Nano Lett. 2004, 4, 273.

[83] D. Sundrani, S. B. Darling, S. J. Sibener, Langmuir 2004, 20, 5091.

[84] J. Y. Cheng, A. M. Mayes, C. A. Ross, Nat. Mater. 2004, 3, 823.

[85] T. G. Fitzgerald, F. Borsetto, J. M. O’Callaghan, B. Kosmala, J. D. Holmes, M. a. Morris,

Soft Matter 2007, 3, 916.

[86] G. E. Stein, E. J. Kramer, X. Li, J. Wang, Phys. Rev. Lett. 2007, 98, DOI

10.1103/PhysRevLett.98.086101.

[87] I. W. Hamley, Prog. Polym. Sci. 2009, 34, 1161.

[88] J. Chai, J. M. Buriak, ACS Nano 2008, 2, 489.

[89] T. Yamaguchi, H. Yamaguchi, Adv. Mater. 2008, 20, 1684.

Page 42: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

26

[90] S.-M. Park, O.-H. Park, J. Y. Cheng, C. T. Rettner, H.-C. Kim, Nanotechnology 2008, 19,

455304.

[91] W. S. Kim, L. Jia, E. L. Thomas, Adv. Mater. 2009, 21, 1921.

[92] V. Mishra, G. H. Fredrickson, E. J. Kramer, ACS Nano 2012, 6, 2629.

[93] C. C. Liu, A. Ramírez-Hernández, E. Han, G. S. W. Craig, Y. Tada, H. Yoshida, H. Kang, S.

Ji, P. Gopalan, J. J. De Pablo, P. F. Nealey, Macromolecules 2013, 46, 1415.

[94] E. Han, H. Kang, C.-C. Liu, P. F. Nealey, P. Gopalan, Adv. Mater. 2010, 22, 4325.

[95] S.-M. Park, C. T. Rettner, J. W. Pitera, H.-C. Kim, Macromolecules 2009, 42, 5895.

[96]M. P. Stoykovich, H. Kang, K. C. Daoulas, G. Liu, C. C. Liu, J. J. De Pablo, M. Müller, P. F.

Nealey, ACS Nano 2007, 1, 168.

[97] G. M. Wilmes, D. A. Durkee, N. P. Balsara, J. A. Liddle, V. Di, L. Berkeley, 2006, 2, 2435.

[98] A. M. Welander, H. Kang, K. O. Stuen, H. H. Solak, M. Müller, J. J. De Pablo, P. F. Nealey,

2008, 2759.

[99] S. Ji, U. Nagpal, W. Liao, C. C. Liu, J. J. De Pablo, P. F. Nealey, Adv. Mater. 2011, 23,

3692.

[100] J. G. Son, J. Gwyther, J. B. Chang, K. K. Berggren, I. Manners, C. A. Ross, Nano Lett.

2011, 11, 2849.

[101] R. Ruiz, R. L. Sandstrom, C. T. Black, Adv. Mater. 2007, 19, 587.

Page 43: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

27

[102] H.-S. Moon, D. O. Shin, B. H. Kim, H. M. Jin, S. Lee, M. G. Lee, S. O. Kim, J. Mater.

Chem. 2012, 22, 6307.

[103] E. Kim, C. Shin, H. Ahn, D. Y. Ryu, J. Bang, C. J. Hawker, T. P. Russell, Soft Matter

2008, 4, 475.

[104] J. G. Son, A. F. Hannon, K. W. Gotrik, A. Alexander-Katz, C. A. Ross, Adv. Mater.

2011, 23, 634.

[105] D. O. Shin, J. H. Mun, G. T. Hwang, J. M. Yoon, J. Y. Kim, J. M. Yun, Y. B. Yang, Y.

Oh, J. Y. Lee, J. Shin, K. J. Lee, S. Park, J. U. Kim, S. O. Kim, ACS Nano 2013, 7, 8899.

[106] C. A. Ross, K. K. Berggren, J. Y. Cheng, Y. S. Jung, J. B. Chang, Adv. Mater. 2014, 26,

4386.

[107] F. Rose, J. K. Bosworth, E. A. Dobisz, R. Ruiz, Nanotechnology 2011, 22, 035603.

[108] J. Y. Kim, B. H. Kim, J. O. Hwang, S. J. Jeong, D. O. Shin, J. H. Mun, Y. J. Choi, H. M.

Jin, S. O. Kim, Adv. Mater. 2013, 25, 1331.

[109] J. W. Jeong, W. I. Park, L. M. Do, J. H. Park, T. H. Kim, G. Chae, Y. S. Jung, Adv.

Mater. 2012, 24, 3526.

[110] S. J. Jeong, H. S. Moon, B. H. Kim, J. Y. Kim, J. Yu, S. Lee, M. G. Lee, H. Choi, S. O.

Kim, ACS Nano 2010, 4, 5181.

Page 44: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

28

Chapter 2 Profile Control in Block Copolymer Nanostructures in Thin Films

This chapter was published in Advanced Functional Materials in September 2014.

ToC Figure

Figure 2.S1. Table of Content Figure

Bilayer thin films of lamellar-forming poly(styrene-block-methyl methacrylate) were

self-assembled and thermally cross-linked on wafer substrates in a layer-by-layer process. This

layer-by-layer approach can provide novel control over the interface profile of block copolymer

nanostructures and enables an undercut sidewall profile that enhances metal lift-off processes for

pattern transfer.

Keywords: block copolymers, self-assembly, hierarchical structures, thin films, lift-off

Page 45: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

29

Abstract

Although control over the domain orientation and long-range order of block copolymer

nanostructures self-assembled in thin films has been achieved using various directed

self-assembly techniques, more challenging but equally important for many lithographic

applications is the ability to precisely control the shape of the interface between domains. This

manuscript details a novel layer-by-layer approach for controlling the interface profile of block

copolymer nanostructures, and demonstrates the application of an undercut sidewall profile for

an enhanced metal lift-off process for pattern transfer. Bilayer films of lamellar-forming

poly(styrene-block-methyl methacrylate) were assembled and thermally cross-linked on wafer

substrates in a layer-by-layer process. The top layer, while being directed to self-assemble on

the lamellae of the underlying layer, had a tunable composition and polystyrene domain width

independent of that of the bottom layer. Undercut or negative sidewall profiles in the PS

nanostructures are proven, through electron microscopy and optical transmission measurements,

to provide better templates for the lift-off of Au nanowires by achieving complete and defect-free

pattern transfer more than 3 times faster than comparable systems with vertical sidewall profiles.

More broadly, the layer-by-layer approach presented here provides a pathway to achieving

sophisticated interface profiles and user-defined three-dimensional block copolymer

nanostructures in thin films.

Introduction

Block copolymer self-assembly in thin films spontaneously generates nanostructured

patterns, however, for many lithographic applications the pattern must be transferred to a

functional electronic,[1]

optical,[2]

or magnetic material.[3]

Thus the block copolymer

Page 46: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

30

nanostructures often mimic a patterned photoresist film, serving as a template for the deposition

of the functional materials or as a mask for substrate etching. Pattern transfer processes using

block copolymer nanostructures have been challenging, due in part to the thin films that are used

(e.g., usually <100 nm as required to maintain low feature aspect ratios[4]

), the less than ideal

polymeric constituents (e.g., common block copolymer systems provide poor etch resistance[4-5]

),

and a lack of structural and interfacial control. Lift-off processes for the additive vapor-phase

deposition of materials using conventional lithographic techniques, for example, benefit from

photoresist patterns with an undercut or negative sidewall profile as illustrated in Figure 2.1.[6]

Figure 2.1. Common sidewall profiles of photoresist structures after lithographic patterning.

Selective removal of the deposited material from the photoresist protected regions is facilitated

during lift-off by the undercut profile preventing continuous coverage over the substrate and

photoresist features. Photoresist structures with an undercut profile therefore serve two

purposes – first they allow solvent to directly access and dissolve the photoresist, and second

they let the unconnected material freely float away and be removed. Lift-off using photoresist

structures with vertical or positive sidewall profiles (see Figure 2.1) may be slow, may be

troubled by retention or inhomogeneous pattern transfer across the substrate,[7]

and can lead to

rough edges or ‘ears’ on the patterned material.[8]

In conventional photoresists, undercut

profiles are achieved through careful design of the molecular composition of the photoresist (e.g.,

Page 47: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

31

negative versus positive tone)[9]

or the development of special processing conditions (e.g., via the

exposure dose or presoaking the photoresist film in solvent to create a gradient in relative

solubility).[6]

Alternatively, bilayer photoresist films[10]

are often used to achieve T-top shaped

structures (see Figure 2.1), with the top layer being less soluble than the underlying layer at the

given exposure and development conditions.

The control of sidewall profiles in block copolymer nanostructures is inherently more

difficult. Block copolymer structures oriented perpendicular to the substrate have interfacial

shapes dictated by the thermodynamics of the system and preferentially adopt vertical sidewall

profiles.[11]

In many cases this is an attractive feature of self-assembly, such that block

copolymer structures have been shown to provide critical dimension control,[12]

to self-heal

defects,[13]

and to reduce line edge roughness.[14]

Here we have developed an approach,

analogous to that in bilayer photoresist films, to achieve tunable interface shapes and sidewall

profiles in block copolymer nanostructures in thin films. It is demonstrated that such interfacial

control provides the unique opportunity to design block copolymer nanostructures specially

tuned for pattern transfer, including in lift-off processes.

Results and Discussion

The step-by-step schematic in Figure 2.2 illustrates our approach to control the sidewall

profiles of block copolymer nanostructures through the use of bilayer self-assembled thin films.

Briefly, block copolymer thin films were deposited on a neutral brush treated surface and solvent

annealed under a mixed solvent vapor leading to the self-assembly of lamellae oriented

perpendicular to the substrate.[15]

A cross-linking reaction was subsequently performed within

the self-assembled domains by heating the sample to 190 °C for 1 hr. The resulting

Page 48: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

32

cross-linked nanostructures were thus fixed and became relatively insoluble. A second layer of

block copolymer could then be deposited and processed under identical conditions (i.e.,

self-assembly via solvent annealing followed by cross-linking upon thermal treatment). In this

manner, the underlying first layer served as a chemically patterned substrate that directed the

self-assembly of the block copolymer structures in the second layer.[16]

Individual block

copolymer layers were ~25 nm thick, thereby generating a nanostructured film with a total

thickness of 50 nm. The system used here consisted of polystyrene (PS) and poly(methyl

methacrylate) (PMMA) components, which is advantageous because it is a well-characterized,

model block copolymer system[17]

and the PMMA domain can be selectively removed through

standard processes.[18]

This approach can be generalized to most block copolymers systems,

but other such materials may exhibit subtle differences in the structures self-assembled in thin

films from those presented here due to more disparate domain surface tensions than in the PS /

PMMA system (e.g., it may be more difficult to achieve perpendicular interfaces and

nanostructures even on a neutral surface).

Figure 2.2. Step-by-step process flow to achieve a bilayer undercut profile in self-assembled

block copolymer nanostructures.

A multilayer approach to controlling the interface profiles of block copolymer

nanostructures allows the composition, and thus dimension, of each self-assembled layer to be

adjusted independently. In this study, negative or undercut sidewall profiles in the PS domain

Page 49: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

33

were targeted in order to enhance the success of such self-assembled nanostructures to serve as a

mask for lift-off processes for pattern transfer. This type of profile in a block copolymer

nanostructure requires that each layer have the same periodicity but differing domain widths.

Such an undercut profile was fabricated from a bilayer thin film, with the bottom layer having a

PS volume fraction (ϕPS) of 50.0 vol% and the top layer having ϕPS = 60.0 vol%, as indicated by

the notation ‘Bilayer 50-60PS’ used in this manuscript. Each layer consisted of a block

copolymer / homopolymer blend with 80.0 vol% lamellar-forming PS-b-PMMA and 20.0 vol%

cross-linkable homopolymers x-PS and x-PMMA (note that these are not truly homopolymers

but rather random copolymers with 1 mol% concentrations of a reactive epoxy functionality, but,

for clarity, they are labeled as such throughout). The relative amount of x-PS and x-PMMA

added was carefully tuned to control the final volume fractions of PS and PMMA in the block

copolymer blends. Three different block copolymer compositions (ϕPS = 40, 50, or 60 vol%)

were considered for the layers, but each self-assembled into lamellar structures with similar

periodicities (L0 = 56-58 nm) (see Figure 2.S2). It is important to comment, however, that for

the materials presented here, the block copolymer and homopolymers are not cross-linked

together and, given sufficient time, the block copolymer could be mixed within the layers or

completely extracted. Future implementation of these systems may benefit from cross-linkable

block copolymers that can be directly reacted into the molecular network to fully inhibit mixing

between layers and make the structures insoluble (although in some cases this might be

undesirable, for example in the PS nanostructures used for lift-off as detailed below).

Page 50: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

34

Figure 2.S2. Top-down SEM images of lamellae self-assembled in single layer films on

neutral brushes by PS-b-PMMA blends with 20 vol% homopolymer and a) 40, b) 50, and c) 60

vol% of PS.

Figure 2.3 shows top-down SEM images of 50-40PS and 50-60PS bilayer films after

removal of the PMMA domain, as well as a single layer 50PS film of equivalent total thickness.

The light regions in the SEM images correspond to the PS nanostructures. The formation of

lamellar structures was observed throughout indicating that the block copolymer self-assembled

in the top layer matched and was registered with the pattern in the underlying layer, which for

these systems had an identical composition. The top-down SEM images from the 50-40PS to

Page 51: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

35

50-60PS bilayers also exhibit the visual trend that the PS domains become wider while the

PMMA domains become narrower, which is consistent with the profiles targeted in these bilayer

thin films. On the right side of Figure 2.3, an analysis of the grayscale top-down SEM images

confirms this trend. Histograms of the grayscale color of each pixel in the images were

determined and have two clear peaks corresponding to the PMMA (dark, low grayscale color)

and PS (light, high grayscale color) domains. In order to quantify the relative composition of

Figure 2.3. Top-down SEM images (left) and the corresponding histograms of grayscale color

(right) for bilayer block copolymer films with top layer polystyrene compositions of a) 40, b) 50

and c) 60 vol%. The blue and red shaded areas indicate the pixels assigned to belong to the

PMMA and PS domains in the SEM image, respectively. Note that, in this case, the PMMA

domains were removed prior to SEM imaging.

each domain at the top surface of the bilayer film, a threshold was applied corresponding to the

Page 52: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

36

minimum probability between the two peaks in the distribution. Table 2.1 summarizes the

measured PS and PMMA compositions at the top surface of the films, which ranged from 44 to

70 vol% PS and closely matched the targeted surface compositions of 40 to 60 vol% PS (for

50-40PS to 50-60PS, respectively).

Table 2.1 The areal fraction of PS and PMMA at the free surface of the block copolymer bilayer

films as determined by top-down SEM imaging.

3D Structure Design

(as denoted by PS

composition) a

Measured PS

composition at the

film surface [vol%]

Measured PMMA

composition at the

film surface [vol%]

Bilayer 50-40PS 46% ± 2% 54% ± 2%

Monolayer 50PS 54% ± 1% 46% ± 1%

Bilayer 50-60PS 70% ± 1% 30% ± 1%

a Notation of “50-40PS” means the multilayer structure was built with a bottom layer consisting

of 50 vol% PS and 50 vol% PMMA and a top layer of 40 vol% PS and 60 vol% PMMA.

One domain of the block copolymer nanostructures must be selectively removed to

fabricate masks or templates suitable for pattern transfer processes such as lift-off. Here the

PMMA domain of the lamellar nanostructures in the bilayer film was selectively removed by

exposure to UV light (254 nm, 1 J/cm2) and development in acetic acid (a non-solvent for the PS

domain).[18b]

The remaining PS template was then descummed by a short oxygen plasma

treatment. Subsequently an adhesion layer of 1.0 nm of Cr and 5.0 nm of Au was deposited by

thermal evaporation.

The undercut profile achieved by the bilayer 50-60PS film is evidenced in the

cross-sectional SEM images of Figure 2.4. Figure 2.4a shows the cross-sectional SEM image

of bilayer 50-60PS after descumming by oxygen plasma treatment, while Figure 2.4b shows the

same structures after metal deposition. The designed undercut structure is evident in both images,

although cross-sectional imaging of the polymer nanostructures alone is difficult and subject to

Page 53: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

37

charging and changes in shape due to surface melting. The metal coated structures in Figure

2.4b provide a better indication of the T-topped shaped structures achieved by this 50-60PS

bilayer system and the flat topped surfaces of the nanostructures. The sidewall angle of the

undercut profile was measured to be 7 ± 3° from the substrate normal for the 50-60PS bilayer

system (by linear fitting of the line edges in Figure 2.4b), which is close to that predicted through

strictly volumetric arguments for this bilayer composition (~11°) and substantially different from

Figure 2.4. Cross-sectional SEM images of bilayer 50-60PS films with undercut profiles: a)

the PS mask remaining after the PMMA domain is removed and b) the Cr and Au metal film

deposited on the PS mask, with two breaks or gaps in the film continuity highlighted with the red

arrows.

the perpendicular interfaces achieved in the monolayer block copolymer system. Notably such

features of the bilayer nanostructures are able to withstand the descumming process, which uses

an isotropic O2 plasma that likely etches such corners and surfaces more rapidly.[19]

Most

importantly for lift-off processes, the undercut profile leads to breaks in the continuity of the

deposited metal film, such that minimal metal on the photoresist sidewalls and gaps at the bottom

Page 54: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

38

of the photoresist layer are clearly observed (in Figure 2.4b as indicated with red arrows). These

discontinuities in the deposited metal layer allows solvent (in this case toluene) to penetrate and

dissolve the sacrificial PS template, as well as to enable the unconnected metal to freely lift-off

the surface.

Characterization of the metal lift-off process using the bilayer block copolymer

nanostructures with controlled sidewall profiles was performed as shown in Figures 2.5 and 2.6.

Metal films were deposited on the PS templates on Si wafer or glass slide substrates, and lift-off

was performed by sonicating the samples in a toluene bath at 55 °C. Improvements in the

lift-off process achieved using the undercut profile self-assembled by the 50-60PS bilayer system

were compared to systems with vertical (50PS monolayer) and positive (50-40PS bilayer)

sidewall profiles. Figure 2.5a shows defect-free metal nanowires (25 nm wide, 6 nm thick)

fabricated by lift-off for 600 min using the undercut 50-60PS bilayer structures. In contrast,

under identical lift-off conditions, the PS templates with vertical (Figure 2.5b) or positive (not

shown) sidewall profiles exhibited retention and did not allow for complete lift-off, as indicated

by substantial portions of the substrate remaining blanketed with metal coating the PS template.

Page 55: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

39

Figure 2.5. Top-down SEM images of metal nanowires after a) successful and b) incomplete

lift-off. Under identical lift-off conditions (sonication at 55 °C for 10 hrs), a) a block copolymer

template with an undercut profile (bilayer 50-60PS film) achieves complete lift-off and

defect-free pattern transfer, whereas b) a block copolymer template without an undercut profile

(monolayer 50PS film) does not allow for successful lift-off (the dark regions on the bottom left

and right show the desired outcome, while the remaining brighter areas have not been

successfully lifted-off and have metal blanketing the PS template).

The progress of the lift-off process over large areas can be characterized optically as

shown in Figure 2.6 for the three bilayer block copolymer structures with distinct sidewall

profiles. The extent of lift-off was studied by monitoring the UV-vis transmittance (as reported

at a wavelength of 495 nm) as a function of the lift-off time (measured every 15 min). As

indicated in the transmittance spectra across the UV-vis range, the wavelength of 495 nm

Page 56: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

40

corresponds to the maximum transmittance, whereas ~580 nm corresponds to a minimum in

transmittance that results from the localized surface plasmon resonance (LSPR) for such Au

nanostructures. While either wavelength could be used to monitor the extent of metal liftoff as

a function of time, transmittance at 495 nm has been reported to decouple the extent of liftoff

from the LSPR response that depends on structure size and spacing which varies throughout the

liftoff process.

Figure 2.6. a) Transmittance at 495 nm of the Au coated block copolymer nanostructures

during the course of the lift-off process as a function of sonication time. The error bars

represent 1σ variation of three measurements taken across the sample. b) Transmittance spectra

for the 50-60PS system before and after completion of the metal lift-off process.

The optical data in Figure 2.6 has a number of interesting features. First, lift-off was

completed in ~450 min when using the PS masks with an undercut profile (Bilayer 50-60PS),

which is approximately an order of magnitude faster than the masks with a positive sidewall

profile (~4000 min). Furthermore no significant lift-off was observed until ~600 min for the

Page 57: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

41

templates with a vertical or positive sidewall profile. The significantly faster rates of lift-off

achieved with sidewall control are important for potential pattern transfer processes and

nanomanufacturing using block copolymers in thin films. Second, there is a period for all

samples before which there is no change in transmittance as a function of lift-off time. This

induction period likely corresponds, in part, to the time necessary for the solvent to access the PS

template and solubilize it. Finally, the transmittance remains constant after lift-off is complete

and has very low variation across the sample surface. From this observation, it can be

concluded that the metal nanowires are homogeneous across the substrate (in terms of the extent

of lift-off and the film thickness) and that adhesion of the metal nanowires to the substrate is

strong.

Conclusions

In summary, we have presented a simple approach to control the sidewall profile of

block copolymer nanostructures self-assembled in thin films and demonstrated the ability of such

profile control to enhance lift-off pattern transfer processes. The approach utilizes bilayer block

copolymer films, with each layer having a well-defined composition and characteristic

dimension, and is analogous to profile control techniques developed for commercial photoresists

and lithographic processes. The improved liftoff process detailed in this manuscript will

simplify the transfer of such block copolymer patterns into functional metallic or semiconducting

materials, or even into other sacrificial layers. For example, we have used this lift-off process

to deposit thin Cr or alumina hard masks for anisotropic reactive ion etching and achieved high

aspect ratio (>5) features etched into Si substrates. The proof-of-concept demonstration

presented here can easily be scaled to smaller dimensions, implemented in cylinder-forming

Page 58: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

42

systems, or adapted to other block copolymer materials. The processes and materials used here

are also compatible with conventional nanofabrication tools,[20]

including those being developed

specifically for block copolymer lithography (e.g., tools for solvent vapor annealing) and

directed self-assembly such as with chemically patterned substrates.[16b-e]

Experiments and

mean-field simulations on the directed self-assembly of PS-b-PMMA lamellae on chemically

patterned surfaces indicate that the domain interface depends strongly on the film thickness and

the mismatch in periodicity between the block copolymer and the surface pattern.[12a]

Thus,

optimization of the sidewall profile of block copolymer nanostructures may be expanded to other

applications, in the future, by controlling the thickness of each layer or the use of multilayer (3 or

more layers) films to create complex, three-dimensional profiles.

Experimental Section

Processing of bilayer block copolymer thin films:

A neutral substrate for the self-assembly of lamellar-forming PS-b-PMMA block

copolymers with perpendicular orientations was prepared by coating a P(S-r-MMA-r-GMA)

random copolymer brush onto Si substrates. Si wafers were purchased from Montco Silicon

and piranha treated with a mixture of 30% H2O2 / 70% H2SO4 (v/v) at 70 °C for 20 min. The

cleaned substrates were rinsed extensively with distilled water, and then further rinsed with

acetone and toluene. The random copolymer was synthesized by free-radical polymerization

from styrene, methyl methacrylate, and glycidyl methacrylate monomers to achieve a final molar

composition of 58% styrene, 31% methyl methacrylate, and 1% glycidyl methacrylate as

confirmed by 1H-NMR. A 0.3 wt% solution of the random copolymer in anhydrous toluene

(EMD Chemicals, 99.8 wt%) was prepared and spincast to a film thickness of 10 nm onto the

Page 59: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

43

clean silicon wafers. Annealing at 170 °C for 4 hrs cross-linked the random copolymer into a

dense mat, and subsequent sonication in a toluene bath removed unreacted polymer.

Thin films of PS-b-PMMA block copolymer and block copolymer / homopolymer

blends were spincast onto the prepared neutral surfaces. The lamellar-forming block copolymer

(PS-b-PMMA, 47k:53k, PDI = 1.12) was purchased from Polymer Source, Inc. Homopolymers

with a low concentration of thermally cross-linkable functionality were synthesized through

atom transfer radical polymerization.[21]

These cross-linkable homopolymers included x-PS

(17.4 kDa, PDI = 1.28) and x-PMMA (25.2 kDa, PDI = 1.47) each with 1 mol% of glycidyl

methacrylate functionality randomly incorporated. Solutions of block copolymer and

homopolymer were prepared at a concentration of 1.5 wt% by weighing out dry polymer and

adding toluene dropwise. Three blend solutions of block copolymer and cross-linkable

homopolymer were prepared by blending the solutions of block copolymer and homopolymer as

indicated in Table 2.2, targeting different total volume fractions of styrene and methyl

methacrylate in each solution, but identical volume fractions of homopolymer. The ~50 nm

monolayer block copolymer thin film was produced by spincasting (5000 rpm for 30 s) a 1.5 wt%

solution. Each ~25 nm thick layer in the bilayer block copolymer thin films was achieved using

block copolymer blend solutions diluted to 0.75 wt% concentrations and spincasting (4000 rpm

for 45 s).

Table 2.2. Block copolymer blends with controlled volume fractions of PS.

40PS 50PS 60PS

1.5 wt% PS-b-PMMA [mL] 2.000 2.000 2.000

1.5 wt% x-PS [mL] 0 0.237 0.471

1.5 wt% x-PMMA [mL] 0.529 0.263 0

Total volume fraction of PS 40.0% 50.0% 60.0%

Volume fraction of homopolymer 20.0% 20.0% 20.0%

Page 60: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

44

Solvent vapor annealing was performed by exposing the block copolymer thin films to a

saturated vapor of mixed solvents. Acetone (30 mL, Fisher, 99%) and cyclohexane (30 mL,

Fisher, 99%) were added to the bottom of a glass desiccator (1.5 L volume). The solvents were

degassed under house vacuum (25 Torr) to remove any dissolved gases prior to solvent annealing.

The thin film samples were suspended on a metal frame above the solvent mixture. The system

pressure was then lowered to 20 Torr, the desiccator was sealed, and the polymer thin films were

swollen in the mixed solvent environment for 2 hrs. The solvent vapor annealing process was

quenched by opening the chamber to vacuum to remove solvent vapor and followed by opening

the entire chamber to air to allow the swollen block copolymer thin films to dry. Subsequently,

the solvent vapor annealed samples were thermally treated (190°C for 1 hr under ~10 Torr

vacuum) to remove residual solvent, improve microphase separation of the self-assembled

structures, and to complete the thermally-activated cross-linking reaction. This process for

deposition and self-assembly was then repeated for a second layer (~25 nm film) of block

copolymer, in some cases using block copolymer systems of identical composition and in other

cases with block copolymer systems of differing composition.

Pattern transfer to metal nanostructures via a lift-off process:

The block copolymer thin film samples were exposed to UV light (UVP CL-1000

Ultraviolet Cross-linker at λ = 254 nm and an exposure dose of 1 J/cm2) and rinsed in acetic acid

to remove the PMMA domain.[18b]

The remaining PS template was descummed by an oxygen

plasma treatment (gas: O2, flow rate: 5 SCCM, pressure: 150 mTorr, power: 40W) to remove

residual PMMA and the brush layer used for surface treatment. Metal thin films consisting of 1

nm Cr and 5 nm Au were evaporated sequentially using a CVC 3-boat thermal evaporator. The

lift-off process was carried out by sonicating (Fisher Scientific FS30H ultrasonic cleaner) the

Page 61: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

45

metal deposited samples in toluene at 55°C. The samples were dried in a stream of N2 prior to

SEM imaging.

Nanostructure characterization:

The block copolymer morphology in thin films and the metal nanostructures were

imaged by scanning electron microscopy (SEM) using a JEOL JSM-7401F. Top-down SEM

images were collected using gentle beam mode operating at an accelerating voltage of 1 kV and

a gun emission current of ~10 mA. Samples for cross-sectional SEM imaging were cleaved in

liquid nitrogen. Cross-sectional SEM images were collected with the sample tilted at 80° from

the sample normal using an accelerating voltage of 5 kV.

Optical characterization of extent of lift-off:

The extent of lift-off was determined by transmission measurements (light source:

Mikropack HL-2000-FHSA, detector: Ocean Optics USB4000) using metal films deposited on

glass slides. Note that the block copolymer processing was identical on the glass substrates

(pre-cleaned Fisher Scientific plain microscope slides) as it was on the Si substrates. A glass

slide with a 10 nm layer of neutral brush was used as bright reference spectrum for the

transmission measurements, i.e., corresponds to 100% transmission. Transmission

measurements (beam spot with a diameter of ~3.0 mm) were collected at three distinct locations

across the substrate, thus allowing for the extent of lift-off to be quantified across large areas.

References

[1] a) R. R. Li, P. D. Dapkus, M. E. Thompson, W. G. Jeong, C. Harrison, P. M. Chaikin, R. A.

Register, D. H. Adamson, Appl. Phys. Lett. 2000, 76, 1689; b) C. T. Black, K. W. Guarini, K. R.

Milkove, S. M. Baker, T. P. Russell, M. T. Tuominen, Appl. Phys. Lett. 2001, 79, 409; c) P. S. Jo,

Page 62: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

46

J. Sung, C. Park, E. Kim, D. Y. Ryu, S. Pyo, H. C. Kim, J. M. Hong, Adv. Funct. Mater. 2008,

18, 1202; d) H. C. Kim, S. M. Park, W. D. Hinsberg, Chem. Rev. 2010, 110, 146.

[2] a) C. Osuji, C. Y. Chao, I. Bita, C. K. Ober, E. L. Thomas, Adv. Funct. Mater. 2002, 12, 753;

b) Z. H. Nie, E. Kumacheva, Nat. Mater. 2008, 7, 277.

[3] a) C. De Rosa, C. Park, E. L. Thomas, B. Lotz, Nature 2000, 405, 433; b) J. Y. Cheng, C. A.

Ross, V. Z. H. Chan, E. L. Thomas, R. G. H. Lammertink, G. J. Vancso, Adv. Mater. 2001, 13,

1174; c) J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, R. G. H. Lammertink, G. J. Vancso,

IEEE T. Magn. 2002, 38, 2541; d) V. P. Chuang, J. Gwyther, R. A. Mickiewicz, I. Manners, C.

A. Ross, Nano Lett. 2009, 9, 4364; e) G. L. Liu, P. F. Nealey, R. Ruiz, E. Dobisz, K. C. Patel, T.

R. Albrecht, J. Vac. Sci. Technol. B 2011, 29; f) R. Ruiz, E. Dobisz, T. R. Albrecht, ACS Nano

2011, 5, 79.

[4] C. C. Liu, P. F. Nealey, Y. H. Ting, A. E. Wendt, J. Vac. Sci. Technol. B 2007, 25, 1963.

[5] a) C. M. Bates, M. A. B. Pantoja, J. R. Strahan, L. M. Dean, B. K. Mueller, C. J. Ellison, P.

F. Nealey, C. G. Willson, J. Polym. Sci. Pol. Chem. 2013, 51, 290; b) Y. H. Ting, S. M. Park, C.

C. Liu, X. S. Liu, F. J. Himpsel, P. F. Nealey, A. E. Wendt, J. Vac. Sci. Technol. B 2008, 26,

1684.

[6] M. J. Madou, Fundamentals of Microfabrication, CRC Press, Boca Raton, FL, USA 2009.

[7] A. Mayer, N. Bogdanski, S. Mollenbeck, K. Dhima, M. Papenheim, H. C. Scheer, J. Vac.

Sci. Technol. B 2010, 28, C6m136.

[8] G. Y. Jung, W. Wu, S. Ganapathiappan, D. A. A. Ohlberg, M. S. Islam, X. Li, D. L. Olynick,

H. Lee, Y. Chen, S. Y. Wang, W. M. Tong, R. S. Williams, Appl. Phys. A-Mater. 2005, 81,

1331.

[9] a) J. W. Chang, C. P. Chen, Advances in Resist Technology and Processing XXI, Pts 1 and 2

Page 63: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

47

2004, 5376, 967; b) H. S. Lee, J. B. Yoon, J. Micromech. Microeng. 2005, 15, 2136; c) A. Voigt,

M. Heinrich, K. Hauck, R. Mientus, G. Gruetzner, M. Topper, O. Ehrmann, Microelectron. Eng.

2005, 78, 503; d) J. Minter, M. Ross, W. R. Livesay, S. Wong, M. Narcy, T. Marlowe,

Microlithography 1999: Advances in Resist Technology and Processing XVI, Pts 1 and 2 1999,

3678, 1074.

[10] a) C. P. Chen, J. W. Chang, R. Kaji, H. Kawasaki, Emerging Lithographic Technologies IX,

Pts 1 and 2 2005, 5751, 601; b) H. Y. Jung, S. Y. Hwang, B. J. Bae, H. Lee, J Vac Sci Technol B

2009, 27, 1861; c) H. F. Yang, A. Z. Jin, Q. Luo, J. J. Li, C. Z. Gu, Z. Cui, Microelectron. Eng.

2008, 85, 814.

[11] a) G. H. Fredrickson, Macromolecules 1994, 27, 7382; b) Y. Rharbi, M. A. Winnik,

Macromolecules 2001, 34, 5238.

[12] a) E. W. Edwards, M. Muller, M. P. Stoykovich, H. H. Solak, J. J. de Pablo, P. F. Nealey,

Macromolecules 2007, 40, 90; b) G. M. Perera, C. Q. Wang, M. Doxastakis, R. J. Kline, W. L.

Wu, A. W. Bosse, G. E. Stein, ACS Macro Lett. 2012, 1, 1244.

[13] a) J. Y. Cheng, A. M. Mayes, C. A. Ross, Nat. Mater. 2004, 3, 823; b) M. P. Stoykovich, H.

Kang, K. C. Daoulas, G. Liu, C. C. Liu, J. J. de Pablo, M. Mueller, P. F. Nealey, ACS Nano 2007,

1, 168.

[14] a) K. C. Daoulas, M. Muller, M. P. Stoykovich, H. Kang, J. J. de Pablo, P. F. Nealey,

Langmuir 2008, 24, 1284; b) G. E. Stein, J. A. Liddle, A. L. Aquila, E. M. Gullikson,

Macromolecules 2010, 43, 433; c) M. P. Stoykovich, K. C. Daoulas, M. Muller, H. M. Kang, J. J.

de Pablo, P. F. Nealey, Macromolecules 2010, 43, 2334; d) P. N. Patrone, G. M. Gallatin,

Macromolecules 2012, 45, 9507; e) A. J. Peters, R. A. Lawson, P. J. Ludovice, C. L. Henderson,

J. Vac. Sci. Tech B 2013, 31, 06F302.

Page 64: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

48

[15] I. P. Campbell, C. L. He, M. P. Stoykovich, ACS Macro Lett. 2013, 2, 918.

[16] a) H. Jung, D. Hwang, E. Kim, B. J. Kim, W. B. Lee, J. E. Poelma, J. Kim, C. J. Hawker, J.

Huh, D. Y. Ryu, J. Bang, ACS Nano 2011, 5, 6164; b) S. O. Kim, H. H. Solak, M. P. Stoykovich,

N. J. Ferrier, J. J. de Pablo, P. F. Nealey, Nature 2003, 424, 411; c) M. P. Stoykovich, M. Muller,

S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F. Nealey, Science 2005, 308, 1442; d)

L. Rockford, Y. Liu, P. Mansky, T. P. Russell, M. Yoon, S. G. J. Mochrie, Phys. Rev. Lett. 1999,

82, 2602; e) Y. Tada, S. Akasaka, H. Yoshida, H. Hasegawa, E. Dobisz, D. Kercher, M.

Takenaka, Macromolecules 2008, 41, 9267; f) X. M. Yang, L. Wan, S. G. Xiao, Y. A. Xu, D. K.

Weller, ACS Nano 2009, 3, 1844.

[17] J. N. L. Albert, T. H. Epps, Mater. Today 2010, 13, 24.

[18] a) T. Thurn-Albrecht, R. Steiner, J. DeRouchey, C. M. Stafford, E. Huang, M. Bal, M.

Tuominen, C. J. Hawker, T. P. Russell, Adv. Mater. 2000, 12, 787; b) S. J. Jeong, G. D. Xia, B.

H. Kim, D. O. Shin, S. H. Kwon, S. W. Kang, S. O. Kim, Adv. Mater. 2008, 20, 1898.

[19] F. Hamaoka, T. Yagisawa, T. Makabe, J. Phys. D Appl. Phys. 2009, 42.

[20] a) C. J. Hawker, T. P. Russell, MRS Bull. 2005, 30, 952; b) M. P. Stoykovich, P. F. Nealey,

Mater. Today 2006, 9, 20; c) E. L. Schwartz, J. K. Bosworth, M. Y. Paik, C. K. Ober, Advances

in Resist Materials and Processing Technology XXVII, Pts 1 and 2 2010, 7639; d) S. J. Jeong, J.

Y. Kim, B. H. Kim, H. S. Moon, S. O. Kim, Mater. Today 2013, 16, 468; e) C. M. Bates, M. J.

Maher, D. W. Janes, C. J. Ellison, C. G. Willson, Macromolecules 2014, 47, 2.

[21]a) M. Kamigaito, T. Ando, M. Sawamoto, Chem. Rev. 2001, 101, 3689; b) K. Matyjaszewski,

J. H. Xia, Chem. Rev. 2001, 101, 2921.

Page 65: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

49

Chapter 3 Multilayer Thin Films Nanostructures of Cross-linkable Block

Copolymer / Homopolymer Blends

This chapter was submitted for publication in December 2014.

Abstract

Thin films of block copolymers are widely recognized as promising candidates for

nanoscale fabrication of semiconductor devices, membranes, and other structures because of

microphase separation to simultaneous form well-organized nanostructures with periods of a few

nm and above. However, the three-dimensional structure of block copolymer microdomains

could enable them to make 3D devices and structures directly, which could lead to efficient

fabrication of complex heterogeneous structures. This chapter details the nanofabrication

processes and their characterizations of 3D multilayer based on epoxide functionalized

cross-linkable block copolymer and homopolymer blends. The cross-linkable block copolymer

and homopolymer were both synthesized by atom transfer radical polymerization. Multilayer

films of poly(styrene-block-methyl methacrylate) were assembled and thermally cross-linked on

wafer substrates in a layer-by-layer process. The followed layer, while being directed to

self-assemble on the lamellae of the underlying layer, had a tunable composition and polystyrene

domain width. The 3D multilayer structure was verified by film thickness measurements,

top-down SEM images and the registration of corresponding block copolymer domains between

layers were confirmed by cross-sectional SEM.

Page 66: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

50

Introduction

Nanoscale patterns achieved from the self-assembly of block copolymers have been

extensively studied from a fundamental chemistry and physics perspective[1–4]

as well as in

various applications such nanolithography, electronic and magnetic devices, nanoreactors, and

separation membranes.[5–11]

Based on the volume fraction of each block, the segmental

interaction between two components χ, and the degree of polymerization (N), block copolymer

exhibit well-organized arrays of lamellar, cylindrical, gyroid, and spherical microdomains that

are tens of nanometres in size.[2]

By directed self-assembly a simple route is applied to

nanoscaled arrays in the fabrication of multi-level thin film devices, since the balanced

interfacial interactions between the chemical modified surfaces and the domains of block

copolymer allows the block copolymer to perpendicularly self-assemble to the surface.[12–17]

The

use of oriented microdomains of block copolymers for lithography improves traditional

photolithography for applications, including nanolithographic templates,[18,19]

etching masks,[20–

22] nanowire fabrication,

[23] nanoparticle deposition,

[24] nanoporous membranes,

[25] and

nano-textured surfaces.[26]

For such applications, control over the microdomain interfaces is of

crucial importance for many of the desired practical uses.

In the last three decades, significant effort has been devoted to controlling

two-dimensional (2D) nanostructures of block copolymer films by chemical and topographical

modification to substrate surface [27–32]

as well as fabricating nanostrucutures with patternable

block copolymer.[33,34]

However, the controlled formation of more complex, three-dimensional

(3D) scaffolds presents more unique opportunities in block copolymer nanofabrication.[35]

The

3D mesoporous structures were fabricated by an iterative method i.e. independently stacking

multiple self-assembled block copolymer films supported by Si membranes.[36]

Profile control in

Page 67: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

51

block copolymer nanostructures using bilayer thin films has been proved to significantly improve

the lift-off process in pattern transfer.[37]

Multilayer nanostructures with different morphologies

between layers were obtained by the directed self-assembly of lamellar forming block copolymer

thin film with the guidance of underlying cross-linked self-assembled cylindrical forming

cross-linkable block copolymer with reactive azido (-N3) incorporated in one block. formation of

multilayer block copolymer thin films.[38]

Mulitlayer thin films prepared from cross-linkable

block copolymers with benzocyclobutene functionality in one block allows size controllability

and the registration between layers.[39]

In this study, a layer-by-layer approach to fabricating multilayer nanostructure of block

copolymer thin films with controlled microdomain interfaces in polystyrene-block-polymethyl

methacrylate (PS-b-PMMA) by varying the volume fractions of block copolymer domains is

presented. Block copolymer PS-b-PMMA with reactive epoxide functionality in the MMA block,

denoted PS-b-P(MMA-r-GMA) (or PS-b-ePMMA), homopolymer P(S-r-GMA) (x-PS) and

P(MMA-r-GMA) (x-PMMA) were synthesized by atom transfer radical polymerization.[40–42]

The PS-b-ePMMA copolymers were designed to form lamellar microdomains, with a total

number-average molecular weights of 93.7 kg mol-1

, PS volume fraction of 0.53, and MMA

containing epoxide (GMA: 4.5 mol%). The layer-by-layer fabrication was carried out by

thermally cross-linking self-assembled block copolymer / homopolymer thin film on neutral

surface and using the cross-linked layer to guide the self-assembly of the followed layer. The

volume fractions of layers were carefully tuned by blending different amounts of homopolymers

x-PS and x-PMMA. Here we present that the epoxide functionalized PS-b-PMMA / x-PS /

x-PMMA system can 1) readily form insoluble and thermally stable cross-linked, self-assembled

multilayer nanostructures, 2) realize registration between layers through a layer-by-layer

Page 68: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

52

approach using the underlying layer to guide the self-assembly of the top layer, 3) control the

overall profile through carefully tuning the volume fractions of the blocks each layer of block

copolymer thin film.

Results and discussion

The schematic in Figure 3.1 illustrates a layer-by-layer approach to fabricating

multilayer self-assembled block copolymer nanostructures through the use of self-assembled

block copolymer / homopolymer blends in thin films. Block copolymer thin films were

spincast on a silicon substrate with a neutral brush surface and solvent annealed under a mixed

solvent vapor leading to the self-assembly of lamellae oriented perpendicular to the substrate.[43]

By heating the sample to 190°C for 1 hr under vacuum, a cross-linking reaction was then

allowed to happen within the self-assembled domains. The resulting cross-linked

nanostructures were thus locked and became relatively insoluble. The followed layers of block

copolymer could then be deposited and processed using the same conditions (i.e., self-assembly

via solvent annealing followed by cross-linking upon thermal treatment). The underlying layer

acted as a chemically patterned substrate that guided the self-assembly of the block copolymer

structures in the followed layer.[44–46]

Each block copolymer layers were ~50 nm thick, thereby

generating a nanostructured film with a total thickness increasing with the number of layers. The

materials polystyrene-block-poly(methyl methacrylate-random-glycidyl methacrylate)

(PS-b-P(MMA-r-GMA) or PS-b-ePMMA), polystyrene-random-(polyglycidyl) (P(S-r-GMA) or

x-PS), and poly(methyl methacrylate)-random-poly(glycidyl methacrylate) used here were

synthesized by atom transfer radical polymerization (ATRP).[47,48]

The diblock copolymer

system of PS and PMMA is advantageous because it is a well-characterized, model block

Page 69: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

53

copolymer system[49]

and the PMMA domain can be selectively degraded by exposing in UV

(254 nm) and removed by rinsing in acetic acid[50]

This approach can be generalized to most

block copolymers systems, but other such materials may exhibit subtle differences in the

structures self-assembled in thin films from those presented here due to more disparate domain

surface tensions than in the PS / PMMA system (e.g., it may be more difficult to achieve

perpendicular interfaces and nanostructures even on a neutral surface).

Figure 3.1. Layer-by-layer approach to fabricate multilayer self-assembled block copolymer

nanostructures.

The self-assembly of block copolymer / homopolymer blend thin films on neutral

surfaces is shown in the SEM images in Figure 3.2. In thin films the blends undergo

morphological transitions with increasing ϕPS (volume fraction of PS) from disorder to spherical

/ cylindrical with PMMA (dark gray regions) as matrix to lamellar to spherical / cylindrical with

PS (light gray regions) as matrix and to disorder which matches excellently with the microphase

separated morphologies in bulk.[2]

The SEM images of ϕPS at 30% and 70% which is supposed to

be cylindrical but both showed a mix of cylindrical and lamellar-like mophologies. The

lamellar-like nanostructures are the cylindrical morphology applied a parallel orientation to the

substrate. This can be explained by that the neutral surface used is a lamellar forming neutral

surface for symmetric block copolymer to adopt a perpendicular orientation.[12–17]

Spherical /

cylindrical morphologies are found in the cases of of ϕPS at 20% and 80% while for the ϕPS = 80%

case some adjacent spheres merged to form short PMMA domains. In the scenario of ϕPS = 10%

and 90%, no nanostructure were found because the volume fractions of one domain fell in the

region of disorder which means PS and PMMA mixed on molecular level.

Page 70: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

54

Figure 3. 2. Top-down SEM images of lamellae self-assembled in single layer films on neutral

brushes by PS-b-PMMA blends with homopolymers and 10, 20, 30, 40, 50, 60, 70, 80, 90 vol%

of PS.

Multilayer approach to controlling the interface profiles of block copolymer

nanostructures allows the composition, and thus dimension, of each self-assembled layer to be

adjusted independently. One important advantage of using cross-linkable block copolymer and

cross-linkable homopolymer is that the formed nanostructure will is much more stable in the

mechanical and chemical processing. In this work, three-dimensional multilayered

nanostructures trilayer of cross-linkable block copolymer / homopolymer were achieved with

controlled composition of the two copolymer domains in each layer. Four of such multilayered

nanostructures were fabricated two from bilayer with the bottom layer having a PS volume

fraction (ϕPS) of 50.0 vol% and the top layer having ϕPS = 60.0 vol% (or 40.0 vol%), as indicated

by the notation and two from trilayer thin films with the bottom layer having a PS volume

fraction (ϕPS) of 50.0 vol%, middle layer having ϕPS = 60.0 vol% (or 40.0%), and the top layer

having ϕPS = 70.0 vol% (or 30%), as indicated by the notation ‘Trilayer 50-60-70PS or

50-40-30PS’ used in this manuscript. Each layer consisted of a block copolymer /

homopolymer blend with lamellar-forming PS-b-ePMMA and cross-linkable homopolymers

x-PS and x-PMMA (note that these are not truly homopolymers but rather random copolymers

with 1 mol% concentrations of a reactive epoxy functionality, but, for clarity, they are labeled as

such throughout). The relative amount of x-PS and x-PMMA added was carefully tuned to

Page 71: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

55

control the volume fractions of PS and PMMA in the block copolymer blends. Three different

block copolymer compositions (ϕPS = 30, 40, 50, 60, or 70 vol%) were considered for the layers.

Figure 3.3. Top-down SEM images (top) and the corresponding histograms of grayscale color

(bottom, x-axis: Pixel Gray) for block copolymer films with top layer polystyrene compositions

of 30, 40 50, 60, and 70 vol%. The blue and red shaded areas indicate the pixels assigned to

belong to the PMMA and PS domains in the SEM image, respectively. Note that, in this case, the

PMMA domains were removed prior to SEM imaging.

Figure 3.3 shows top-down SEM images of 50-40-30PS, 50-40PS, 50-60PS, and

50-60-70PS multilayer films after removal of the PMMA domain, as well as a single layer 50PS

film of equivalent thickness for each layer. The light regions in the SEM images correspond to

the PS nanostructures. The top-down SEM images from the 50-40-30PS to 50-40PS to 50PS to

50-60PS and to 50-60-70PS also exhibit the visual trend that the PS domains become wider

while the PMMA domains become narrower, which is consistent with the profiles targeted in

these bilayer thin films. An comparison between the top-down SEM images of ϕPS = 70% in

Figure 3.2 with 50-60-70PS shows that the top layer ϕPS = 70% formed lamellar nanostructure

even though the width of the PS domain is much bigger than that of the PMMA domain. The

copolymer blend ϕPS = 70% which is supposed to form cylindrical nanostructure adopted

lamellar morphology because the gradual domain composition change from the bottom to the top

and thus the whole system has less energy penalty to form defects like disclination or

dislocations.[51–53]

On the bottom side of Figure 3.3, an analysis of the grayscale top-down SEM

Page 72: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

56

images confirms this trend. Histograms of the grayscale color of each pixel in the images were

determined and have two clear peaks corresponding to the PMMA (dark, low grayscale color)

and PS (light, high grayscale color) domains. In order to quantify the relative composition of

each domain at the top surface of the bilayer film, a threshold was applied corresponding to the

probability change slope closest to 0 between the two peaks in the distribution. interesting Table

3.1 summarizes the measured PS and PMMA compositions at the top surface of the films, which

ranged from 35 to 74 vol% PS and closely matched the targeted surface compositions of 40 to 60

vol% PS (for 50-40PS to 50-60PS, respectively). One domain of the block copolymer

nanostructures was selectively removed by exposure to UV light (254 nm, 1 J/cm2) and

development in acetic acid (a non-solvent for the PS domain).[15]

Table 3.1. The areal fraction of PS and PMMA at the free surface of the block copolymer bilayer

films as determined by top-down SEM imaging.

3D Structure Design

(as denoted by PS

composition) a

Measured PS

composition at the

film surface [vol%]

Measured PMMA

composition at the

film surface [vol%]

trilayer (50-40-30 PS) 35%± 1% 65%± 1%

bilayer (50-40 PS) 43%± 3% 57%± 3%

monolayer (50 PS) 52%± 2% 48%± 2%

bilayer (50-60 PS) 66%± 1% 34%± 1%

trilayer (50-60-70 PS) 74%± 2% 26%± 2%

a Notation of “50-40-30PS” means the multilayer structure was built with a bottom layer

consisting of 50 vol% PS and 50 vol% PMMA, a second layer of, and a third layer of 30 vol%

PS and 70 vol% PMMA.

A remarkable advantage of the cross-linkable PS-b-PMMA thin films is their

insolubility when the samples are cross-linked after thermal annealing (190°C for 1 hour), which

allows their subsequent use in further processes, such as the fabrication of 3-dimensional

Page 73: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

57

multi-layered arrays, that exploit directed self-assembly for adjacent layers. Once the

cross-linked thin films are prepared, the resulting thin films of PS-b-PMMA are insoluble and

hence the next layer can be fabricated on underlying cross-linked thin films via a layer-by-layer

fashion as described in Figure 3.1. The multilayer nanostructure 50-60-70PS in cross-linkable

block copolymer / homopolymer blend is evidenced in the cross-sectional SEM images of

Figure 3.4. Figure 3.4a shows the SEM images taken at a tilt angle of 65 to probe a crosssection

of the multi-layered thin films of PS-b-PMMA with 4.5 mol% epoxide. From Figure 3.4a, it can

be clearly seen that the lamellar microdomains persisted throughout the entire thickness at 3

layers (110 nm as shown in the thickness dependent on the number of layers of cross-linked

copolymers), suggesting that the microdomains of two consecutive layers were in register. The

ability to prepare multi-layered cross-linked thin films with accurate registration on the

nanometre scale demonstrates the power of using functionalized block copolymers and may be

further utilized as a new route to fabricate 3-dimensional arrays via directed self-assembly.

Figure 3.4. a) Cross-sectional SEM images of multilayer 50-60-70PS films showing the

registration between layers, b) Thicknesses of cross-linked film on silicon substrate with brush

with increasing layers of block copolymer films.

The thermal cross-linking reaction of PS-b-ePMMA in the self-assembled (via solvent

Page 74: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

58

annealing) block copolymer thin films was studied by thermally annealing the block copolymer

thin films on substrate with different brushes as shown in Figure 3.5. The cross-linking reaction

extent was quantified by measuring the residual film thickness after cross-linking and sonication

in toluene. The measured thin film thicknesses were normlized using the as-spun film thickness

as control. Neutral brushes PS57-MMA41-HEMA4, PS58-MMA41-GMA1, and

PS61-MMA35-GMA4 with increasing amount of epoxide molar fraction were coated on silicon

wafers by thermal annealing at 190°C from 15 minutes to 120 minutes. The cross-linking of

PS-b-ePMMA reached its full extent ~90% on brush PS61-MMA35-GMA4 within 15 minutes

which has the highest concentration of epoxide while on PS61-MMA35-HEMA1 or

PS57-MMA41-HEMA4 more than 30 minutes is needed. Note that the normalized film thickness

upon thermal treatment plateaus at ~90%, with this 10% reduction in film thickness being

attributed to the densification of the film upon heating[54]

and contractile film stresses upon

cross-linking[55]

. The highest normalized film thickness of PS-b-ePMMA on brush

PS57-MMA41-HEMA4 is lower than 60%. Therefore, brush with higher concentration of epoxide

enables the cross-linking to happen faster and to reach higher extent.

Page 75: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

59

Figure 3.5. The extent of cross-linking as reported by the normalized residual film thickness

(relative to the initial, as-deposited film thickness) as a function of the thermal annealing time

and substrate brushes.

A proposed surface acid-catalyzed cross-linking mechanism illustrated in electron

pushing process is shown in Scheme 3.1. The process starts from the proton transfer from the

acetic surface of silicon substrate with brush to protonate the oxygen in the epoxide. The

hydroxyl group on the surface acts as a nucleophile and attached to the ternary carbon of the

epoxide.[56,57]

The epoxide undergoes ring opening and a hydroxyl group produced. Thus, the

Page 76: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

60

Scheme 3.1. Proposed mechanism of cross-linking of block copolymer on silicon substrate with

brush.

polymer linked to the surface. The cross-linking between PS-b-ePMMA can also be explained by

this process which is already shown in the scheme. When the cross-linking reaction reach the

surface, the cross-linking process is terminated because the complete consumption of epoxides

and hydroxyl groups will be the end cap. The low extent of cross-linking on brush

PS57-MMA41-HEMA4 can be explained by the proposed cross-linking surface acid-catalyzed

cross-linking mechanism. On the substrate with polymer brushes, there will be some hydroxyl

group after the brush cross-linked to the piranha treated silicon wafer. The major difference

between brush PS57-MMA41-HEMA4 and the other two epoxide included brush is that the

hydroxyl group of HEMA attach to the silicon surface and the amount of hydroxyl group will

decreased by the reaction. However, in the epoxide case once a hydroxyl group on the substrate

consumed, a new hydroxyl group will be produced because the ring opening reaction happened

on the epoxide group. Thus, the total hydroxyl group will be dependent on the concentration of

Page 77: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

61

epoxide groups. This also explains that the cross-linking reaction on PS61-MMA35-GMA4

happened more than twice faster than that on PS58-MMA41-GMA1.

Conclusions

In summary, polymer thin films from cross-linkable PS-b-PMMA copolymers

containing the reactive epoxide functionality were prepared by solvent annealing and subsequent

cross-linking at elevated temperatures, leading to robust thermoset, nano-structured thin films.

By controlling the extent of cross-linking, either by varying the concentration of epoxide or by

limiting the extent of cross-linking, a simple route to fabricate multilayer cross-linked block

copolymer nanostructure has been shown where the microphase separations of adjacent layers

were in registry, leading to a propagation of the orientation and ordering of the thin film over

large distances. The mechanism of the cross-linking reaction was discussed to explain the

cross-linking reaction processes including epoxide functionality. This work demonstrates a

promising route to fabricate 3-dimensional structure with controlled placement of elements.

Experimental Section

Synthesis of cross-linkable block copolymer.

Atom transfer radical polymerization (ATRP) was applied to synthesize the

PS-b-P(MMA-r-GMA) or PS-b-ePMMA block copolymer. The monomers styrene

(Sigma-Aldrich, stabilized, 99%) and methyl methacrylate (Acros, stabilized, 99%) were purified

by distillation with the presence of calcium hydride under reduced pressure. The catalysts copper

(I) bromide and copper (I) chloride was purified by heating to reflux in acetic acid for 10 hours,

filtered, washed with degased methanol and acetone, and dried under vacuum. All other

Page 78: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

62

chemicals and solvents were used as received. The polymerization started with synthesizing

polystyrene (PS) to obtain narrow distributed macroinitiator with bromine at one end. The

purified styrene monomer, (1-bromoethyl)benzene (Sigma-Aldrich, 97%) as the initiator, and

N,N,N′,N′,N′′-pentamethyldiethylenetriamine as the stabilizing ligand were loaded to a Schlenk

flask. The mixture was run three cycles of freeze-pump-thaw and copper (I) bromide as the

catalyst was added to the frozen mixture. The flask was sealed and heated to 100°C in the

absence of solvent. The reaction was terminated after about 48 hours when most of the monomer

solidified meaning no more homogeneous mixing. The reaction mixture was then cooled to room

temperature. DOWEX Marathon MSC (H) ion-exchange resin (Sigma-Aldrich) and dichloride

methylene was added and stirred for 24 hours to absorb the catalyst copper (I) bromide or copper

(II) bromide. The ion-exchange resin was removed by filtration with a layer of neutral alumina.

The filtrate was precipitated out in 10 times methanol and the obtained polymer white powder

was dried under vacuum at room temperature. The PS macroinitiator (M.W. = 47.3 kg mol-1

, PDI

= 1.04) was used as the initiator to extend the second block. The PS macroinitiator, the purified

methyl methacrylate glycidyl methacrylate (MP Biomedicals, 99%) monomers, and

N,N,N′,N′,N′′-pentamethyldiethylenetriamine as the ligand[58]

were dissolved in anhydrous THF

(Sigma-Aldrich) and loaded to a Schlenk flask. The mixture was run three times of

freeze-pump-thaw cycle. The catalyst copper (I) chloride was added to the frozen mixture. The

Schlenk flask was then sealed and the mixture was heated to 50°C for 48 hours. The pufication

of the block copolymer was the same as the macroinitiator. The symmetric block copolymers

PS-b-PMMA (99.5 kg mol-1

, PDI = 1.14, volume fraction of PS ϕPS = 50.4%) and PS-b-ePMMA

(93.7 kg mol-1

, PDI = 1.11, ϕPS = 53.4%, GMA: 4.5 mol%) The composition of the block

copolymers and the PS macroinitiator were characterized by 1H NMR. Homopolymers with a

Page 79: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

63

low concentration of thermally cross-linkable functionality were synthesized through ATRP

These cross-linkable homopolymers included x-PS (17.4 kDa, PDI = 1.28) and x-PMMA (25.2

kDa, PDI = 1.47) each with 1 mol% of glycidyl methacrylate functionality randomly

incorporated.

Neutral brush preparation and self-assembly by solvent annealing:

The neutral substrate for the self-assembly of lamellar-forming PS-b-PMMA block

copolymers with perpendicular orientations was prepared by thermally cross-linking a

P(S-r-MMA-r-GMA) random copolymer brush onto Si substrates. Si wafers were purchased

from Montco Silicon and were treated with piranha solution a mixture of 30% H2O2 / 70% H2SO4

(v/v) at 70°C for 20 minutes. The cleaned substrates were rinsed extensively with distilled water,

and then further rinsed with acetone and toluene. The random copolymers

[P(S0.58-r-MMA0.31-r-GMA0.01), P(S0.61-MMA0.35-GMA0.04), P(S0.57-MMA0.41-HEMA0.04] were

synthesized by free-radical polymerization by heating up a mixture of styrene, methyl

methacrylate, glycidyl methacrylate and azobisisobutyronitrile (AIBN) in toluene to 80°C for 8

hours and purified by precipitating in methanol and filtration. A 0.3 wt% solution of the random

copolymer in anhydrous toluene (EMD Chemicals, 99.8 wt%) was prepared and spincast onto a

film thickness of 10 nm onto the clean silicon wafers. Thermally annealing at 190 °C for 1 hour

allowed the random copolymer cross-link into a dense mat and be sonicated in a toluene bath

removed unreacted random copolymer.

Thin films of PS-b-ePMMA block copolymer and block copolymer / homopolymer

blends were spincast onto the prepared neutral surfaces. Solutions of block copolymer and

homopolymer were prepared at a concentration of 1.5 wt% by weighing out dry polymer and

Page 80: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

64

adding toluene dropwise. Nine blend solutions of block copolymer and cross-linkable

homopolymer were prepared by blending the solutions of block copolymer and homopolymer as

indicated in Table 3.2, targeting different total volume fractions of styrene and methyl

methacrylate in each solution. The ~50 nm monolayer block copolymer thin film was produced

by spincasting (5000 rpm for 30 s) a 1.5 wt% solution.

Table 3.2. Block copolymer blends with controlled volume fractions of PS.

10PS 20PS 30PS 40PS 50PS 60PS 70PS 80PS 90PS

1.5 wt%

PS-b-ePMMA

[mL]

0.5 0.7 1 1.375 2 2 2 1 0.5

1.5 wt% x-PS [mL] 0 0 0 0 0.16 0.412 1.02 1.24 1.7

1.5 wt% x-PMMA

[mL]

2.35 1.27 0.85 0.37 0.35 0.09 0 0 0

Total volume

fraction of PS

[%]

10.0 20.0 30.0 40.0 50.0 60.0 70.0 80.0 90.0

Volume fraction of

homopolymer [%]

81.6 63.1 44.4 20.2 19.9 20.6 35 56.7 78.2

Solvent vapor annealing was carried out by exposing the block copolymer thin films to

a saturated vapor of mixed solvents. Acetone (30 mL, Fisher, 99%) and cyclohexane (30 mL,

Fisher, 99%) were added to the bottom of a glass desiccator (1.5 L volume). The solvents were

degassed under house vacuum (25 Torr) to remove any dissolved gases prior to solvent annealing.

The thin film samples were suspended on a metal frame above the solvent mixture. The system

pressure was then lowered to 20 Torr, the desiccator was sealed, and the polymer thin films were

swollen in the mixed solvent vapor environment for 4 hours. The solvent vapor annealing

process was quenched by opening the chamber to vacuum to remove solvent vapor and followed

by opening the entire chamber to air to allow the swollen block copolymer thin films to dry.

Processing of multilayer block copolymer thin films:

Page 81: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

65

The multilayer fabrication started with spincasting the blend 50PS onto a neutral

substrate and then solvent annealed in the saturated vapor of acetone and cyclohexane for 4

hours. The solvent vapor annealed samples were thermally treated (190°C for 1 hour under ~10

Torr vacuum) to remove residual solvent, improve microphase separation of the self-assembled

structures, and to complete the thermally-activated cross-linking reaction. This process for

deposition and self-assembly was then repeated for followed layers (~50 nm film) of block

copolymer, in some cases using block copolymer systems of identical composition and in other

cases with block copolymer systems of differing composition. The thermal-activated

cross-linking conditions (190°C for 15, 30, 60, or 120 minutes) on brush PS57-MMA41-HEMA4,

PS58-MMA41-GMA1, and PS61-MMA35-GMA4 were studied by annealing block copolymer

nanostructures self-assembled by solvent annealing. The thermally treated samples were

sonicated in a toluene bath at 22°C for 3 minutes and thermally annealed at 190°C for 1 hour.

The residual film thickness was measured by ellipsometry and reported relative to the thickness

of an as-deposited block copolymer thin film. The multilayer cross-linked block copolymer

followed the same procedure for the deposition for each layer.

Nanostructure characterization:

The block copolymer morphology in thin films and the metal nanostructures were imaged

by scanning electron microscopy (SEM) using a JEOL JSM-7401F. Top-down SEM images

were collected using gentle beam mode operating at an accelerating voltage of 1 kV and a gun

emission current of ~10 mA. Samples for cross-sectional SEM imaging were cleaved in liquid

nitrogen. Cross-sectional SEM images were collected with the sample tilted at 60° from the

sample normal using an accelerating voltage of 5 kV.

Page 82: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

66

References

[1] F. S. Bates, G. H. Fredrickson, Annu. Rev. Phys. Chem. 1990, 41, 525.

[2] F. S. Bates, G. H. Fredrickson, Phys. Today 1999, 52, 32.

[3] N. Hadjichristidis, M. Pitsikalis, H. Iatrou, Adv. Polym. Sci. 2005, 189, 1.

[4] M. Hillmyer, Curr. Opin. Solid State Mater. Sci. 1999, 4, 559.

[5] M. J. Fasolka, A. M. Mayes, Annu. Rev. Mater. Res. 2001, 31, 323.

[6] J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, G. J. Vancso, Appl. Phys. Lett. 2002, 81,

3657.

[7] M. P. Stoykovich, P. F. Nealey, Mater. Today 2006, 9, 20.

[8] W. A. Phillip, B. O’neill, M. Rodwogin, M. A. Hillmyer, E. L. Cussler, ACS Appl. Mater.

Interfaces 2010, 2, 847.

[9] J. N. L. Albert, T. H. Epps III, Mater. Today 2010, 13, 24.

[10] X. Zhang, P. Tanner, A. Graff, C. G. Palivan, W. Meier, J. Polym. Sci. Part A Polym. Chem.

2012, 50, 2293.

[11]C. M. Bates, M. J. Maher, D. W. Janes, C. J. Ellison, C. G. Willson, Macromolecules 2014, 47,

2.

Page 83: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

67

[12] E. Han, K. O. Stuen, M. Leolukman, C. C. Liu, P. F. Nealey, P. Gopalan, Macromolecules

2009, 42, 4896.

[13] S. Sakurai, H. Bando, H. Yoshida, R. Fukuoka, M. Mouri, K. Yamamoto, S. Okamoto,

Macromolecules 2009, 42, 2115.

[14] M. J. Maher, C. M. Bates, G. Blachut, S. Sirard, J. L. Self, M. C. Carlson, L. M. Dean, J. D.

Cushen, W. J. Durand, C. O. Hayes, C. J. Ellison, C. G. Willson, Chem. Mater. 2014, 26, 1471.

[15] S. J. Jeong, G. Xia, B. H. Kim, D. O. Shin, S. H. Kwon, S. W. Kang, S. O. Kim, Adv. Mater.

2008, 20, 1898.

[16] E. Huang, L. Rockford, T. P. Russell, C. J. Hawker, Nature 1998, 395, 757.

[17] D. Y. Ryu, K. Shin, E. Drockenmuller, C. J. Hawker, T. P. Russell, Science 2005, 308, 236.

[18] P. Mansky, C. K. Harrison, P. M. Chaikin, R. A. Register, N. Yao, Appl. Phys. Lett. 1995,

2586.

[19]C. Park, J. Y. Cheng, M. J. Fasolka, A. M. Mayes, C. A. Ross, E. L. Thomas, C. De Rosa, Appl.

Phys. Lett. 2001, 79, 848.

[20] K. W. Guarini, C. T. Black, Y. Zhang, H. Kim, E. M. Sikorski, I. V. Babich, J. Vac. Sci.

Technol. B Microelectron. Nanom. Struct. 2002, 20, 2788.

[21] M. Park, C. Harrison, P. M. Chaikin, R. A. Register, D. H. Adamson, Science (80-. ). 1997,

276, 1401.

Page 84: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

68

[22] Q. Yinghong, W. Dong, J. M. Buriak, Nano Lett. 2007, 7, 464.

[23] T. Thurn-Albrecht, J. Schotter, G. A. Kästle, N. Emley, T. Shibauchi, L. Krusin-Elbaum, K.

Guarini, C. T. Black, M. T. Tuominen, T. P. Russell, Science 2000, 290, 2126.

[24] K. Shin, K. A. Leach, J. T. Goldbach, D. H. Kim, J. Y. Jho, M. Tuominen, C. J. Hawker, T. P.

Russell, Nano Lett. 2002, 2, 933.

[25] E. A. Jackson, M. A. Hillmyer, ACS Nano 2010, 4, 3548.

[26] M. Aizawa, J. M. Buriak, J. Am. Chem. Soc. 2005, 127, 8932.

[27] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. De Pablo, P. F. Nealey, Nature

2003, 424, 411.

[28] M. P. Stoykovich, M. Müller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F.

Nealey, Science 2005, 308, 1442.

[29] R. A. Segalman, H. Yokoyama, E. J. Kramer, Adv. Mater. 2001, 13, 1152.

[30] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. De Pablo, P. F. Nealey, Nature

2003, 424, 411.

[31] I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, K. K. Berggren, Science 2008,

321, 939.

[32] S. J. Jeong, J. E. Kim, H. S. Moon, B. H. Kim, S. M. Kim, J. B. Kim, S. O. Kim, Nano Lett.

2009, 9, 2300.

Page 85: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

69

[33] M. Li, C. A. Coenjarts, C. K. Ober, Adv. Polym. Sci. 2005, 190, 183.

[34] M. Li, C. K. Ober, Mater. Today 2006, 9, 30.

[35] C. A. Ross, K. K. Berggren, J. Y. Cheng, Y. S. Jung, J. B. Chang, Adv. Mater. 2014, 26, 4386.

[36] F. Rose, J. K. Bosworth, E. A. Dobisz, R. Ruiz, Nanotechnology 2011, 22, 035603.

[37] C. He, M. Stoykovich, Adv. Funct. Mater. 2014, DOI 10.1002/adfm.201401810.

[38] H. Jung, D. Hwang, E. Kim, B. J. Kim, W. B. Lee, J. E. Poelma, J. Kim, C. J. Hawker, J. Huh,

D. Y. Ryu, J. Bang, ACS Nano 2011, 5, 6164.

[39] E. Kim, C. Shin, H. Ahn, D. Y. Ryu, J. Bang, C. J. Hawker, T. P. Russell, Soft Matter 2008, 4,

475.

[40] K. Matyjaszewski, J. Xia, Chem. Rev. 2001, 101, 2921.

[41] K. Matyjaszewski, Macromolecules 2012, 45, 4015.

[42] T. E. Patten, K. Matyjaszewski, Adv. Mater. 1998, 10, 901.

[43] I. P. Campbell, C. He, M. P. Stoykovich, ACS Macro Lett. 2013, 2, 918.

[44] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. De Pablo, P. F. Nealey, Nature

2003, 424, 411.

[45] L. Rockford, Y. Liu, P. Mansky, T. Russell, M. Yoon, S. Mochrie, Phys. Rev. Lett. 1999, 82,

2602.

Page 86: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

70

[46] Y. Tada, S. Akasaka, H. Yoshida, H. Hasegawa, E. Dobisz, D. Kercher, M. Takenaka,

Macromolecules 2008, 41, 9267.

[47] Y. Kagawa, H. Minami, M. Okubo, J. Zhou, in Polymer (Guildf)., 2005, pp. 1045–1049.

[48] H. Rettig, E. Krause, H. G. Börner, Macromol. Rapid Commun. 2004, 25, 1251.

[49] J. N. L. Albert, T. H. Epps III, Mater. Today 2010, 13, 24.

[50]T. Thurn-Albrecht, R. Steiner, J. DeRouchey, C. M. Stafford, E. Huang, M. Bal, M. Tuominen,

C. J. Hawker, T. P. Russell, Adv. Mater. 2000, 12, 787.

[51] S. B. Darling, Prog. Polym. Sci. 2007, 32, 1152.

[52] R. A. Segalman, Mater. Sci. Eng. R Reports 2005, 48, 191.

[53] R. Ruiz, N. Ruiz, Y. Zhang, R. L. Sandstrom, C. T. Black, Adv. Mater. 2007, 19, 2157.

[54] M. S. del Río, L. A. T. Montes, Thermochim. Acta 2007, 466, 75.

[55] W. Oh, J. S. Lee, J. Non. Cryst. Solids 2013, 378, 34.

[56] W. P. Maszara, G. Goetz, A. Caviglia, J. B. McKitterick, J. Appl. Phys. 1988, 64, 4943.

[57] E. Han, K. O. Stuen, M. Leolukman, C. C. Liu, P. F. Nealey, P. Gopalan, Macromolecules

2009, 42, 4896.

[58] M. A. J. Schellekens, F. de Wit, B. Klumperman, Macromolecules 2001, 34, 7961.

Page 87: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

71

Chapter 4 Block Copolymer Nanostructures across Scales in Thin films

This chapter was submitted for publication in August 2014.

Figure 4.S1. ToC figure

An epoxide functionalized PS-b-PMMA block copolymer system is developed capable of

self-assembling into nanostructures and subsequently cross-linking in response to heat or light.

The cross-linking process in thin films is characterized in response to thermal-activation or

photoinitation. Positive- and negative-tone optical photopatterning using a dual-tone

nanostructure development process is achieved in a single cross-linkable block copolymer

system, thus enabling such cross-linkable materials to be applied for lithographic applications

across multiple scales.

Keywords: block copolymer, self-assembly, lithography, hierarchical structures, thin film,

polymerization

Page 88: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

72

Abstract

The self-assembly of block copolymers in thin films provides an attractive approach to

patterning 5~100 nm structures. Cross-linking and photopatterning of the self-assembled block

copolymer morphologies provide further opportunities to structure such materials for

lithographic applications, and to also enhance the thermal, chemical or mechanical stability of

such nanostructures to achieve robust templates for subsequent fabrication processes. Atom

transfer radical polymerization was used to synthesize model lamellar-forming diblock

copolymers of polystyrene and poly(methyl methacrylate) with an epoxide functionality. We

demonstrate that self-assembly and cross-linking of the reactive block copolymer materials in

thin films can be decoupled into distinct, controlled process steps using solvent annealing and

thermal treatment / ultraviolet exposure. Conventional optical lithography approaches can also be

applied to the cross-linkable block copolymer materials in thin films and enables simultaneous

structure formation across scales — micrometer scale patterns achieved by photolithography and

lamellar nanostructures with different periods via sequential self-assembly of smaller symmetric

block copolymer on the photopatterned self-assembled symmetric block copolymer in thin film.

1. Introduction

The self-assembly of block copolymers in thin films has been extensively pursued as a

promising candidate for the lithographic patterning of 5~100 nm densely-packed, ordered

nanostructures with high-throughput.[1–4]

Techniques for directed self-assembly, often involving

the use of external fields[5–7]

or guiding chemical[8,9]

and topographic[10–18]

templates, have

achieved success in controlling the long-range perfection, orientation, geometry and dimension,

Page 89: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

73

and spatial registration of block copolymer nanostructures, although challenges still remain[4,19,20]

.

Reactions within these nanostructured systems after self-assembly can provide additional unique

opportunities[21,22]

. For example, selective degradation of one copolymer domain can generate

topographic templates for pattern transfer processes[23–28]

or the block copolymer domains may

be functionalized with spatial control with external inorganic components or small molecules[29–

37]. Furthermore, Ober

[38], Ross

[39], and co-workers have used cross-linkable block copolymers

[poly(α-methylstyrene)-block-4-hydroxystyrene) and poly(styrene-block-dimethylsiloxane),

respectively] in combination with electron beam lithography and solvent vapor annealing to yield

co-existing line and dot patterns in thin films. In both of these cases, the exposed regions become

cross-linked which stabilizes the pre-formed block copolymer nanostructures, such that

subsequent solvent annealing allows the unexposed regions to evolve structurally.

Cross-linking reactions also allow for control over the thermophysical, solubility,

mechanical, and structural properties of block copolymer nanostructures, such as in

phenol-formaldehyde or epoxy resin thermosets at industrial-scales. Recently, benzocyclobutene

(BCB) and azido functionalities have been included in block copolymer systems to fabricate

cross-linked nanoporous polystyrene templates[40]

, control the size of nanoscale domains[41]

, or

achieve insoluble multilayer or three-dimensional assemblies [41–43]

. These thermally- and

ultraviolet-activated cross-linking chemistries are also analogous to those employed in random

copolymers designed to covalently functionalize substrates with tuned wettability and provide

control over block copolymer domain orientations in thin films (e.g., to generate the so-called

‘neutral’ surfaces need to perpendicularly orient block copolymer nanostructures in thin

films)[44–46]

. Cross-linking in the BCB or azido functionalized block copolymers was achieved by

thermal treatment at a temperature (Tcross-linking typically is 250°C) significantly higher than the

Page 90: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

74

self-assembly temperature (Tself-assembly). Thus, processing conditions could be selected such that

self-assembly was performed first and then subsequently, in a distinct step, cross-linking could

be achieved at elevated temperatures. The BCB and azido functionalities, while enabling

decoupled self-assembly and cross-linking processes, may limit the choice of block copolymer

chemistries as the elevated temperatures that are required may cause the self-assembled

nanostructures to further evolve prior to cross-linking. This morphology evolution may result

from inducing order-order transitions[47]

between microphase separated morphologies, exceeding

the order-disorder transition temperature TODT (i.e., Tcross-linking > TODT causing the material to

revert to the disordered state and become unstructured), or thermal degradation.

In this article, we present the implementation of epoxide functionalized

poly(styrene-block-methyl methacrylate) (PS-b-PMMA) block copolymers and characterize their

self-assembly and thermal cross-linking behavior in thin films. The thermal cross-linking of the

epoxide is found to occur at temperatures Tcross-linking > 140°C, which is slightly below the

thermal self-assembly conditions of Tself-assembly 170 - 210°C. Solvent vapor annealing enables

self-assembly at reduced temperatures (often room temperature)[48–51]

and was used here as a

processing route to enhance the rate of self-assembly such that lamellar morphologies could be

achieved prior to cross-linking. Alternatively, unlike the BCB and azido systems, Tcross-linking <

Tself-assembly allows the block copolymer thin films to be cross-linked prior to self-assembly

thereby inhibiting nanostructure formation. The epoxide functionalized block copolymers used

here are also compatible with conventional optical lithography techniques. Epoxide chemistries

are the basis for common negative-tone photoresists such as SU-8, in which the materials

become insoluble upon exposure to ultraviolet (UV) or electron radiation. Similarly, when

combined with a cationic photoinitiator, UV curing of an epoxide functionalized

Page 91: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

75

poly(isoprene-block-ethylene oxide) system has been shown to permanently trap cylinder and

gyroid morphologies[52]

. Here we demonstrate that the epoxide functionalized PS-b-PMMA

system can 1) readily form insoluble and thermally stable cross-linked, self-assembled

nanostructures, 2) decouple self-assembly from cross-linking in thin films by both thermal

treatment and UV photoinitiation, 3) pattern self-assembled films in both ‘positive’- and

‘negative’-tones using 365 nm optical lithography to achieve ~50 nm period lamellar

nanostructures in the exposed and unexposed regions, respectively, and 4) fabricate lamellar

nanostructures with different periods (~50 nm and ~25 nm periods) on the same substrate in

block copolymer thin films rapidly over wafer-scale areas.

Scheme 4.S1. Synthesis of PS-b-P(MMA-r-GMA)

2. Results and Discussion

The results presented here were enabled by the preparation of epoxide functionalized

block copolymers in the model and previously well-characterized polymer pair of polystyrene /

poly(methyl methacrylate)[53]

. Lamellar-forming symmetric block copolymers were synthesized

through atom transfer radical polymerization using the reaction scheme in Scheme 3.S1.[54,55]

Page 92: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

76

Specifically, an uncross-linkable block copolymer poly(styrene-block-methyl methacrylate)

(PS-b-PMMA, Mn = 99.5 kg mol-1

, PDI = 1.14, volume fraction of PS ϕPS = 50.4%) and an

epoxide functionalized, cross-linkable block copolymer poly(styrene-block-(methyl

methacrylate-random-glycidyl methacrylate)) (PS-b-P(MMA-r-GMA) or PS-b-ePMMA, Mn =

93.7 kg mol-1

, PDI = 1.11, ϕPS = 53.4%) were prepared. The epoxide functionality in the

PS-b-ePMMA system (at a loading of 4.5 mol%) may be acid or base catalyzed to react and

polymerize upon thermal treatment[20]

[56]

or in the presence of a photogenerated acid[52]

.

The self-assembly and cross-linking behavior of the epoxide functionalized

PS-b-ePMMA block copolymer in thin films is presented in Figure 4.1 and compared to the

reference, uncross-linkable PS-b-PMMA system. When solvent vapor annealing in a mixed

system of non-preferentially swelling solvent (acetone and cyclohexane) is performed at room

temperature (22°C) for 4 hrs, the self-assembly of characteristic lamellar morphologies in thin

films (i.e., the ‘fingerprint’ structures) are observed in both materials (Figure 4.1a). The lamellar

domains are oriented perpendicular to the substrate, for all thin films considered in this

manuscript, due to pretreatment of the substrate with a random polystyrene / poly(methyl

methacrylate) copolymer mat with ϕPS = 58% that is non-preferentially wetted by either domain

of the block copolymer (often called the ‘neutral’ surface treatment). The lamellar morphologies

self-assembled by the PS-b-ePMMA and PS-b-PMMA materials are well-developed and similar

structurally, and the minor difference in domain periodicity (52 nm versus 48 nm) is the result of

the small disparity in polymer molecular weight[57]

.

Page 93: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

77

Figure 4.1. Top-down scanning electron micrographs of 49 nm thick films of symmetric

PS-b-PMMA copolymer systems on neutral surfaces processed by a) solvent annealing at 22°C

for 4 hrs and b) thermal annealing at 190°C for 24 hrs. Standard uncross-linkable PS-b-PMMA

(left) and cross-linkable PS-b-P(MMA-r-GMA) (right) materials are shown for each processing

condition.

On the other hand, as shown in Figure 4.1b, the microphase separated morphologies

differ drastically for the PS-b-ePMMA and PS-b-PMMA materials when thermally annealed.

The as-deposited block copolymer films were thermally annealed with standard processing

conditions (190°C for 24 hrs) on the same neutral-wetting substrates. The uncross-linkable block

copolymer self-assembled into a standard lamellar morphology (Figure 4.1b, left), whereas the

cross-linkable PS-b-ePMMA exhibited poor self-assembly (Figure 4.1b, right). Thus it is

suggested that the microphase separation in the cross-linkable epoxide functionalized

PS-b-ePMMA material was rapidly arrested due to the kinetics of the cross-linking reaction

being faster than that of self-assembly, i.e., Tcross-linking < Tself-assembly. Although some small

nanostructures are observed upon cross-linking, the morphology is not lamellar and the resulting

microphase separated structures are more similar to those often observed upon spin casting of

Page 94: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

78

block copolymer films from solution[58–60]

. As detailed below, at 190°C cross-linking is nearly

completed within 15 min, suggesting the block copolymer does not have an opportunity to

significantly microphase separate or evolve significantly from the as-deposited state (Figure

4.S1). During thermal treatment of the epoxide functionalized block copolymer thin films, the

cross-linking reaction is thought to be initiated by acidic groups on the substrate and thus

propagates from the substrate to the top surface of the film. This is supported, in part, by prior

observations that similar epoxide functionalized polymers in the bulk are thermally stable at

significantly higher temperatures[52]

(277°C). The ability to either self-assemble the

PS-b-ePMMA material by solvent annealing, or to cross-link it to prohibit nanostructure

formation, indicates that the competing self-assembly and cross-linking processes may be

decoupled.

Figure 4.2. Self-assembly and self-healing of lamellar nanostructures using the cross-linkable

PS-b-PMMA system in 49 nm thin films on neutral surfaces. Top-down SEM images after a)

self-assembly by solvent annealing at 22°C for 4 hrs, b) cross-linking by thermal treatment at

190°C for 4 hrs, c) sonication in a toluene bath for 3 min to test the insolubility of the

cross-linked structure, and d) regeneration of the microphase separated lamellar structures upon

thermal annealing at 190°C for as short as 30 s.

Figure 4.2 qualitatively demonstrates the effect of cross-linking on the solubility of block

copolymer nanostructures self-assembled in thin films. After self-assembly by solvent annealing

(Figure 4.2a), lamellar nanostructures formed by PS-b-ePMMA in thin films were cross-linked

Page 95: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

79

by thermal treatment (190°C for 4 hrs). The lamellar morphology and domain periodicity (L0 =

48 nm) of the cross-linkable block copolymer were maintained (Figure 4.2b). To test the extent

of cross-linking and the solubility of the resulting nanostructures, the thin films were immersed

in a toluene bath and sonicated for 3 min at 22°C. Figure 4.2c shows that the cross-linked

PS-b-ePMMA nanostructures are stable and not dissolved, unlike uncross-linked PS-b-PMMA,

PS or PMMA thin films, under these harsh conditions. Toluene can effectively solubilize both PS

and PMMA (e.g., it is used as the spin casting solvent used to deposit the block copolymer films),

and sonication is often used as means for providing physical energy at the surface for the

purposes of cleaning. Instead of being solubilized, the cross-linked lamellae underwent surface

reconstruction, in which the PS domain that was not cross-linked swelled significantly in the

good solvent and rearranged at a molecular-level, thereby preferentially covering the free surface

of all of the PMMA domains. Similar reversible surface reconstructions have been caused in thin

films by exposure of self-assembled block copolymer nanostructures to a solvent that is selective

to a single domain [61–63]

. The SEM image in Figure 4.2c characterizes the topographic

differences in the surface reconstructed film and, although the lamellar morphology is

maintained, the domains appear less clear due to the extensively swollen state of the PS layer

even upon drying. The PMMA domain, due its high cross-linking density, remains insoluble and

unswollen in the solvent and stabilizes the nanostructures. If the PS domains were also

cross-linked, for example by inclusion of epoxide functionalities into both domains of the block

copolymer, it is anticipated that the self-assembled nanostructures would not undergo surface

reconstruction or otherwise be affected by exposure to solvent. The lamellar morphology and

dimension may be recovered or self-healed upon subsequent thermal annealing at 190°C for as

short as 30 s as shown in Figure 4.2d. This indicates that the phase separated morphology is the

Page 96: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

80

thermodynamic equilibrium structure and can be regenerated with relatively minimal energy

input by thermal treatment.

Figure 4.3. The extent of copolymer cross-linking as reported by the normalized residual film

thickness (relative to the initial, as-deposited film thickness) as a function of the thermal

annealing temperature and time.

The extent of the epoxide cross-linking reaction in the self-assembled (via solvent

annealing) block copolymer thin films was measured as a function of the thermal treatment

conditions, as reported in Figure 4.3. The residual film thickness was characterized by

ellipsometry after cross-linking by thermal treatment (130 - 230°C for 5 - 60 min) and solvent

treatment / sonication to remove unreacted polymer. The normalized residual thickness is

reported relative to the as-deposited film thickness and is dependent on both the thermal

treatment time and temperature. It is found that the epoxide cross-linking reaction does not occur

significantly at 130 or 140°C even for extended treatment times (60 min). Cross-linking is

significant and rapid, however, when the epoxide functionalized block copolymers are treated at

temperatures of 150°C or higher. Note that the normalized film thickness upon thermal treatment

plateaus at ~90%, with this 10% reduction in film thickness being attributed to the densification

Page 97: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

81

of the film upon heating[64]

and contractile film stresses upon cross-linking[65]

. Even faster

cross-linking (≤ 5 min) requires more elevated temperatures (190°C or higher). These

measurements of cross-linking temperature-dependent kinetics are consistent with our prior

observations that the cross-linking reaction effectively outcompetes the self-assembly process at

elevated temperatures. This conclusion is further supported by the work of Welander et al.[66]

, in

which directed self-assembly via thermal annealing of lamellar-forming PS-b-PMMA systems

with molecular weights of ~100 kg mol-1

on chemical surface patterns has been found to occur

within times of 40~50 and 4~7 min at 190 and 210°C, respectively, which are thermal conditions

at which the epoxide cross-linking reaction would be complete.

Figure 4.4. Schematics of the photopatterning process in a) positive- and b) negative-tones using

the cross-linkable block copolymer materials. In the positive-tone system a), the lamellar

nanostructures are self-assembled by solvent annealing prior to photopatterning and

post-exposure baking that locally cross-links the self-assembled nanostructures, and is followed

by a development step to remove the uncross-linked block copolymer nanostructures. In the

negative-tone system b), photopatterning and post-exposure baking is performed prior to

self-assembly to cross-link the block copolymer in a disordered state, such that subsequent

solvent annealing allows self-assembly in only the unexposed regions of the thin film.

The epoxide functionalized PS-b-ePMMA block copolymer systems are compatible with

optical lithography techniques and may be used as a photoresist material for simultaneously

Page 98: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

82

patterning films at the nano- and micro-scales. Figure 4.4 illustrates processing approaches in

which, using the same cross-linkable block copolymer material, the self-assembled

nanostructures may be developed in a dual-tone: 1) a ‘positive’-tone in which the nanostructures

are generated in the photo-exposed regions and 2) a ‘negative’-tone in which the nanostructures

are formed in the unexposed, uncross-linked regions. In the positive-tone system (Figure 4.4a),

the lamellar nanostructures are self-assembled by solvent annealing prior to photopatterning and

post-exposure baking that locally cross-links the self-assembled nanostructures, and is followed

by a development step in a good solvent to remove the uncross-linked block copolymer

nanostructures. In the negative-tone system (Figure 4.4b), photopatterning and post-exposure

baking is performed prior to self-assembly to cross-link the block copolymer in the unstructured

state, such that subsequent solvent annealing allows self-assembly in only the unexposed regions

of the thin film. Compared with cross-linking that occurs upon thermal treatment (Figure 4. 1-3),

cross-linking initiated by a photo-generated acid allows the reaction to proceed at milder

conditions (i.e., temperature and time). Here a post-exposure bake of 130°C for 60 min was used

to allow the cross-linking reaction to proceed to completion after photopatterning. Unexposed

PS-b-ePMMA, in comparison, remains relatively uncross-linked under identical thermal

treatment conditions (see Figure 4.3). Therefore, cross-linking by photopatterning can be

implemented as a distinct process from self-assembly or cross-linking by thermal treatment,

thereby enabling the dual-tone nanostructure development.

The combination of block copolymer lithography and optical lithography allows the

simultaneous patterning of structures at two distinct length scales - nanostructures through

bottom-up self-assembly and microstructures defined through top-down lithography. Figure 4.5

shows top-down optical and SEM images of the positive- and negative- tone patterning, with the

Page 99: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

83

self-assembled nanostructures generated in the exposed regions. The top-down SEM outlined in

green of Figure 4.5a proves that the self-assembled PS-b-ePMMA lamellae were maintained

after cross-linking and development, while the unexposed regions have been fully removed after

development in toluene. Higher magnification images of the boundary between the exposed and

Page 100: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

84

Figure 4.5. Optically photo-patterned self-assembled block copolymer thin films in the a)

positive-tone after developing in toluene for 30 s and b) negative-tone after solvent vapor

annealing: optical microscope images and top-down SEM images at low and high

magnifications.

unexposed regions of the lithographical defined micropattern (top-down SEM outlined in blue of

Figure 4.5a) indicate some residual nanostructures in the unexposed regions, which likely result

from diffusion of the photo-generated acid during the post-exposure bake required for

cross-linking, contrast limitations in the block copolymer photoresist material, and a

less-than-ideal aerial image provided on the wafer by the exposure tool. Figure 4.5b shows

results of the negative-tone patterning process, in which the self-assembled nanostructures were

generated in the unexposed regions. There is no topography in this film, unlike the positive-tone

system, because there is no material solubilized and removed upon nanostructure development.

The UV exposed regions also show a small extent of microphase separation, similar to that

observed in the thermally cross-linked thin films shown in Figure 4.1b, which suggests that

photo-patterning locks in the material as-deposited.

Figure 4.6. Dose response curves for the PS-b-ePMMA material with diphenyliodonium

hexafluorophosphate photoinitiator under controlled post-exposure baking and standard

Page 101: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

85

development conditions (toluene bath at 22°C for 30 s) as characterized by the normalized

residual film thickness.

In the photopatterning experiments, the cross-linkable PS-b-ePMMA in thin films was

patterned using 365 nm ultraviolet lithography and the cationic photoinitiator diphenyliodonium

hexafluorophosphate (DPIHP). Note that for the PS / PMMA system, the use of 365 nm UV

exposures is ideal so as to avoid degradation of the PMMA via chain scission that occurs upon

exposure to higher energy UV light ( 254 nm)[24,67]

. Figure 4.6 shows photoresist response

curves for the PS-b-ePMMA material in terms of the normalized residual thickness as a function

of exposure dose. Three different post-exposure bake conditions were considered, with a

post-exposure bake at 130°C for 60 min showing the highest photoresist sensitivity and contrast.

Thus, while the lithographic conditions presented here are useful for a proof-of-concept

demonstration, further optimization for higher sensitivity or better resolution may be achieved

through the selection of a more sophisticated photoinitiator or different baking conditions.

Regardless, the post-apply and post-exposure baking steps remain limited, for the purposes of

photopatterning, to conditions under which little thermally induced crosslinking occurs as

specified in Figure 4.3.

Page 102: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

86

Figure 4.7. Fabrication schematic and top-down SEM image of lamellar nanostructure with

distinctively different periodicities (48 nm for PS-b-ePMMA: 93.7 kg mol-1

, PDI = 1.11, ϕPS =

53.4%, GMA: 4.5 mol%, left; 26 nm for PS-b-PMMA: 51 kg mol-1

, PDI = 1.06, ϕPS = 51.9%).

An example of the fabrication of lamellar nanostructures with different periods was

explored by spincast and self-assembly of a smaller symmetric block copolymer onto the toluene

developed positive-tone nanostructure. Figure 4.7 shows the top-down SEM image and FFT

plots of two self-assembled symmetric block copolymers with distinct periodicities next to each

other where the left shows the cross-linked PS-b-ePMMA (L0 = 48 nm) while the right shows

the sequentially deposited and self-assembled PS-b-PMMA (L0 = 26 nm). The successful

fabrication of this unique type of nanostructure was achieved because positive-tone system has

topography that would allow later spincasted smaller symmetric block copolymer flow to the

Page 103: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

87

lower PS-b-ePMMA developed neutral surface to micro-phase to form perpendicular lamellar

nanostructure. This approach to making nanostructures with different period on the sample wafer

enables precise region control of the formation of self-assembled nanostructure with different

periods with high through-put because of its great compatibility with conventional optical

lithography.

3. Conclusion

The work presented here demonstrates the potential of epoxide functionalized block

copolymer materials to simultaneously fabricate structures across multiple length scales. The

incorporation of reactive groups capable of cross-linking, in response to thermal- or

photo-initiation, allowed the self-assembling materials to be integrated as a photoresist for

optical lithography. Interestingly a dual-tone nanostructure development process fabricated

patterns with opposite tone in a single material, with ~25 nm nanostructures being selectively

self-assembled in either the exposed or unexposed regions. Deposition and self-assembly of

smaller symmetric block copolymer in thin films on top of the developed positive-tone

nanostructure allows the fabrication of lamellar nanostructures with different periods on a same

wafer with high through-put. Enabling to all of the fabrication was the design of unique process

conditions capable of decoupling block copolymer self-assembly from thermal cross-linking

from photo-initiated cross-linking. Future implementations of this combined bottom-up and

top-down fabrication approach may be improved by drawing upon existing knowledge of

conventional chemically amplified photoresists and optical lithography tools to yield materials

with higher sensitivities, higher contrast, better resolution, or improved etch resistance.

Page 104: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

88

Additionally, the cross-linking of self-assembled block copolymer thin films makes possible the

layer-by-layer assembly of stable three-dimensional structures, including those with controlled

sidewall profiles useful for pattern transfer processes.

4. Experimental Section

Synthesis of cross-linkable block copolymer.

The PS-b-P(MMA-r-GMA) block copolymer was synthesized via sequential atom

transfer radical polymerization (ATRP). The monomers styrene (Sigma-Aldrich, stabilized, 99%)

and methyl methacrylate (Acros, stabilized, 99%) were purified by distillation under reduced

pressure. The catalyst copper (I) bromide was purified as reported previously[68]

. All other

chemicals and solvents were used as received. The polymerization started by synthesizing a

polystyrene (PS) macroinitiator with bromine at one end, using the purified styrene monomer,

(1-bromoethyl)benzene (Sigma-Aldrich, 97%) as the initiator, copper (I) bromide as the catalyst,

and N,N,N′,N′,N′′-pentamethyldiethylenetriamine as the stabilizing ligand in the absence of

solvent at 100°C. The PS macroinitiator (M.W. = 47.3 kg mol-1

, PDI = 1.04) was used as the

initiator to grow the second block. The symmetric block copolymers PS-b-PMMA (99.5 kg mol-1

,

PDI = 1.14, volume fraction of PS ϕPS = 50.4%) and PS-b-P(MMA-r-GMA) (93.7 kg mol-1

, PDI

= 1.11, ϕPS = 53.4%, GMA: 4.5 mol%) were prepared by polymerizing in anhydrous THF at

50°C the purified methyl methacrylate and glycidyl methacrylate (MP Biomedicals, 99%)

monomers, using copper (I) chloride as the catalyst and using

N,N,N′,N′,N′′-pentamethyldiethylenetriamine as the ligand[69]

. The composition of the block

Page 105: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

89

copolymers and the PS macroinitiator were characterized by 1H NMR as shown in the spectra of

Figures 4.S2-S4.

Figure 4.S2. Partial 1H NMR spectrum of PSBr in CDCl3 at 22 °C

1H NMR (300 MHz, CDCl3,

δ): 7.32-6.67 (br, 3H, ArH), 6.67-6.20 (br, 2H, ArH), 2.06-1.65 (br, 3H, CH3), 1.64-1.22 (br, 2H,

CH2).

Page 106: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

90

Figure 4.S3. Partial 1H NMR spectrum of PS-b-P(MMA-r-GMA) in CDCl3 at 22 °C

1H NMR

(300 MHz, CDCl3, δ): 7.37-6.85 (br, 3H, ArH), 6.84-6.28 (br, 2H, ArH), 4.37-4.25 (m, 1H; CH2),

3.88-3.71 (m, 1H, CH2), 3.70-3.44 (br, 3H, CH3), 3.27-3.17 (m,1H, CH), 2.90-2.82 (br, 1H,

CH2), 2.69-2.60 (m, 1H, CH2), 2.11-1.65 (br, 3H, CH3), 1.62-1.24 (br, 2H, CH2), 1.11-0.95 (br,

1H, CH), 0.95-0.64 (br, 2H, CH2).

Page 107: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

91

Figure 4.S4. Partial 1H NMR spectrum of PS-b-PMMA in CDCl3 at 22 °C

1H NMR (300 MHz,

CDCl3, δ): 7.37-6.85 (br, 3H, ArH), 6.84-6.28 (br, 2H, ArH), 3.76-3.43 (br, 3H, CH3), 2.17-1.65

(br, 3H, CH3), 1.62-1.24 (br, 2H, CH2), 1.11-0.93 (br, 1H, CH), 0.93-0.60 (br, 2H, CH2).

Self-assembly and thermally-activated cross-linking.

A neutral Si wafer substrate for the self-assembly of lamellar-forming PS-b-PMMA block

copolymers with perpendicular orientations in thin films was prepared by coating with a

poly(styrene-random-methyl methacrylate-random-glycidyl methacrylate) brush

[P(S0.58-r-MMA0.31-r-GMA0.01) in mole fractions][44,56,70]

. The random copolymer was synthesized

by free-radical polymerization by heating up a mixture of styrene, methyl methacrylate, glycidyl

methacrylate and azobisisobutyronitrile (AIBN) in toluene to 80°C for 8 hrs and purified by

precipitating in methanol and filtration. The composition of the random copolymer was

characterized by 1H NMR. Silicon wafers were purchased from Montco Silicon Technologies, Inc

Page 108: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

92

and piranha treated with a mixture of 30% H2O2 / 70% H2SO4 (v/v) at 70°C for 20 min. The

cleaned Si substrates were rinsed sequentially with distilled water, acetone (Fisher, 99%), and

toluene (Fisher, 99%). A 0.3 wt% solution of the P(S0.58-r-MMA0.31-r-GMA0.01) brush in

anhydrous toluene (EMD Chemicals, 99.8 wt%) was prepared, which was then spin cast on the

clean Si wafers to a film thickness of 10 nm. Annealing at 170°C for 4 hrs cross-linked the random

copolymer onto the substrate, and subsequent sonication in toluene removed any unreacted

polymer.

Thin films (~50 nm) of uncross-linkable PS-b-PMMA or cross-linkable

PS-b-(PMMA-r-GMA) block copolymer were spincast onto the prepared neutral substrates.

Block copolymer solutions in anisole (Sigma-Aldrich, anhydrous 99.7%) were prepared at a

concentration of 1.5 wt% by weighing out dry polymer and adding the solvent dropwise.

Photo-sensitive systems of cross-linkable block copolymer were prepared at a concentration of

1.425 wt% PS-b-P(MMA-r-GMA) and 0.075 wt% diphenyliodonium hexafluorophosphate in

anisole.

Self-assembly of the uncross-linkable and cross-linkable block copolymer morphologies

in thin films was performed using either solvent vapor or thermal annealing. Solvent vapor

annealing used a saturated vapor of mixed solvents consisting of acetone and cyclohexane

(Fisher, 99%) achieved by adding 30 mL each to the bottom of a glass desiccator (1.5 L volume).

The block copolymer samples were suspended and sealed in the solvent-rich environment for 4

hrs, thereby allowing the thin films to swell significantly[71–73]

. The solvent vapor annealing

process was terminated by opening the chamber to vacuum to remove the solvent vapor and by

allowing the thin films to further dry in air prior to SEM imaging.

Page 109: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

93

Thermal annealing of uncross-linkable block copolymer materials led to the

self-assembly of lamellae in thin films (typical conditions of 190°C for 24 hrs under ~10 Torr

vacuum). Cross-linkable block copolymer materials that were thermally treated under similar

conditions were cross-linked and unable to microphase separate into well-developed lamellar

morphologies. Note, however, that thermal annealing (190°C for 1 hr under ~10 Torr vacuum)

was used to complete the cross-linking reaction in the self-assembled morphologies of the

cross-linkable block copolymer achieved by solvent vapor annealing. The thermal-activated

cross-linking conditions (130 - 230°C for 5, 10 or 60 min) were studied by annealing block

copolymer nanostructures self-assembled by solvent annealing. The thermally treated samples

were sonicated in a toluene bath at 22°C for 3 min and thermally annealed at 190°C for 1 hr. The

residual film thickness was measured by ellipsometry and reported relative to the thickness of an

as-deposited block copolymer thin film.

Photopatterning block copolymer nanostructures in positive- and negative-tones.

The cross-linkable block copolymer was photopatterned in a positive-tone as follows:

Block copolymer lamellae with 5.0 wt% diphenyliodonium hexafluorophosphate photoinitiator

were self-assembled in thin films on neutral substrates via solvent annealing and then exposed to

UV light (Karl Suss MJB4 Mask Aligner at λ = 365 nm and an exposure dose of 12 J/cm2)

through a chrome photomask. A post exposure bake was performed at 130°C for 1 hr. The

samples were then developed in toluene for 30 s to remove uncross-linked material from the

unexposed region. The cross-linkable block copolymer was photopatterned in a negative-tone as

follows: First, the as spincast cross-linkable block copolymer thin films with 5.0 wt%

photoinitiator were exposed UV light (Karl Suss MJB4 Mask Aligner at λ = 365 nm and an

Page 110: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

94

exposure dose of 12 J/cm2) through a chrome photomask. The exposed thin film samples were

baked at 130°C for 1 hr, followed by solvent annealing for 4 hrs.

The photoresist dose response curves for PS-b-ePMMA with 5.0 wt% photoinitiator (130

- 230°C for 5, 10 or 60 min) were studied by exposing (2.79 - 39.06 J/cm2 at 365 nm) and

post-exposure baking (110°C for 60 min, and 130°C for 5 or 60 min) the nanostructures

self-assembled in thin films by solvent annealing. The UV exposed and post-baked samples were

developed in a toluene bath at 22°C for 30 s and thermally annealed at 190°C for 5 min. The

residual film thickness was measured by ellipsometry and reported relative to the thickness of an

as-deposited block copolymer thin film.

Thin films (~26 nm) of small block copolymer PS-b-PMMA (51 kg mol-1

, PDI = 1.06,

ϕPS = 51.9%) were spincast onto the developed photopatterned PS-b-ePMMA thin film on top of

neutral substrate. The thin film sample was treated by a process of 2 hrs solvent annealing under

the vapor of saturated acetone and cyclohexane to allow the small block copolymer to form

self-assembled lamellar nanostructures.

Nanostructure characterization.

The block copolymer morphology in thin films was imaged by scanning electron

microscopy (SEM) using a JEOL JSM-7401F. Top-down SEM images were collected at an

accelerating voltage of 1 kV and a gun emission current of ~10 mA in gentle-beam mode. All film

thicknesses were measured by ellipsometry (J.A. Woollam VASE-VB 250, angle: 70°,

wavelength: 500~800 nm).

Page 111: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

95

Acknowledgements

This research was supported by the University of Colorado and the Colorado Nanofabrication

Laboratory through the National Science Foundation (Grant No. ECS-0335765). This work was

performed in part at the University of Colorado’s Nanomaterials Characterization Facility.

References

[1] Y. S. Jung, C. A. Ross, Small 2009, 5, 1654.

[2] S. Park, B. Kim, A. Cirpan, T. P. Russell, Small 2009, 5, 1343.

[3] J. N. L. Albert, T. H. Epps III, Mater. Today 2010, 13, 24.

[4] M. Luo, T. H. Epps, Macromolecules 2013, 46, 7567.

[5] K. Amundson, E. Helfand, X. N. Quan, S. D. Hudson, S. D. Smith, Macromolecules 1994,

27, 6559.

[6] T. Xu, A. V Zvelindovsky, G. J. A. Sevink, K. S. Lyakhova, H. Jinnai, T. P. Russell,

Macromolecules 2005, 38, 10788.

[7] M. Ruppel, C. W. Pester, K. M. Langner, G. J. A. Sevink, H. G. Schoberth, K. Schmidt, V.

S. Urban, J. W. Mays, A. Boker, ACS Nano 2013, 7, 3854.

[8] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. de Pablo, P. F. Nealey,

Nature 2003, 424, 411.

Page 112: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

96

[9] M. P. Stoykovich, M. Muller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F.

Nealey, Science 2005, 308, 1442.

[10] J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, G. J. Vancso, Appl. Phys. Lett. 2002,

81, 3657.

[11] R. A. Segalman, Mater. Sci. Eng. R-Reports 2005, 48, 191.

[12] I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, K. K. Berggren, Science

2008, 321, 939.

[13] F. A. Detcheverry, P. F. Nealey, J. J. de Pablo, Macromolecules 2010, 43, 6495.

[14] Y. Ishida, Y. Tada, T. Hirai, R. Goseki, M. Kakimoto, H. Yoshida, T. Hayakawa, J.

Photopolym. Sci. Technol. 2010, 23, 155.

[15] S. J. Jeong, H. S. Moon, B. H. Kim, J. Y. Kim, J. Yu, S. Lee, M. G. Lee, H. Choi, S. O.

Kim, ACS Nano 2010, 4, 5181.

[16] S. J. Jeong, H. S. Moon, J. Shin, B. H. Kim, D. O. Shin, J. Y. Kim, Y. H. Lee, J. U. Kim,

S. O. Kim, Nano Lett. 2010, 10, 3500.

[17] S. Kim, D. O. Shin, D. G. Choi, J. R. Jeong, J. H. Mun, Y. B. Yang, J. U. Kim, S. O. Kim,

J. H. Jeong, Small 2012, 8, 1563.

[18] K. G. A. Tavakkoli, S. M. Nicaise, A. F. Hannon, K. W. Gotrik, A. Alexander-Katz, C. A.

Ross, K. K. Berggren, Small 2014, 10, 493.

Page 113: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

97

[19] M. P. Stoykovich, P. F. Nealey, Mater. Today 2006, 9, 20.

[20] D. J. C. Herr, J. Mater. Res. 2011, 26, 122.

[21] S. X. Ji, C. C. Liu, G. L. Liu, P. F. Nealey, ACS Nano 2010, 4, 599.

[22] Y. H. La, S. M. Park, R. P. Meagley, M. Leolukman, P. Gopalan, P. F. Nealey, Insik-In, J.

Vac. Sci. Technol. B 2007, 25, 2508.

[23] L. Nick, A. Lippitz, W. Unger, A. Kindermann, J. Fuhrmann, Langmuir 1995, 11, 1912.

[24] T. Thurn-Albrecht, R. Steiner, J. DeRouchey, C. M. Stafford, E. Huang, M. Bal, M.

Tuominen, C. J. Hawker, T. Russell, Adv. Mater. 2000, 12, 787.

[25] S. Y. Lu, C. H. Chang, C. H. Yu, H. L. Chen, Y. H. Lo, J. Mater. Res. 2005, 20, 1523.

[26] R. Olayo-Valles, S. W. Guo, M. S. Lund, C. Leighton, M. A. Hillmyer, Macromolecules

2005, 38, 10101.

[27] E. J. W. Crossland, P. Cunha, S. Scroggins, S. Moratti, O. Yurchenko, U. Steiner, M.

Hillmyer, S. Ludwigs, ACS Nano 2010, 4, 962.

[28] C. Cummins, P. Mokarian-Tabari, J. D. Holmes, M. A. Morris, J. Appl. Polym. Sci. 2014,

131, DOI Artn 40798 Doi 10.1002/App.40798.

[29] W.-S. Young, T. H. Epps,, Macromolecules 2009, 42, 2672.

[30] J. Kao, K. Thorkelsson, P. Bai, B. J. Rancatore, T. Xu, Chem. Soc. Rev. 2013, 42, 2654.

Page 114: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

98

[31] J. P. Spatz, T. Herzog, S. Mößmer, P. Ziemann, M. Möller, Adv. Mater. 1999, 11, 149.

[32] S. H. Kim, M. J. Misner, L. Yang, O. Gang, B. M. Ocko, T. P. Russell, Macromolecules

2006, 39, 8473.

[33] J. Zhang, Y. Gao, R. A. Alvarez-Puebla, J. M. Buriak, H. Fenniri, Adv. Mater. 2006, 18,

3233.

[34] J. Chai, D. Wang, X. Fan, J. M. Buriak, Nat. Nanotechnol. 2007, 2, 500.

[35] M. Aizawa, J. M. Buriak, J. Am. Chem. Soc. 2006, 128, 5877.

[36] M. Aizawa, J. M. Buriak, Chem. Mater. 2007, 19, 5090.

[37] M. Aizawa, J. M. Buriak, J. Am. Chem. Soc. 2005, 127, 8932.

[38] J. K. Bosworth, M. Y. Paik, R. Ruiz, E. L. Schwartz, J. Q. Huang, A. W. Ko, D. M.

Smilgies, C. T. Black, C. K. Ober, ACS Nano 2008, 2, 1396.

[39] J. G. Son, J. B. Chang, K. K. Berggren, C. A. Ross, Nano Lett. 2011, 11, 5079.

[40] J. M. Leiston-Belanger, T. P. Russell, E. Drockenmuller, C. J. Hawker, Macromolecules

2005, 38, 7676.

[41] E. Kim, C. Shin, H. Ahn, D. Y. Ryu, J. Bang, C. J. Hawker, T. P. Russell, Soft Matter

2008, 4, 475.

[42] H. Jung, D. Hwang, E. Kim, B. J. Kim, W. B. Lee, J. E. Poelma, J. Kim, C. J. Hawker, J.

Huh, D. Y. Ryu, J. Bang, ACS Nano 2011, 5, 6164.

Page 115: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

99

[43] C. A. Ross, K. K. Berggren, J. Y. Cheng, Y. S. Jung, J. B. Chang, Adv. Mater. 2014, 26,

4386.

[44] P. Mansky, Y. Liu, E. Huang, T. P. Russell, C. J. Hawker, Scienc 1997, 275, 1458.

[45] E. Huang, L. Rockford, T. P. Russell, C. J. Hawker, Nature 1998, 395, 757.

[46] D. Y. Ryu, K. Shin, E. Drockenmuller, C. J. Hawker, T. P. Russell, Science 2005, 308,

236.

[47] D. A. Hajduk, S. M. Gruner, P. Rangarajan, R. A. Register, L. J. Fetters, C. Honeker, R. J.

Albalak, E. L. Thomas, Macromolecules 1994, 27, 490.

[48] Y. S. Jung, C. A. Ross, Nano Lett. 2007, 7, 2046.

[49] S. H. Kim, M. J. Misner, T. Xu, M. Kimura, T. P. Russell, Adv. Mater. 2004, 16, 226.

[50] S. Park, J. Y. Wang, B. Kim, J. Xu, T. P. Russell, ACS Nano 2008, 2, 766.

[51] I. P. Campbell, C. L. He, M. P. Stoykovich, ACS Macro Lett. 2013, 2, 918.

[52] V. F. Scalfani, T. S. Bailey, Chem. Mater. 2010, 22, 5992.

[53] K. W. Guarini, C. T. Black, S. H. I. Yeuing, Adv. Mater. 2002, 14, 1290.

[54] M. Kamigaito, T. Ando, M. Sawamoto, Chem. Rev. 2001, 101, 3689.

[55] K. Matyjaszewski, J. H. Xia, Chem. Rev. 2001, 101, 2921.

[56] E. Han, K. O. Stuen, Y. H. La, P. F. Nealey, P. Gopalan, Macromolecules 2008, 41, 9090.

Page 116: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

100

[57] G. L. Liu, M. P. Stoykovich, S. X. Ji, K. O. Stuen, G. S. W. Craig, P. F. Nealey,

Macromolecules 2009, 42, 3063.

[58] J. N. L. Albert, W. S. Young, R. L. Lewis, T. D. Bogart, J. R. Smith, T. H. Epps, ACS

Nano 2012, 6, 459.

[59] X. H. Zhang, J. F. Douglas, R. L. Jones, Soft Matter 2012, 8, 4980.

[60] E. Kim, H. Ahn, S. Park, H. Lee, M. Lee, S. Lee, T. Kim, E. A. Kwak, J. H. Lee, X. Lei, J.

Huh, J. Bang, B. Lee, D. Y. Ryu, ACS Nano 2013, 7, 1952.

[61] H. K. Choi, J. Gwyther, I. Manners, C. A. Ross, ACS Nano 2012, 6, 8342.

[62] T. Xu, J. Stevens, J. A. Villa, J. T. Goldbach, K. W. Guarim, C. T. Black, C. J. Hawker, T.

R. Russell, Adv. Funct. Mater. 2003, 13, 698.

[63] T. Xu, J. T. Goldbach, M. J. Misner, S. Kim, A. Gibaud, O. Gang, B. Ocko, K. W. Guarini,

C. T. Black, C. J. Hawker, T. P. Russell, Macromolecules 2004, 37, 2972.

[64] V. Lupascu, H. Huth, C. Schick, M. Wubbenhorst, Thermochim. Acta 2005, 432, 222.

[65] W. Oh, J. S. Lee, J. Non. Cryst. Solids 2013, 378, 34.

[66] A. M. Welander, H. M. Kang, K. O. Stuen, H. H. Solak, M. Muller, J. J. de Pablo, P. F.

Nealey, Macromolecules 2008, 41, 2759.

[67] S. J. Jeong, G. D. Xia, B. H. Kim, D. O. Shin, S. H. Kwon, S. W. Kang, S. O. Kim, Adv.

Mater. 2008, 20, 1898.

Page 117: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

101

[68] R. N. Keller, H. D. Wycoff, Inorg. Synth. 1946, 2, 1.

[69] M. A. J. Schellekens, F. de Wit, B. Klumperman, Macromolecules 2001, 34, 7961.

[70] E. Huang, T. P. Russell, C. Harrison, P. M. Chaikin, R. A. Register, C. J. Hawker, J. Mays,

Macromolecules 1998, 31, 7641.

[71] K. A. Cavicchi, K. J. Berthiaume, T. P. Russell, Polymer 2005, 46, 11635.

[72] B. Kim, S. W. Hong, S. Park, J. Xu, S. K. Hong, T. P. Russell, Soft Matter 2011, 7, 443.

[73] E. O. Ningrum, C. C. Chang, C. T. Lo, J. Macromol. Sci. Part B-Physics 2011, 50, 1298.

Page 118: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

102

Chapter 5 Interfacial Roughness of Self-assembled Lamellae in Block

Copolymer Thin Films

Abstract

Biblock copolymers are attractive for fabricating structures with 5-50 nm dimensions.

The ability of such materials to self-correct or ‘heal’ nanoscale defects is of importance for

future lithographic applications. Reduced interfacial roughness and enhanced dimensional

control have been demonstrated to occur at the molecular-level when the block copolymers are

directed to self-assemble on chemically patterned surfaces or in topographic structures. Here we

demonstrate that the self-assembling methods can also significantly influence interfacial

roughness. Lamellar-forming block copolymer / homopolymer blends, were directed to

self-assemble on chemically patterned substrates and processed by solvent-annealing at room

temperature. The lamellae were subsequently thermally-processed in a step distinct from the

self-assembly process. Spectral analysis of the interfacial roughness was compared between the

solvent-annealed and thermally annealed block copolymer materials, and the thermally annealed

sample was quantified to have lower interfacial roughness due to a higher surface tension and

stronger coupling between neighboring interfaces. Solvent annealing reduces the interfacial

tension and interface-interface coupling leading to high interfacial roughness. Thermal annealing

recovers low interfacial roughness.

Page 119: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

103

Introduction

The microelectronics industry constantly strives to improve the operation speed of

microprocessors and the storage density of memory devices to continue their historical pace

indicated by the so-called “Moore’s law”.[1]

However, advanced lithographic techniques and

photoresist materials are rapidly reaching their extremes in patterning the ever-decreasing

dimensions required for semiconductor devices like transistors in integrated circuits (e.g., in

microprocessor units (MPU)) and dynamic random access memory (DRAM). The semiconductor

industry specified the requirements for photoresists and lithographic exposure tools at future

technology milestones in the International Technology Roadmap for Semiconductors (ITRS)[2]

as in Table 1. Particularly challenging has been the incapability of conventional photoresist

materials to achieve the critical dimension control (CD referring to the dimension of the smallest

patterned feature of importance, e.g., the transistor gate length in MPUs) and line edge roughness

(LER) requirements, both of which should have been at sub 3 nm levels by the year 2012. [2]

The

frequency of the line edge roughness as well as the magnitude of the LER are important

considerations for the devices to be fabricated and affects the operation of transistors,[3,4]

interconnect wire resistances,[5,6]

and dopant concentration profiles. Block copolymers

self-assembly approaches are actively being studied as a next generation lithography technique,

not only due to the ability of these materials to spontaneously form large arrays of lamellar or

cylindrical structures at the necessary resolution, but also because the molecular-level control

over the structure dimensions and interfaces is possible.[7,8]

For symmetric block copolymers,

line and space structures can be fabricated with line edges defined by the interfaces between

lamellar domains. The width and structure of the interfaces are governed by system parameters

such as the Flory-Huggins interaction parameter and the degree of polymerization.[9,10]

Page 120: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

104

Self-assembly of lamellar structures into arrays with long-range order is complicated by low

energy penalty defects such as disclinations, dislocations, and bending of the domain interfaces

with relatively large radii of curvatures compared to the domain periodicity.

Table 5. 1. Fabrication requirements for industrial semiconductor devices given by ITRS

Directed self-assembly of block copolymers on chemical surface patterns[11,12]

is an

attractive approach to self-assembling structures with long-range order and a high degree of

perfection that are positioned precisely on the substrate and in geometries relevant to the

patterning of semiconductor devices. [12,13]

The preferential wetting of the block copolymer

domains on the chemical patterns in this approach greatly increases the energetic penalty of

common block copolymer defects and therefore prohibits their formation. However, most these

studies on directed self-assembly of block copolymer were carried out by thermal annealing.

Here we present the directed self-assembly of block copolymer blends in thin films on

nanopatterned substrate and experimental characterizations of that the annealing method have

direct effects on the line edge roughness in block copolymer lamellae.

Page 121: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

105

Figure 5.1 A general schematic of directed assembly of block copolymers on chemical surface

patterns.

Results and Discussion

A general step-by-step approach to conduct directed self-assembly is illustrated in

Figure 5.1 which describes the process block copolymer self-assembled in registration with

nanopatterned designed features by extreme ultraviolet (EVU) lithography or electron beam

lithography[11–14]

. The top left Figure 5.2 shows a top-down SEM image of a typical finger-print

nanostructure of the block copolymer self-assembly on neutral surface and the directed

self-assembly on nanopatterned structure. When the self-assembly of block copolymer happened

on a neutral surface, all kinds of low free-energy defects (disclination, dislocation and bending

with radii higher the period L0) are presented. These defects can be viewed as some type of

“interfacial roughness” with different frequency or wavelengths. As shown in the bottom three

top-down SEM images of Figure 5.2, the interfacial roughness of low frequency or higher

wavelengths can be removed by directed self-assembly with patterned substrate where energy

penalty is minimized. However, the interfacial roughness at high frequency or lower wavelength

have maintained because this level of roughness were controlled by the molecular-level

thermodynamic fluctuation.

Page 122: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

106

Figure 5.2. The influence of directed self-assembly on different frequency interface defects.

Figure 5.3 shows the effect of thermal treatment (190°C for 4 hours) on the LER of

directed self-assembled block copolymer nanostructure by solvent annealing. In Figure 3, the

items in red indicates shows the results of directed self-assembly of block copolymer blend in

thin by solvent annealing while the ones in blue presents the results after thermal treatment. By

comparing the top-down SEM images of the solvent-annealed block copolymer on nanopatterned

substrate, the microdomains line in images of solvent-annealed shows visible

line-width-roughness and line-edge-roughness compared with the top-down SEM images after

thermal annealing. The visible difference in SEM image was quantitatively proved by the

calculation of their LER and LWR. The calculation of LER and LWR is detailed in literature.[15]

In solvent vapor annealing processes, the solvent molecules penetrating into polymer thin films

Page 123: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

107

leads to not only lower the glass transition temperature of the polymer blocks but also allow

mobility of the polymer chain. However, the existence solvent molecules in the polymer thin

film reduces the interfacial tension and interface-interface coupling and result in high interfacial

roughness.

Figure 5.3. The LER recovery by thermal annealing from directed self-assembly on

nanopatterned surface by solvent annealing. The SEM images in red box and the legend in red

are the sample solvent annealed at 22°C, while the ones in blue is the sample thermally treated

afterwards

Page 124: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

108

Conclusion

In this short note, we demonstrate that block copolymer interfaces have significant

roughness over wide range of spatial frequencies. Interfacial roughness is a function of block

copolymer thermodynamics, processing conditions and directing fields. In order to be applied for

next-generation lithographic templates, LER at all spatial frequencies will need to be reduced.

Experimental Section

Directed Self-assembly on Chemical Surface Patterns

A generalized approach to carrying out the directed assembly of block copolymers on

chemical surface patterns is shown in Figure 5.1. In the first step, chemical surface patterns were

fabricated in a polystyrene (PS, 9.5 kg mol-1

) brush imaging layer covalently grafted on silicon

substrates such that the alternating stripes had distinct chemistries and surface energies. The

fabrication process used electron beam lithography to define the surface pattern in a photoresist

film that subsequently acted as a mask for an oxygen plasma treatment that chemically modified

the PS brush (details for this procedure can be found in refs 22 and 34). The periodicity of the

chemical surface pattern (LS) was chosen to closely match the periodicity of the block copolymer

/ homopolymer blend lamellae (Lo), such that in this case LS=55 nm. The microphase separated

lamellar domains that assembled preferentially wet the different stripes of the surface pattern and

were oriented perpendicular to the substrate. In addition, the lamellae were ordered without

dislocation and disclination defects over greater than 2μm by 2μm areas, and were spatially

registered with the underlying surface pattern. A symmetric ternary blend of 60 wt %

poly(styrene-block-methyl methacrylate) (PS-b-PMMA, 107 kg mol-1

total, 48 wt % PS), 10 wt %

Page 125: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

109

PS homopolymer (21.8 kg mol-1

), and 10 wt % poly(methyl methacrylate) homopolymer

(PMMA, 20.5 kg mol-1

)) was used here, but this copolymer system behaves similarly to a pure

symmetric diblock copolymer in thin films and on chemical surface patterns.[16]

The polymers

were used as received from Polymer Source, Inc. (Dorval, Canada).

Self-assembly of the block copolymer / homopolymer blends in thin films was conducted

using either solvent vapor or thermal annealing. Solvent vapor annealing used a saturated vapor

of mixed solvents consisting of acetone and cyclohexane (Fisher, 99%) achieved by adding 30

mL each to the bottom of a glass desiccator (1.5 L volume). The block copolymer samples were

suspended and sealed in the solvent-rich environment for 4 hrs, thereby allowing the thin films to

swell significantly[17,18]

. The solvent vapor annealing process was terminated by opening the

chamber to vacuum to remove the solvent vapor and by allowing the thin films to further dry in

air prior to SEM imaging. Thermal treatment (190°C for 4 hours under ~10 Torr vacuum) of

block copolymer / homopolymer blends in thin film led to the recovery of low interfacial

roughness.

References

[1] G. E. Moore, Electronics 1965, 38.

[2] Semicond. Ind. Assoc. Int. Technol. Roadmap Semicond. 2012.

[3] A. Yamaguchi, H. Fukuda, T. Arai, J. Yamamoto, T. Hirayama, D. Shiono, H. Hada, J.

Onodera, J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 2005, 23, 2711.

[4] V. Constantoudis, G. P. Patsis, A. Tserepi, E. Gogolides, J. Vac. Sci. Technol. B

Microelectron. Nanom. Struct. 2003, 21, 1019.

[5] M. Stucchi, M. Bamal, K. Maex, Microelectron. Eng. 2007, 84, 2733.

Page 126: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

110

[6] W. Steinhogl, G. Schindler, G. Steinlesberger, M. Traving, M. Engelhardt, Microelectron.

Eng. 2004, 76, 126.

[7] R. A. Segalman, Mater. Sci. Eng. R Reports 2005, 48, 191.

[8] C. J. Hawker, T. P. Russell, MRS Bull. 2005, 30, 952.

[9] F. S. Bates, G. H. Fredrickson, Annu. Rev. Phys. Chem. 1990, 41, 525.

[10] F. S. Bates, G. H. Fredrickson, Phys. Today 1999, 52, 32.

[11] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. De Pablo, P. F. Nealey,

Nature 2003, 424, 411.

[12] M. P. Stoykovich, P. F. Nealey, Mater. Today 2006, 9, 20.

[13] M. P. Stoykovich, H. Kang, K. C. Daoulas, G. Liu, C. C. Liu, J. J. De Pablo, M. Müller, P.

F. Nealey, ACS Nano 2007, 1, 168.

[14] M. P. Stoykovich, M. Müller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F.

Nealey, Science 2005, 308, 1442.

[15] M. P. Stoykovich, K. C. Daoulas, M. Muller, H. Kang, J. J. de Pablo, P. F. Nealey,

Macromolecules 2010, 43, 2334.

[16] M. P. Stoykovich, E. W. Edwards, H. H. Solak, P. F. Nealey, Phys. Rev. Lett. 2006, 97,

DOI Artn 147802 Doi 10.1103/Physrevlett.97.147802.

[17] I. P. Campbell, C. He, M. P. Stoykovich, ACS Macro Lett. 2013, 2, 918.

[18] C. He, M. Stoykovich, Adv. Funct. Mater. 2014, DOI 10.1002/adfm.201401810.

Page 127: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

111

Chapter 6 Current Collaborations and Future Work

This chapter presents three projects of current research and preliminary data is shown in

the areas that we have made progress on. These projects include current work and

collaborations with other groups, and will likely be continued on by graduate students who are

currently in the group.

1. Regional tuning of block copolymer periodicity in thin films using photopatternable block

copolymer

It is an important goal for the block copolymer self-assembly studies to achieve the precise

periodicity control in different area of block copolymer on a single wafer. Photopatternable block

copolymer discussed in Chapter 4 is used in current work to explore the possibility to have

regional tuning of block copolymer periodicity. We firstly solvent annealed photopatternable

block copolymer in thin films to enable the self-assembly. The solvent annealed thin films were

then photopatterned to cross-link specific regions of the thin film. A layer of homopolymer blend

of PS and PMMA was spin-cast on the photopatterned block copolymer thin film and solvent

annealed. Figure 6.1 shows preliminary data on the work. Figure 6.1a shows microemulsion

formed because the mixing happened between the top layer (~0.5L0) of homopolymer blend and

the uncross-linked block copolymer. Figure 6.1b shows the cross-linked block copolymer kept the

lamellar structure because the cross-linked lamellar nanostructure doesn’t mix with the top

deposited homopolymer blend. Further study on this can lead to precise control of periodicity of

unpatterned region by changing the thickness of the deposited top homopolymer blends or more

than two periods on one wafer by multiple times of homopolymer deposition and photopatterning.

Page 128: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

112

Challenge: The dewetting of homopolymer blends on cross-linked block copolymer thin

film region.

Figure 6.1. Homopolymer solvent annealed on patterned cross-linkable block copolymer: a)

Microemulsion formed from PS / PMMA top layer homopolymer mixed with underlying

uncross-linked block cocopolymer, b) Lamellar nanstructure of cross-linked block copolymer

2. Cross-linking effect on line edge roughness of interfaces of self-assembled block

copolymer

In order to be applied for next-generation lithographic templates, LER at all spatial

frequencies will need to be reduced. Block copolymer has been studied to control the LER

propagation from nanopatterned substrate. Directed block copolymer can effectively diminish low

spatial frequency roughness and out-of-phase roughness.[1]

However, the high spatial frequency

roughness controlled by the thermodynamics at the molecular level is less effectively reduced. The

in-phase roughness is very stable. The higher interfacial tension and interface-interface coupling

lead to lower interfacial roughness. The cross-linkable block copolymer can induce stress during

the cross-linking process which can potentially reduce the interfacial roughness.

Challenge: The available nanopatterned substrate and the resolution of available SEM

equipment.

Page 129: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

113

3. Dense directed carbon nanotube growth with Fe nanodots on quartz patterned from block

copolymer

The thermal treated quartz with certain crystallinity was proved to help the guided carbon

nanotube growth with Fe dots as catalyst.[2–4]

Block copolymer self-assembly coupled with

photopatterning is applied to fabricate the Fe nanodots in specific regions of thermally treated

quartz substrate. Figure 6.2 shows Fe nanodots in desired regions.

Challenge: regular Fe nanodot array in desired regions and in the same time no Fe nanodot

in the designed open area.

Figure 6.2. Patterned Fe nanodots on Si wafer.

4. Homopolymer and block copolymer mixing effects and wetting phenomena on their

self-assembly in thin films

Interesting morphologies depending on the molecular weights of homopolymer were observed in

annealing homopolymer on self-assembled block copolymer. Figure 6.3a shows that the mixing

of top layer of low molecular weight (2kDa) homopolymer with underlying self-assembled block

copolymer results in cylinders in the lamellar domains while Figure 6.3b presents that the mixing

of top layer of molecular weight (54.3kDa) homopolymer close to that of one block with

Page 130: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

114

underlying self-assembled block copolymer result in a mix of lamellar and unclear bumps with

almost all edges perpendicular to the line direction of block copolymer microdomain.

Figure 6.3. Mixing of top layer of homopolymer (a: 2kDa, b: 54.3kDa) with underlying

self-assembled block copolymer PS-b-PMMA (47kDa:53kDa) by thermal annealing (190°C for

24 hours)

Reference

[1] M. P. Stoykovich, K. C. Daoulas, M. Muller, H. Kang, J. J. de Pablo, P. F. Nealey,

Macromolecules 2010, 43, 2334.

[2] C. Kocabas, M. Shim, J. A. Rogers, J. Am. Chem. Soc. 2006, 128, 4540.

[3] J. Xiao, S. Dunham, P. Liu, Y. Zhang, C. Kocabas, L. Moh, Y. Huang, K. C. Hwang, C.

Lu, W. Huang, J. A. Rogers, Nano Lett. 2009, 9, 4311.

[4] C. Kocabas, S.-H. Hur, A. Gaur, M. a Meitl, M. Shim, J. a Rogers, Small 2005, 1, 1110.

Page 131: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

115

Bibliography

[1] M. H. Acar, K. Matyjaszewski, Macromol. Chem. Phys. 1999, 200, 1094.

[2] M. Aizawa, J. M. Buriak, J. Am. Chem. Soc. 2006, 128, 5877.

[3] M. Aizawa, J. M. Buriak, Chem. Mater. 2007, 19, 5090.

[4] M. Aizawa, J. M. Buriak, J. Am. Chem. Soc. 2005, 127, 8932.

[5] J. N. L. Albert, W. S. Young, R. L. Lewis, T. D. Bogart, J. R. Smith, T. H. Epps, ACS Nano

2012, 6, 459.

[6] J. N. L. Albert, M. J. Baney, C. M. Stafford, J. Y. Kelly, T. H. Epps, ACS Nano 2009, 3,

3977.

[7] J. N. L. Albert, T. H. Epps III, Mater. Today 2010, 13, 24.

[8] K. Amundson, E. Helfand, X. N. Quan, S. D. Hudson, S. D. Smith, Macromolecules 1994,

27, 6559.

[9] K. Amundson, E. Helfand, X. Quan, S. D. Smith, Macromolecules 1993, 26, 2698.

[10] J. Bang, J. Bae, P. Lowenhielm, C. Spiessberger, S. A. Given-Beck, T. P. Russell, C. J.

Hawker, Adv. Mater. 2007, 19, 4552.

[11] J. Bang, U. Jeong, D. Y. Ryu, T. P. Russell, C. J Hawker, Adv. Mater. 2009, 21, 4769.

Page 132: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

116

[12] J. Bang, B. J. Kim, G. E. Stein, T. P. Russell, X. Li, J. Wang, E. J. Kramer, C. J. Hawker,

Macromolecules 2007, 40, 7019.

[13] C. M. Bates, M. J. Maher, D. W. Janes, C. J. Ellison, C. G. Willson, Macromolecules 2014,

47, 2.

[14] C. M. Bates, M. A. B. Pantoja, J. R. Strahan, L. M. Dean, B. K. Mueller, C. J. Ellison, P. F.

Nealey, C. G. Willson, J. Polym. Sci. Part a-Polymer Chem. 2013, 51, 290.

[15] F. S. Bates, G. H. Fredrickson, Annu. Rev. Phys. Chem. 1990, 41, 525.

[16] F. S. Bates, G. H. Fredrickson, Phys. Today 1999, 52, 32.

[17] F. S. Bates, G. H. Fredrickson, Phys. Today 1999, 52, 32.

[18] B. C. Berry, A. W. Bosse, J. F. Douglas, R. L. Jones, A. Karim, Nano Lett. 2007, 7, 2789.

[19] I. Bita, J. K. W. Yang, Y. S. Jung, C. A. Ross, E. L. Thomas, K. K. Berggren, Science (80-. ).

2008, 321, 939.

[20] C. T. Black, K. W. Guarini, K. R. Milkove, S. M. Baker, T. P. Russell, M. T. Tuominen,

Appl. Phys. Lett. 2001, 79, 409.

[21] J. K. Bosworth, M. Y. Paik, R. Ruiz, E. L. Schwartz, J. Q. Huang, A. W. Ko, D. M. Smilgies,

C. T. Black, C. K. Ober, ACS Nano 2008, 2, 1396.

[22] I. P. Campbell, C. L. He, M. P. Stoykovich, ACS Macro Lett. 2013, 2, 918.

[23] K. A. Cavicchi, K. J. Berthiaume, T. P. Russell, Polymer (Guildf). 2005, 46, 11635.

Page 133: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

117

[24] K. A. Cavicchi, T. P. Russell, Macromolecules 2007, 40, 1181.

[25] J. Chai, J. M. Buriak, ACS Nano 2008, 2, 489.

[26] J. Chai, D. Wang, X. Fan, J. M. Buriak, Nat. Nanotechnol. 2007, 2, 500.

[27] J. W. Chang, C. P. Chen, Adv. Resist Technol. Process. Xxi, Pts 1 2 2004, 5376, 967.

[28] S. W. Chang, J. P. Evans, S. R. Ge, V. V Ginzburg, J. W. Kramer, B. Landes, C. Lee, G.

Meyers, D. J. Murray, J. Park, R. Sharma, P. Trefonas, J. D. Weinhold, J. Q. Zhang, P. D.

Hustad, Altern. Lithogr. Technol. V 2013, 8680, DOI Unsp 86800f Doi

10.1117/12.2011604.

[29] C. P. Chen, J. W. Chang, R. Kaji, H. Kawasaki, Emerg. Lithogr. Technol. IX, Pts 1 2 2005,

5751, 601.

[30] Y. Chen, H. Huang, Z. Hu, T. He, Langmuir 2004, 3805.

[31] J. Y. Cheng, C. A. Ross, V. Z. H. Chan, E. L. Thomas, R. G. H. Lammertink, G. J. Vancso,

Adv. Mater. 2001, 13, 1174.

[32] J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, R. G. H. Lammertink, G. J. Vancso, Ieee

Trans. Magn. 2002, 38, 2541.

[33] J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, G. J. Vancso, Appl. Phys. Lett. 2002, 81,

3657.

[34] J. Y. Cheng, C. A. Ross, H. I. Smith, E. L. Thomas, Adv. Mater. 2006, 18, 2505.

Page 134: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

118

[35] J. Y. Cheng, A. M. Mayes, C. A. Ross, Nat. Mater. 2004, 3, 823.

[36] H. K. Choi, J. Gwyther, I. Manners, C. A. Ross, ACS Nano 2012, 6, 8342.

[37] V. P. Chuang, J. Gwyther, R. A. Mickiewicz, I. Manners, C. A. Ross, Nano Lett. 2009, 9,

4364.

[38] V. Constantoudis, G. P. Patsis, A. Tserepi, E. Gogolides, J. Vac. Sci. Technol. B

Microelectron. Nanom. Struct. 2003, 21, 1019.

[39] E. J. W. Crossland, P. Cunha, S. Scroggins, S. Moratti, O. Yurchenko, U. Steiner, M.

Hillmyer, S. Ludwigs, ACS Nano 2010, 4, 962.

[40] C. Cummins, P. Mokarian-Tabari, J. D. Holmes, M. A. Morris, J. Appl. Polym. Sci. 2014,

131, DOI Artn 40798 Doi 10.1002/App.40798.

[41] K. C. Daoulas, M. Muller, M. P. Stoykovich, H. Kang, J. J. de Pablo, P. F. Nealey,

Langmuir 2008, 24, 1284.

[42] S. B. Darling, Prog. Polym. Sci. 2007, 32, 1152.

[43] C. De Rosa, C. Park, E. L. Thomas, B. Lotz, Nature 2000, 405, 433.

[44] M. S. del Río, L. A. T. Montes, Thermochim. Acta 2007, 466, 75.

[45] F. A. Detcheverry, P. F. Nealey, J. J. de Pablo, Macromolecules 2010, 43, 6495.

[46] Z. Di, D. Posselt, D. M. Smilgies, C. M. Papadakis, Macromolecules 2010, 43, 418.

Page 135: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

119

[47] E. W. Edwards, M. Muller, M. P. Stoykovich, H. H. Solak, J. J. de Pablo, P. F. Nealey,

Macromolecules 2007, 40, 90.

[48] H. Elbs, C. Drummer, V. Abetz, G. Krausch, Macromolecules 2002, 35, 5570.

[49] T. H. Epps, D. M. DeLongchamp, M. J. Fasolka, D. A. Fischer, E. L. Jablonski, Langmuir

2007, 23, 3355.

[50] M. J. Fasolka, A. M. Mayes, Annu. Rev. Mater. Res. 2001, 31, 323.

[51] M. J. Fasolka, A. M. Mayes, Annu. Rev. Mater. Res. 2001, 31, 323.

[52] T. G. Fitzgerald, F. Borsetto, J. M. O’Callaghan, B. Kosmala, J. D. Holmes, M. a. Morris,

Soft Matter 2007, 3, 916.

[53] S. Forster, A. K. Khandpur, J. Zhao, F. S. Bates, I. W. Hamley, A. J. Ryan, W. Bras,

Macromolecules 1994, 27, 6922.

[54] G. H. Fredrickson, Macromolecules 1994, 27, 7382.

[55] K. Fukunaga, H. Elbs, R. Magerle, G. Krausch, Macromolecules 2000, 33, 947.

[56] Y. Gong, H. Huang, Z. Hu, Y. Chen, Macromolecules 2006, 3369.

[57] K. W. Gotrik, A. F. Hannon, J. G. Son, B. Keller, A. Alexander-Katz, C. A. Ross, ACS

Nano 2012, 6, 8052.

[58] K. W. Gotrik, C. A. Ross, Nano Lett. 2013, 13, 5117.

Page 136: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

120

[59] K. W. Guarini, C. T. Black, S. H. I. Yeuing, Adv. Mater. 2002, 14, 1290.

[60] K. W. Guarini, C. T. Black, Y. Zhang, H. Kim, E. M. Sikorski, I. V. Babich, J. Vac. Sci.

Technol. B Microelectron. Nanom. Struct. 2002, 20, 2788.

[61] N. Hadjichristidis, M. Pitsikalis, H. Iatrou, Adv. Polym. Sci. 2005, 189, 1.

[62] D. A. Hajduk, S. M. Gruner, P. Rangarajan, R. A. Register, L. J. Fetters, C. Honeker, R. J.

Albalak, E. L. Thomas, Macromolecules 1994, 27, 490.

[63] D. A. Hajduk, P. E. Harper, S. M. Gruner, C. C. Honeker, G. Kim, E. L. Thomas, L. J.

Fetters, Macromolecules 1994, 27, 4063.

[64] S. Ham, C. Shin, E. Kim, D. Y. Ryu, U. Jeong, T. P. Russell, C. J. Hawker, Macromolecules

2008, 41, 6431.

[65] F. Hamaoka, T. Yagisawa, T. Makabe, J. Phys. D-Applied Phys. 2009, 42, DOI Artn

075201 Doi 10.1088/0022-3727/42/7/075201.

[66] I. W. Hamley, Prog. Polym. Sci. 2009, 34, 1161.

[67] E. Han, K. O. Stuen, Y. H. La, P. F. Nealey, P. Gopalan, Macromolecules 2008, 41, 9090.

[68] E. Han, P. Gopalan, Langmuir 2010, 26, 1311.

[69] E. Han, H. Kang, C.-C. Liu, P. F. Nealey, P. Gopalan, Adv. Mater. 2010, 22, 4325.

[70] E. Han, K. O. Stuen, M. Leolukman, C. C. Liu, P. F. Nealey, P. Gopalan, Macromolecules

2009, 42, 4896.

Page 137: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

121

[71] A. W. Harant, C. N. Bowman, J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 2005,

23, 1615.

[72] C. J. Hawker, T. P. Russell, Mrs Bull. 2005, 30, 952.

[73] C. J. Hawker, T. P. Russell, MRS Bull. 2005, 30, 952.

[74] C. He, M. Stoykovich, Adv. Funct. Mater. 2014, DOI 10.1002/adfm.201401810.

[75] D. J. C. Herr, J. Mater. Res. 2011, 26, 122.

[76] M. Hillmyer, Curr. Opin. Solid State Mater. Sci. 1999, 4, 559.

[77] E. Huang, P. Mansky, T. P. Russell, C. Harrison, P. M. Chaikin, R. A. Register, C. J.

Hawker, J. Mays, Macromolecules 2000, 33, 80.

[78] E. Huang, S. Pruzinsky, T. P. Russell, J. Mays, C. J. Hawker, Macromolecules 1999, 32,

5299.

[79] E. Huang, L. Rockford, T. P. Russell, C. J. Hawker, Nature 1998, 395, 757.

[80] E. Huang, T. P. Russell, C. Harrison, P. M. Chaikin, R. A. Register, C. J. Hawker, J. Mays,

Macromolecules 1998, 31, 7641.

[81] I. In, Y. H. La, S. M. Park, P. F. Nealey, P. Gopalan, Langmuir 2006, 22, 7855.

[82] Y. Ishida, Y. Tada, T. Hirai, R. Goseki, M. Kakimoto, H. Yoshida, T. Hayakawa, J.

Photopolym. Sci. Technol. 2010, 23, 155.

Page 138: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

122

[83] E. A. Jackson, M. A. Hillmyer, ACS Nano 2010, 4, 3548.

[84] J. W. Jeong, W. I. Park, L. M. Do, J. H. Park, T. H. Kim, G. Chae, Y. S. Jung, Adv. Mater.

2012, 24, 3526.

[85] S. J. Jeong, J. Y. Kim, B. H. Kim, H. S. Moon, S. O. Kim, Mater. Today 2013, 16, 468.

[86] S. J. Jeong, H. S. Moon, B. H. Kim, J. Y. Kim, J. Yu, S. Lee, M. G. Lee, H. Choi, S. O. Kim,

ACS Nano 2010, 4, 5181.

[87] S. J. Jeong, H. S. Moon, J. Shin, B. H. Kim, D. O. Shin, J. Y. Kim, Y. H. Lee, J. U. Kim, S.

O. Kim, Nano Lett. 2010, 10, 3500.

[88] S. J. Jeong, J. E. Kim, H. S. Moon, B. H. Kim, S. M. Kim, J. B. Kim, S. O. Kim, Nano Lett.

2009, 9, 2300.

[89] S. J. Jeong, G. Xia, B. H. Kim, D. O. Shin, S. H. Kwon, S. W. Kang, S. O. Kim, Adv. Mater.

2008, 20, 1898.

[90] S. X. Ji, C. C. Liu, G. L. Liu, P. F. Nealey, ACS Nano 2010, 4, 599.

[91] S. Ji, C.-C. Liu, J. G. Son, K. Gotrik, G. S. W. Craig, P. Gopalan, F. J. Himpsel, K. Char, P.

F. Nealey, Macromolecules 2008, 41, 9098.

[92] S. Ji, U. Nagpal, W. Liao, C. C. Liu, J. J. De Pablo, P. F. Nealey, Adv. Mater. 2011, 23,

3692.

Page 139: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

123

[93] P. S. Jo, J. Sung, C. Park, E. Kim, D. Y. Ryu, S. Pyo, H. C. Kim, J. M. Hong, Adv. Funct.

Mater. 2008, 18, 1202.

[94] I. Junarsa, M. P. Stoykovich, P. F. Nealey, Y. S. Ma, F. Cerrina, J. Vac. Sci. Technol. B

2005, 23, 138.

[95] G. Y. Jung, W. Wu, S. Ganapathiappan, D. A. A. Ohlberg, M. S. Islam, X. Li, D. L. Olynick,

H. Lee, Y. Chen, S. Y. Wang, W. M. Tong, R. S. Williams, Appl. Phys. a-Materials Sci.

Process. 2005, 81, 1331.

[96] H. Y. Jung, S. Y. Hwang, B. J. Bae, H. Lee, J. Vac. Sci. Technol. B 2009, 27, 1861.

[97] H. Jung, D. Hwang, E. Kim, B. J. Kim, W. B. Lee, J. E. Poelma, J. Kim, C. J. Hawker, J.

Huh, D. Y. Ryu, J. Bang, ACS Nano 2011, 5, 6164.

[98] Y. S. Jung, C. A. Ross, Nano Lett. 2007, 7, 2046.

[99] Y. S. Jung, C. A. Ross, Small 2009, 5, 1654.

[100] Y. S. Jung, C. A. Ross, Adv. Mater. 2009, 21, 2540.

[101] Y. Kagawa, H. Minami, M. Okubo, J. Zhou, in Polymer (Guildf)., 2005, pp. 1045–1049.

[102] M. Kamigaito, T. Ando, M. Sawamoto, Chem. Rev. 2001, 101, 3689.

[103] J. Kao, K. Thorkelsson, P. Bai, B. J. Rancatore, T. Xu, Chem. Soc. Rev. 2013, 42, 2654.

[104] R. N. Keller, H. D. Wycoff, Inorg. Synth. 1946, 2, 1.

Page 140: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

124

[105] B. Kim, S. W. Hong, S. Park, J. Xu, S. K. Hong, T. P. Russell, Soft Matter 2011, 7, 443.

[106] B. H. Kim, J. Y. Kim, S. O. Kim, Soft Matter 2013, 9, 2780.

[107] E. Kim, H. Ahn, S. Park, H. Lee, M. Lee, S. Lee, T. Kim, E. A. Kwak, J. H. Lee, X. Lei, J.

Huh, J. Bang, B. Lee, D. Y. Ryu, ACS Nano 2013, 7, 1952.

[108] E. Kim, C. Shin, H. Ahn, D. Y. Ryu, J. Bang, C. J. Hawker, T. P. Russell, Soft Matter 2008,

4, 475.

[109] G. Kim, M. Libera, Macromolecules 1998, 31, 2569.

[110] H. C. Kim, S. M. Park, W. D. Hinsberg, Chem. Rev. 2010, 110, 146.

[111] J. K. Kim, H. H. Lee, Q. J. Gu, T. H. Chang, Y. H. Jeong, Macromolecules 1998, 31, 4045.

[112] J. Y. Kim, B. H. Kim, J. O. Hwang, S. J. Jeong, D. O. Shin, J. H. Mun, Y. J. Choi, H. M. Jin,

S. O. Kim, Adv. Mater. 2013, 25, 1331.

[113] S. H. Kim, M. J. Misner, T. Xu, M. Kimura, T. P. Russell, Adv. Mater. 2004, 16, 226.

[114] S. Kim, D. O. Shin, D. G. Choi, J. R. Jeong, J. H. Mun, Y. B. Yang, J. U. Kim, S. O. Kim, J.

H. Jeong, Small 2012, 8, 1563.

[115] S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. J. De Pablo, P. F. Nealey, Nature

2003, 424, 411.

[116] S. H. Kim, M. J. Misner, L. Yang, O. Gang, B. M. Ocko, T. P. Russell, Macromolecules

2006, 39, 8473.

Page 141: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

125

[117] W. S. Kim, L. Jia, E. L. Thomas, Adv. Mater. 2009, 21, 1921.

[118] A. Knoll, A. Horvat, K. S. Lyakhova, G. Krausch, G. J. A. Sevink, A. V Zvelindovsky, R.

Magerle, Phys. Rev. Lett. 2002, 89, 035501.

[119] A. Knoll, R. Magerle, G. Krausch, J. Chem. Phys. 2004, 120, 1105.

[120] A. Knoll, L. Tsarkova, G. Krausch, Nano Lett. 2007, 7, 843.

[121] C. Kocabas, S.-H. Hur, A. Gaur, M. a Meitl, M. Shim, J. a Rogers, Small 2005, 1, 1110.

[122] C. Kocabas, M. Shim, J. A. Rogers, J. Am. Chem. Soc. 2006, 128, 4540.

[123] Y. H. La, S. M. Park, R. P. Meagley, M. Leolukman, P. Gopalan, P. F. Nealey, Insik-In, J.

Vac. Sci. Technol. B 2007, 25, 2508.

[124] H. S. Lee, J. B. Yoon, J. Micromechanics Microengineering 2005, 15, 2136.

[125] J. M. Leiston-Belanger, T. P. Russell, E. Drockenmuller, C. J. Hawker, Macromolecules

2005, 38, 7676.

[126] M. Li, C. A. Coenjarts, C. K. Ober, Adv. Polym. Sci. 2005, 190, 183.

[127] M. Li, C. K. Ober, Mater. Today 2006, 9, 30.

[128] R. R. Li, P. D. Dapkus, M. E. Thompson, W. G. Jeong, C. Harrison, P. M. Chaikin, R. A.

Register, D. H. Adamson, Appl. Phys. Lett. 2000, 76, 1689.

[129] Y. Li, H. Huang, T. He, Y. Gong, J. Phys. Chem. B 2010, 114, 1264.

Page 142: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

126

[130] Z. Q. Lin, D. H. Kim, X. D. Wu, L. Boosahda, D. Stone, L. LaRose, T. P. Russell, Adv.

Mater. 2002, 14, 1373.

[131] C. C. Liu, P. F. Nealey, Y. H. Ting, A. E. Wendt, J. Vac. Sci. Technol. B 2007, 25, 1963.

[132] C. C. Liu, A. Ramírez-Hernández, E. Han, G. S. W. Craig, Y. Tada, H. Yoshida, H. Kang, S.

Ji, P. Gopalan, J. J. De Pablo, P. F. Nealey, Macromolecules 2013, 46, 1415.

[133] G. L. Liu, S. X. Ji, K. O. Stuen, G. S. W. Craig, P. F. Nealey, F. J. Himpsel, J. Vac. Sci.

Technol. B 2009, 27, 3038.

[134] G. L. Liu, M. P. Stoykovich, S. X. Ji, K. O. Stuen, G. S. W. Craig, P. F. Nealey,

Macromolecules 2009, 42, 3063.

[135] P. H. Liu, P. Thébault, P. Guenoun, J. Daillant, Macromolecules 2009, 42, 9609.

[136] Q. Lou, D. A. Shipp, Prog. Control. Radic. Polym. Mater. Appl. 2012, 1101, 115.

[137] S. Y. Lu, C. H. Chang, C. H. Yu, H. L. Chen, Y. H. Lo, J. Mater. Res. 2005, 20, 1523.

[138] M. Luo, T. H. Epps, Macromolecules 2013, 46, 7567.

[139] V. Lupascu, H. Huth, C. Schick, M. Wubbenhorst, Thermochim. Acta 2005, 432, 222.

[140] R. Maeda, T. Hayakawa, C. K. Ober, Chem. Mater. 2012, 24, 1454.

[141] M. J. Maher, C. M. Bates, G. Blachut, S. Sirard, J. L. Self, M. C. Carlson, L. M. Dean, J. D.

Cushen, W. J. Durand, C. O. Hayes, C. J. Ellison, C. G. Willson, Chem. Mater. 2014, 26,

1471.

Page 143: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

127

[142] P. Mansky, C. K. Harrison, P. M. Chaikin, R. A. Register, N. Yao, Appl. Phys. Lett. 1995,

2586.

[143] P. Mansky, T. P. Russell, C. J. Hawker, M. Pitsikalis, J. Mays, Macromolecules 1997, 30,

6810.

[144] P. Mansky, T. Russell, C. Hawker, J. Mays, D. Cook, S. Satija, Phys. Rev. Lett. 1997, 79,

237.

[145] P. Mansky, Y. Liu, E. Huang, T. P. Russell, C. J. Hawker, Science (80-. ). 1997, 275, 1458.

[146] A. P. Marencic, M. W. Wu, R. A. Register, P. M. Chaikin, Macromolecules 2007, 40, 7299.

[147] W. P. Maszara, G. Goetz, A. Caviglia, J. B. McKitterick, J. Appl. Phys. 1988, 64, 4943.

[148] K. Matyjaszewski, J. H. Xia, Chem. Rev. 2001, 101, 2921.

[149] K. Matyjaszewski, Macromolecules 2012, 45, 4015.

[150] A. Mayer, N. Bogdanski, S. Mollenbeck, K. Dhima, M. Papenheim, H. C. Scheer, J. Vac.

Sci. Technol. B 2010, 28, C6m136.

[151] C. Mendoza, T. Pietsch, N. Gindy, A. Fahmi, Adv. Mater. 2008, 20, 1179.

[152] J. Minter, M. Ross, W. R. Livesay, S. Wong, M. Narcy, T. Marlowe, Microlithogr. 1999

Adv. Resist Technol. Process. Xvi, Pts 1 2 1999, 3678, 1074.

[153] V. Mishra, G. H. Fredrickson, E. J. Kramer, ACS Nano 2012, 6, 2629.

Page 144: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

128

[154] H.-S. Moon, D. O. Shin, B. H. Kim, H. M. Jin, S. Lee, M. G. Lee, S. O. Kim, J. Mater.

Chem. 2012, 22, 6307.

[155] G. E. Moore, Electronics 1965, 38.

[156] L. Nick, A. Lippitz, W. Unger, A. Kindermann, J. Fuhrmann, Langmuir 1995, 11, 1912.

[157] Z. H. Nie, E. Kumacheva, Nat. Mater. 2008, 7, 277.

[158] A. Niemz, K. Bandyopadhyay, E. Tan, K. Cha, S. M. Baker, Langmuir 2006, 22, 11092.

[159] E. O. Ningrum, C. C. Chang, C. T. Lo, J. Macromol. Sci. Part B-Physics 2011, 50, 1298.

[160] W. Oh, J. S. Lee, J. Non. Cryst. Solids 2013, 378, 34.

[161] R. Olayo-Valles, S. W. Guo, M. S. Lund, C. Leighton, M. A. Hillmyer, Macromolecules

2005, 38, 10101.

[162] C. Osuji, C. Y. Chao, I. Bita, C. K. Ober, E. L. Thomas, Adv. Funct. Mater. 2002, 12, 753.

[163] C. Park, J. Yoon, E. L. Thomas, Polymer (Guildf). 2003, 44, 6725.

[164] C. Park, J. Y. Cheng, M. J. Fasolka, A. M. Mayes, C. A. Ross, E. L. Thomas, C. De Rosa,

Appl. Phys. Lett. 2001, 79, 848.

[165] D.-H. Park, Nanotechnology 2007, 18, 355304.

[166] M. Park, C. Harrison, P. M. Chaikin, R. A. Register, D. H. Adamson, Science (80-. ). 1997,

276, 1401.

Page 145: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

129

[167] S. M. Park, O. H. Park, J. Y. Cheng, C. T. Rettner, H. C. Kim, Nanotechnology 2008, 19,

DOI Artn 455304 Doi 10.1088/0957-4484/19/45/455304.

[168] S. M. Park, M. P. Stoykovich, R. Ruiz, Y. Zhang, C. T. Black, P. E. Nealey, Adv. Mater.

2007, 19, 607.

[169] S. Park, B. Kim, A. Cirpan, T. P. Russell, Small 2009, 5, 1343.

[170] S. Park, J. Y. Wang, B. Kim, J. Xu, T. P. Russell, ACS Nano 2008, 2, 766.

[171] S. M. Park, P. Ravindran, Y. H. La, G. S. W. Craig, N. J. Ferrier, P. F. Nealey, Langmuir

2007, 23, 9037.

[172] S.-M. Park, O.-H. Park, J. Y. Cheng, C. T. Rettner, H.-C. Kim, Nanotechnology 2008, 19,

455304.

[173] S.-M. Park, C. T. Rettner, J. W. Pitera, H.-C. Kim, Macromolecules 2009, 42, 5895.

[174] S. C. Park, B. J. Kim, C. J. Hawker, E. J. Kramer, J. Bang, J. S. Ha, Macromolecules 2007,

40, 8119.

[175] W. I. Park, J. M. Yoon, M. Park, J. Lee, S. K. Kim, J. W. Jeong, K. Kim, H. Y. Jeong, S.

Jeon, K. S. No, J. Y. Lee, Y. S. Jung, Nano Lett. 2012, 12, 1235.

[176] P. N. Patrone, G. M. Gallatin, Macromolecules 2012, 45, 9507.

[177] T. E. Patten, K. Matyjaszewski, Adv. Mater. 1998, 10, 901.

Page 146: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

130

[178] G. M. Perera, C. Q. Wang, M. Doxastakis, R. J. Kline, W. L. Wu, A. W. Bosse, G. E. Stein,

ACS Macro Lett. 2012, 1, 1244.

[179] A. J. Peters, R. A. Lawson, P. J. Ludovice, C. L. Henderson, J. Vac. Sci. Technol. B 2013,

31, DOI Artn 06f302 Doi 10.1116/1.4821652.

[180] R. D. Peters, X. M. Yang, T. K. Kim, P. F. Nealey, Langmuir 2000, 16, 9620.

[181] W. A. Phillip, B. O’neill, M. Rodwogin, M. A. Hillmyer, E. L. Cussler, ACS Appl. Mater.

Interfaces 2010, 2, 847.

[182] N. Rehse, A. Knoll, M. Konrad, R. Magerle, G. Krausch, Phys. Rev. Lett. 2001, 87, DOI

Artn 035505 Doi 10.1103/Physrevlett.87.035505.

[183] H. Rettig, E. Krause, H. G. Börner, Macromol. Rapid Commun. 2004, 25, 1251.

[184] D. A. Rider, K. A. Cavicchi, L. Vanderark, T. P. Russell, I. Manners, Macromolecules 2007,

40, 3790.

[185] L. Rockford, Y. Liu, P. Mansky, T. Russell, M. Yoon, S. Mochrie, Phys. Rev. Lett. 1999, 82,

2602.

[186] M. D. Rodwogin, C. S. Spanjers, C. Leighton, M. A. Hillmyer, in ACS Nano, 2010, pp.

725–732.

[187] F. Rose, J. K. Bosworth, E. A. Dobisz, R. Ruiz, Nanotechnology 2011, 22, 035603.

[188] F. Rose, J. K. Bosworth, E. A. Dobisz, R. Ruiz, Nanotechnology 2011, 22, 035603.

Page 147: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

131

[189] C. A. Ross, K. K. Berggren, J. Y. Cheng, Y. S. Jung, J. B. Chang, Adv. Mater. 2014, 26,

4386.

[190] R. Ruiz, R. L. Sandstrom, C. T. Black, Adv. Mater. 2007, 19, 587.

[191] R. Ruiz, E. Dobisz, T. R. Albrecht, ACS Nano 2011, 5, 79.

[192] R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo,

P. F. Nealey, Science 2008, 321, 936.

[193] R. Ruiz, N. Ruiz, Y. Zhang, R. L. Sandstrom, C. T. Black, Adv. Mater. 2007, 19, 2157.

[194] M. Ruppel, C. W. Pester, K. M. Langner, G. J. A. Sevink, H. G. Schoberth, K. Schmidt, V.

S. Urban, J. W. Mays, A. Boker, ACS Nano 2013, 7, 3854.

[195] T. Russell, G. Coulon, V. Deline, D. Miller, Macromolecules 1989, 4600.

[196] D. Y. Ryu, K. Shin, E. Drockenmuller, C. J. Hawker, T. P. Russell, Science (80-. ). 2005,

308, 236.

[197] D. Y. Ryu, S. Ham, E. Kim, U. Jeong, C. J. Hawker, T. P. Russell, Macromolecules 2009,

42, 4902.

[198] S. Sakurai, H. Bando, H. Yoshida, R. Fukuoka, M. Mouri, K. Yamamoto, S. Okamoto,

Macromolecules 2009, 42, 2115.

[199] V. F. Scalfani, T. S. Bailey, Chem. Mater. 2010, 22, 5992.

[200] M. A. J. Schellekens, F. de Wit, B. Klumperman, Macromolecules 2001, 34, 7961.

Page 148: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

132

[201] E. L. Schwartz, J. K. Bosworth, M. Y. Paik, C. K. Ober, Adv. Resist Mater. Process.

Technol. Xxvii, Pts 1 2 2010, 7639, DOI Artn 76390g Doi 10.1117/12.848409.

[202] R. A. Segalman, H. Yokoyama, E. J. Kramer, Adv. Mater. 2001, 13, 1152.

[203] R. A. Segalman, Mater. Sci. Eng. R Reports 2005, 48, 191.

[204] C. Shin, H. Ahn, E. Kim, D. Y. Ryu, J. Huh, K. W. Kim, T. P. Russell, Macromolecules

2008, 41, 9140.

[205] D. O. Shin, J. H. Mun, G. T. Hwang, J. M. Yoon, J. Y. Kim, J. M. Yun, Y. B. Yang, Y. Oh,

J. Y. Lee, J. Shin, K. J. Lee, S. Park, J. U. Kim, S. O. Kim, ACS Nano 2013, 7, 8899.

[206] K. Shin, K. A. Leach, J. T. Goldbach, D. H. Kim, J. Y. Jho, M. Tuominen, C. J. Hawker, T.

P. Russell, Nano Lett. 2002, 2, 933.

[207] A. P. Smith, J. F. Douglas, J. C. Meredith, E. J. Amis, A. Karim, Phys. Rev. Lett. 2001, 87,

015503.

[208] J. G. Son, J. B. Chang, K. K. Berggren, C. A. Ross, Nano Lett. 2011, 11, 5079.

[209] J. G. Son, K. W. Gotrik, C. A. Ross, ACS Macro Lett. 2012, 1, 1279.

[210] J. G. Son, J. Gwyther, J. B. Chang, K. K. Berggren, I. Manners, C. A. Ross, Nano Lett. 2011,

11, 2849.

[211] J. G. Son, A. F. Hannon, K. W. Gotrik, A. Alexander-Katz, C. A. Ross, Adv. Mater. 2011,

23, 634.

Page 149: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

133

[212] J. Stadermann, M. Erber, H. Komber, J. Brandt, K. J. Eichhorn, M. Bonsch, M. Mertig, B.

Voit, Macromolecules 2010, 43, 3136.

[213] G. E. Stein, E. J. Kramer, X. Li, J. Wang, Phys. Rev. Lett. 2007, 98, DOI

10.1103/PhysRevLett.98.086101.

[214] W. Steinhogl, G. Schindler, G. Steinlesberger, M. Traving, M. Engelhardt, Microelectron.

Eng. 2004, 76, 126.

[215] G. Storms, S. Winkelmeier, I. Pollentiera, M. Erckena, S. Decouterea, W. Sansenb, n.d.,

307.

[216] M. P. Stoykovich, K. C. Daoulas, M. Muller, H. M. Kang, J. J. de Pablo, P. F. Nealey,

Macromolecules 2010, 43, 2334.

[217] M. P. Stoykovich, E. W. Edwards, H. H. Solak, P. F. Nealey, Phys. Rev. Lett. 2006, 97, DOI

Artn 147802 Doi 10.1103/Physrevlett.97.147802.

[218] M. P. Stoykovich, H. Kang, K. C. Daoulas, G. Liu, C. C. Liu, J. J. de Pablo, M. Mueller, P.

F. Nealey, ACS Nano 2007, 1, 168.

[219] M. P. Stoykovich, M. Muller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F.

Nealey, Science (80-. ). 2005, 308, 1442.

[220] M. P. Stoykovich, K. C. Daoulas, M. Muller, H. Kang, J. J. de Pablo, P. F. Nealey,

Macromolecules 2010, 43, 2334.

Page 150: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

134

[221] M. P. Stoykovich, H. Kang, K. C. Daoulas, G. Liu, C. C. Liu, J. J. De Pablo, M. Müller, P. F.

Nealey, ACS Nano 2007, 1, 168.

[222] M. P. Stoykovich, P. F. Nealey, Mater. Today 2006, 9, 20.

[223] M. Stucchi, M. Bamal, K. Maex, Microelectron. Eng. 2007, 84, 2733.

[224] G. R. Sun, S. H. Cho, C. Clark, S. V Verkhoturov, M. J. Eller, A. Li, A. Pavia-Jimenez, E. A.

Schweikert, J. W. Thackeray, P. Trefonas, K. L. Wooley, J. Am. Chem. Soc. 2013, 135,

4203.

[225] D. Sundrani, S. B. Darling, S. J. Sibener, Nano Lett. 2004, 4, 273.

[226] D. Sundrani, S. B. Darling, S. J. Sibener, Langmuir 2004, 20, 5091.

[227] Y. Tada, S. Akasaka, H. Yoshida, H. Hasegawa, E. Dobisz, D. Kercher, M. Takenaka,

Macromolecules 2008, 41, 9267.

[228] C. B. Tang, J. Bang, G. E. Stein, G. H. Fredrickson, C. J. Hawker, E. J. Kramer, M. Sprung,

J. Wang, Macromolecules 2008, 41, 4328.

[229] K. G. A. Tavakkoli, S. M. Nicaise, A. F. Hannon, K. W. Gotrik, A. Alexander-Katz, C. A.

Ross, K. K. Berggren, Small 2014, 10, 493.

[230] T. Thurn-Albrecht, J. Schotter, G. A. Kästle, N. Emley, T. Shibauchi, L. Krusin-Elbaum, K.

Guarini, C. T. Black, M. T. Tuominen, T. P. Russell, Science 2000, 290, 2126.

Page 151: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

135

[231] T. Thurn-Albrecht, R. Steiner, J. DeRouchey, C. M. Stafford, E. Huang, M. Bal, M.

Tuominen, C. J. Hawker, T. Russell, Adv. Mater. 2000, 12, 787.

[232] T. Thurn-Albrecht, J. DeRouchey, T. P. Russell, R. Kolb, Macromolecules 2002, 35, 8106.

[233] Y. H. Ting, S. M. Park, C. C. Liu, X. S. Liu, F. J. Himpsel, P. F. Nealey, A. E. Wendt, J. Vac.

Sci. Technol. B 2008, 26, 1684.

[234] A. Voigt, M. Heinrich, K. Hauck, R. Mientus, G. Gruetzner, M. Topper, O. Ehrmann,

Microelectron. Eng. 2005, 78-79, 503.

[235] Y. Wang, X. Hong, B. Liu, C. Ma, C. Zhang, Macromolecules 2008, 41, 5799.

[236] A. M. Welander, H. M. Kang, K. O. Stuen, H. H. Solak, M. Muller, J. J. de Pablo, P. F.

Nealey, Macromolecules 2008, 41, 2759.

[237] A. M. Welander, H. Kang, K. O. Stuen, H. H. Solak, M. Müller, J. J. De Pablo, P. F. Nealey,

2008, 2759.

[238] G. M. Wilmes, D. A. Durkee, N. P. Balsara, J. A. Liddle, V. Di, L. Berkeley, 2006, 2, 2435.

[239] J. Xiao, S. Dunham, P. Liu, Y. Zhang, C. Kocabas, L. Moh, Y. Huang, K. C. Hwang, C. Lu,

W. Huang, J. A. Rogers, Nano Lett. 2009, 9, 4311.

[240] T. Xu, J. T. Goldbach, M. J. Misner, S. Kim, A. Gibaud, O. Gang, B. Ocko, K. W. Guarini,

C. T. Black, C. J. Hawker, T. P. Russell, Macromolecules 2004, 37, 2972.

Page 152: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

136

[241] T. Xu, M. J. Misner, S. Kim, J. D. Sievert, O. Gang, B. Ocko, T. P. Russell, New Polym.

Mater. 2005, 916, 158.

[242] T. Xu, J. Stevens, J. A. Villa, J. T. Goldbach, K. W. Guarim, C. T. Black, C. J. Hawker, T. R.

Russell, Adv. Funct. Mater. 2003, 13, 698.

[243] T. Xu, Y. Zhu, S. P. Gido, T. P. Russell, Macromolecules 2004, 37, 2625.

[244] T. Xu, A. V Zvelindovsky, G. J. A. Sevink, K. S. Lyakhova, H. Jinnai, T. P. Russell,

Macromolecules 2005, 38, 10788.

[245] Y. Xuan, J. Peng, L. Cui, H. Wang, B. Li, Y. Han, Macromolecules 2004, 37, 7301.

[246] A. Yamaguchi, H. Fukuda, T. Arai, J. Yamamoto, T. Hirayama, D. Shiono, H. Hada, J.

Onodera, J. Vac. Sci. Technol. B Microelectron. Nanom. Struct. 2005, 23, 2711.

[247] T. Yamaguchi, H. Yamaguchi, Adv. Mater. 2008, 20, 1684.

[248] H. F. Yang, A. Z. Jin, Q. Luo, J. J. Li, C. Z. Gu, Z. Cui, Microelectron. Eng. 2008, 85, 814.

[249] X. M. Yang, L. Wan, S. G. Xiao, Y. A. Xu, D. K. Weller, ACS Nano 2009, 3, 1844.

[250] Q. Yinghong, W. Dong, J. M. Buriak, Nano Lett. 2007, 7, 464.

[251] W.-S. Young, T. H. Epps,, Macromolecules 2009, 42, 2672.

[252] U. Zettl, A. Knoll, L. Tsarkova, Langmuir 2010, 26, 6610.

Page 153: SELF-ASSEMBLED NANOSTRUCTURES IN BLOCK COPOLYMER …

137

[253] J. Zhang, Y. Gao, R. A. Alvarez-Puebla, J. M. Buriak, H. Fenniri, Adv. Mater. 2006, 18,

3233.

[254] X. H. Zhang, J. F. Douglas, R. L. Jones, Soft Matter 2012, 8, 4980.

[255] X. Zhang, B. C. Berry, K. G. Yager, S. Kim, R. L. Jones, S. Satija, D. L. Pickel, J. F.

Douglas, A. Karim, ACS Nano 2008, 2, 2331.

[256] X. Zhang, P. Tanner, A. Graff, C. G. Palivan, W. Meier, J. Polym. Sci. Part A Polym. Chem.

2012, 50, 2293.

[257] Semicond. Ind. Assoc. Int. Technol. Roadmap Semicond. 2012.