new all rights reservedepubs.surrey.ac.uk/844322/1/10148804.pdf · 2018. 6. 20. · simox...

253

Upload: others

Post on 25-Oct-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

All rights reserved

INFORMATION TO ALL USERS The quality of this reproduction is dependent upon the quality of the copy submitted.

In the unlikely event that the author did not send a com p le te manuscript and there are missing pages, these will be noted. Also, if materia! had to be removed,

a note will indicate the deletion.

Published by ProQuest LLC (2017). Copyright of the Dissertation is held by the Author.

All rights reserved.This work is protected against unauthorized copying under Title 17, United States C ode

Microform Edition © ProQuest LLC.

ProQuest LLC.789 East Eisenhower Parkway

P.O. Box 1346 Ann Arbor, Ml 48106- 1346

C h a ra c te r iz a t io n a j t f C o n t r o l o f C ry s ta llo g ra p h ic D e fe c ts T h in f i l m S IM O X M a te r ia ls I H I w

V

Submitted for the degree of

Doctor of Philosophy

to

Department of Electronic and Electrical Engineering

University of Surrey

Luis Felipe Giles

December 1994.

0 1994, Luis Felipe Giles

A b s t r a c t

Crystallographic defects present in the silicon overlayer of thin (< 1000 A) SIMOX material have been characterized using a newly developed etchant and by transmission electron microscopy. Rutherford backscattering spectroscopy has also been used to determine the chemical composition and thickness of the synthesised layers. The thin SIMOX layers were produced by two different methods, namely (i) sacrificial oxidation of the silicon overlayer of thick (2000 A) SIMOX films and (ii) low energy 0 + implantation.

The main crystallographic defects present in materials prepared by sacrificial oxidation are threading dislocations and oxidation induced stacking faults (OISF) whose density and size depends mainly upon the oxidation conditions (temperature, time) and also on the number of nucleation sites present before oxidation. The nucleation of these OISF has been investigated and it has been observed that stacking fault (SF) complexes are the main nucleation sites. The density of threading dislocations within the Si overlayer does not increase during oxidation. The lowest density of OISF (5,0xl02 cm"2) was observed in layers thinned by dry oxidation although some layers contained up to 5,0x105 cm'2. This difference in OISF densities was attributed to differences in the densities of the SF complexes before oxidation.

Thin film SIMOX structures formed by low energy implantations also contain two principal defect types namely, threading dislocations and stacking fault complexes, where the densities depend upon the implantation and annealing conditions. A low density of threading dislocations is only obtained when parameters, such as dose and implantation temperature are optimised. Furthermore, it is also observed that thermal and mechanical stresses produced in the silicon overlayer during implantation or annealing, need to be minimised in order to obtain low defect density material. It has been shown by whole wafer defect mapping of six inch SIMOX wafers implanted under optimised conditions, that a uniform distribution of defects can be achieved, having an average defect density as low as 1.0xl04cm'2.

Additionally, the effects of implantation damage on the formation of secondary defects have also been investigated. The results have shown that the coalescence of point defects generated during implantation produce a high density of dislocation loops that, depending upon the annealing treatment, develop into threading dislocations or OISF.

These experiments confirm that careful optimisation of the processing conditions, such as implantation temperature and dose uniformity, can significantly reduce defect densities thus enhancing the prospect of thin film SIMOX as a suitable substrate for folly depleted MOS devices.

ii Abstract

cDedicated to my parents

Barbara and Antonio,

to my w ife Danielle,

to my brothers & sisters,

Antonio, Stylanolo, Carla, JQudana

and io Quillermo Sfiniunez de Cdayo/o.

Acknowledgem ents

I would like to express my gratitude to my supervisor Dr. P.L.F. Hemment for his

support and encouragement throughout the course of this research project. My thanks

also to Dr. A. Nejim for his guidance and support during the preparation of the SIMOX

wafers at the University of Surrey and for organizing SIMS analysis of selected samples. I

would like also to acknowledge Eaton Corporation (Mr. N. Meyyappan) for the thin film

SIMOX substrates prepared using the NV-10-80 implanter and LETI (Dr. J. Margail),

SOITEC (Dr. A. Auberton-Herve) and IBIS Corporation (Dr. A. Witthower) for the

thick film SIMOX substrates prepared in commercial NV-200 machines. Dr. M. Lourenco

is also acknowledged for the X-ray diffraction analyses.

I am also grateful to Dr. A. Claverie (CNRS, France) and Dr. C. Marsh (University of

Oxford) for very helpful discussions.Thanks are also extended to my friends and

colleagues Mr. F. Cristiano and Mr. G. Curello for preparing some of the TEM samples

and also for many constructive discussions. I would like also to express my thanks to the

staff of the D.R. Chick Laboratory and the staff of the Microstructure Studies Unit

(MSSU) of the Department of Materials Science who helped me to carry out many of

the experiments described in this work.

I would like to acknowledge the financial support of CAPES (Brazil) without which this

project would not have been possible. Finally, my warmest thanks must go to my wife

Danielle for her help, dedication and support throughout these years.

iv AclmowMedgements

A b b r e v ia tio n s

AES- Auger Electron Spectroscopyy BOX - Buried Oxide BF- Bright FieldCMOS - Complementary Metal Oxide SemiconductorCVD - Chemical Vapour DepositionDF- Dark FieldDL - Dislocation loopELO - Epitaxial Lateral OvergrowthFIPOS - Full Insulation by Porous Oxidised SiliconFWHM - Full Width Half MaximumHTA - High Thermal AnnealingIIB - Ion Implanted BoundaryIR - Infra RedLSI - Lar ge Scale IntegrationMBE -Molecular Beam EpitaxyMOS- Metal Oxide SemiconductorNSF - Narrow Stacking FaultOISF - Oxidation Induced Stacking FaultOM - Optical MicroscopyPVTEM - Plan View Transmission Electron MicroscopyRBS - Rutherford Backscattering SpectroscopyRED - Radiation Enhanced DiffusionSDL - Semi Dislocation LoopSEM - Scanning Electron MicroscopySF - Stacking FaultSFP - Stacking Fault PyramidalSFT - Stacking Fault TetrahedronSI A - Sequential Implants and AnnealsSIMOX - Separation by Implanted OxygenSIMS - Secondary Ion Mass SpectroscopySOI - Silicon On InsulatorSOS - Silicon On SaphireSPE - Solid Phase EpitaxyTD - Threading DislocationTEM - Transmission Electron MicroscopyVLSI - Very Large Scale IntegrationWB-Weak BeamXRD - X-Ray DiffractionXTEM - Cross Section Transmission Electron Microscopy ZMR - Zone Melt and Regrowth

v Abbreviations

Contents

Acknowledgem ents iv

A bbreviations v

Chapter One: In tro d u c tio n

1. Introduction 1

Chapter Two: L ite ra tu re Survey

2.1 Introduction 7

2.2 Evolution of SIMOX technology 8

C hapterThree: B ackground

3.1 Introduction 223.2 Ion beam synthesis of Si02 23

3.2.1 Formation of a buried oxide layer 243.3 Crystallographic defects in oxygen implanted silicon 34

3.3.1 Radiation damage as a source of secondary defects 343.3.2 Internal oxidation of silicon as a source of defects 37

C hapter F o u r : E xperim enta l details

4.1 Sample description 394.1.1 Oxygen implantations at the University of surrey 404.1.2 Oxygen implantations at Eaton Corporation 464.1.3 Standard SIMOX substrates 484.1.4 BF2+5 Ge+ and Si+ implantations 494.1.5 Sacrificial oxidation 52

4.2 Characterization methods 544.2.1 Chemical defect etching 54

4.2.1.1 Etching experiment details 554.2.2 TEM 574.2.3 RBS 574.2.4 Other methods 58

A b s t r a c t ii

vi C o n te n ts

C h a p te r F iv e : N e w e tc h a n t

5.1 Introduction 595.2 Development of an optimum defect etchant for thin Si layer 60

5.2.1 Dilute silicon etchants 605.2.2 New etchant 72

5.3 Distribution of defects in thick SIMOX 815.3.1 Lateral distribution of defect 815.3.2 Defect distribution-depth 84

Chapter S ix : Results I - S a c rific ia lly oxid ised S IM O X

6.1 Introduction 926.2 Defects in sacrificiallyoxidised SIMOX 93

6.2.1 Crystallographic defects in standard SIMOX 936.2.2 Crystallographic defects in sacrificially oxidised SIMOX 93

6.3 Sacrificial oxidation of ion implanted SIMOX materials 110

Chapter Seven: Results I I - L o w energy oxygen im plants

7.1 Introduction 1267.2 Wafers prepared by Eaton Corporation 127

7.2.1 General description 1277.2.2 Microstructure 1287.2.3 Defect distribution-depth 1327.2.4 Defect distribution-lateral 1347.2.5 Crystallographic defects in the silicon substrate 1447.2.6 Defects associated with edge effects 145

7.3 Wafers prepared at the University of Surrey 1477.3.1 General description 1477.3.2 SIMOX structures 1487.3.3 Defect distribution-lateral 1507.3.4 Evolution of threading dislocations during annealing 1577.3.5 Defect density-dependence upon implant temperaturre 1587.3.6 Edge effects-stress related 1607.3.7 Defect densities in the silicon overlayer 1657.3.8 Crystallographic defects in the Si substrate 167

vii C o n te n ts

C h a p te r E ig h t: D is c u s s io n

8.1 Introduction 1708.2 Crystallographic defects in sacrificially oxidised SIMOX 171

8.2.1 Nucleation process of OISF in SIMOX 1718.2.2 Evolution of OISF during oxidation 179

8.3 Crystallographic defects in low energy and low dose SIMOX 1878.3.1 Effects of thermal stresses on the nucleation of

defects in SIMOX 1898.3.2 Effects of contamination on the formation of

crystallographic defects 191

Chapter N ine : Conclusions and Suggestions fo r fu tu re w ork

9.1 Crystallographic defects in SIMOX thinned by sacrificial oxidation194

9.2 Crystallographic defect in low energy, low dose SIMOX195

9.2.1 SIMOX wafers produced at the University of Surrey 1959.2.2 SIMOX wafers produced at Eaton Corporation 196

9.3 Suggestions for future work 196

References 198

A ppendix A - S O I Technologies 208A ppendix B - Chem ical defect etch ing 211A ppendix C - D islocations and p la s tic flo w in the diam ond structu re 221A ppendix D - P repara tion o f T E M samples 232A ppendix E - L is t o f s a c rific ia l oxid ised samples 23 4P ublica tions 243

viii C o n te n ts

C h a p t e r O n e : I n t r o d u c t i o n

Complementary metal oxide semiconductor (CMOS) technology is the most common

device architecture used for very large scale integration (VLSI) microelectronics and

although bulk silicon is still used as its main substrate, it is expected that for the next

generation devices ( say < 0.25 pm), silicon on insulator (SOI) substrates could replace

bulk silicon in order to reduce parasitic effects between neighbouring devices and to

increase speed [1]. Indeed, the improved performance of devices formed on SOI

substrates results from reduced parasitic capacitance, latch-up suppression due to the

dielectric insulation and inherent radiation hardness[2]. In addition it is possible that SOI

substrates will be used, in the near future, for low power circuits for "hand held"

equipments [3].

During the last thirty years, a great deal of work has been dedicated to improve the

quality of SOI substrates. Indeed, during this time several SOI technologies were

developed and among the most important are Silicon on Sapphire[4](SOS), Fully

1 Introduction

Insulated Porous Oxidized Silicon[5] (FIPOS), Wafer Bonding,[6], Zone Melt

Regrowth[7] (ZMR) and Separation by Implanted Oxygen (SIMOX). However, in spite

of all the technological advances made during the 1980s these materials are only now

just beginning to reach a desirable quality ( defect density, lateral uniformity) to compete

with bulk Si technology (appendix A). Control of crystal quality, reduction of wafer cost

and designing of new compatible architectures are some of the main problems currently

being addressed ( see appendix A).

Among all of the SOI technologies, SIMOX is arguably the most promising material for

high complexity CMOS circuits and even bipolar applications[8]. It has been successfully

used as the substrate for 1 Mbit SRAM[9] memories and many others[10]. Furthermore,

fully depleted MOS devices have been successfully fabricated in thin film SIMOX ( <

1000 A) and it has been shown that higher drive currents and frequencies of operation

can be achieved and that deleterious effects, arising from parasitic capacitances and short

channel effects, can be reduced leading to further improvement to circuit

performance[l 1].

SIMOX substrates are fabricated by a two step process which involves the implantation

of high doses of energetic oxygen ions into (100) silicon wafers followed by a

post-implantation thermal annealing used to annihilate defects and to redistribute the

implanted oxygen. Standard SIMOX substrates having a silicon film thickness of about

3000 A and an oxide thickness of about 4000 A are normally produced by implanting a

dose of 1.8x1018 0 +cm'2 at energies of 180 keV to 200 keV and annealing at 1300°C for

typically six hours in an argon plus oxygen ambient[12]. Thin film SIMOX can be

obtained either by sacrificial thermal oxidation of the overlayer of thick SIMOX or by

implanting with lower energies and doses.

2 Introduction

As with other SOI technologies, SIMOX has still to overcome some obstacles before

becoming the preferred SOI substrate for mainstream CMOS technology. The main

problems facing SIMOX technology are the presence of residual defects (usually >

104cm'2) in the silicon overlayer, the quality of the buried oxide layer and the wafer cost

[13] . Although the quality of the silicon overlayer has improved dramatically from the

early days ( from 109 cm'2 to 104 cm'2 and lower) it still needs to be reduced to values

typical of bulk silicon before it will be acceptable for bipolar applications. In order to

achieve this goal ( defect free SIMOX) it is important to identify the mechanisms of

formation of crystallographic defects in SIMOX.

Paradoxically, the success in producing relatively low defect density materials ( 104 cm'2)

created new problems which impeded studies of the mechanisms of formation of

crystallographic defects due to the lack or inadequacies of available characterization

methods to delineate such low defect densities.

Among the direct characterization methods available to delineate crystallographic defects

in silicon, the most versatile are plan view transmission electron microscopy (PVTEM),

cross-section transmission electron microscopy (XTEM) and X-ray topography.

Arguably, XTEM is the best technique, however it is unfortunate that the high

magnification which is necessary to resolve the defects gives poor statistics for low defect

densities ( < 107cm*2) and, due to the complexity of sample preparation, the throughput of

samples is generally low. The spatial resolution of plan view TEM means that it is

impractical to use the technique to determine the areal density and the defect population

in samples containing defect densities lower than 104cm'2.

3 Introduction

X-ray topography[14] is another direct method extensively used to characterize defects in

bulk silicon. Like TEM, the contrast observed originates from regions that have a non

periodical arrangement of atoms and therefore, do not obey the Bragg law[15]. The main

difference between X-ray and electron diffraction is the radiation penetration depth,

which in the case of X-rays is almost two orders of magnitude greater (100 pm) than

electron penetration [16].This feature makes the use of X-ray diffraction topography

extremely difficult for the analysis of thin films since the defect contrast from the thin

films (<1.0 pm) is extremely weak compared with the contrast of defects present in

the bulk of the material. Therefore, although very attractive, since large areas can be

analysed at the same time, X-ray topography is generally not practical for the analysis of

defects in the silicon overlayer of SIMOX substrates.

Indirect methods such as RB S/channeling [17], photo luminescence[18], device leakage

currents[19] and chemical defect etching[20] could be used as alternative methods to

TEM analyses since these methods are sensitive to the presence of crystallographic

defects. However, only chemical defect etching can be used to accurately estimate the

density of crystallographic defects. The method is based upon the formation of etch pits

at the intersection of defects with the surface. Its advantage is that it is a simple technique

and only requires SEM or even optical microscopy to visualise the etch pits and,

therefore, can be used to analyse samples with a wide range of defect densities. Indeed,

chemical etching may be used to analyse samples containing a very low density (say 10

cm'2) up to a density of 109 cm'2 ( see Fig. 1.1).

4 In tw duction

XTEMC hem ica l e tch in g

105 - 11

PVTEM 1 01 0 5

Fig. 1.1 - Schematic showing the range o f defect densities for the different characterization methods.

However, standard bulk silicon defect etchants can not be applied directly to the study of

thin film SOI substrates because they require at least 1 pm of Si to be removed in order

to form resolvable etch pits (by optical microscopy). If less silicon is removed the

technique is found to be unreliable. Indeed, the reliability of the etchant will depend

upon its effectiveness to nucleate an etch pit at each crystallographic defect and this will

depend upon the actual thickness of the silicon film since the probability to nucleate all

defects will increase with etching time, that is to say with thickness of silicon removed.

In this project a systematic analysis of different silicon etchant systems has been carried

out in order to identify and optimise an etchant suitable for thin silicon films ( < 1000

A). This etchant has subsequently been used to identify crystallographic defects and to

facilitate a study of the evolution and annihilation of extended defects.

The overall aim of this project was to characterize the extended defects in thin film

SIMOX material and determine methods to control the nucleation and growth of these

defects.For this purpose a new defect etchant suitable for ultra thin silicon films has been

developed. The development of a novel characterization technique which is at the same

5 Introduction

time simple, quick and reliable opens the way not only to assess the quality of materials

produced in different ways but also enables the processing conditions to be optimised in

order to control crystallographic defect formation and, therefore, to obtain materials with

lower defect densities.

Furthermore, the availability of this new characterization technique can be used, in the

future, not only in SOI technology but also in other group IV materials such as Si/SiGe

hetero-epitaxy structures or ion beam synthesised Si/silicide structures which also call for

analysis of thin films of silicon.

This thesis contains nine chapters including this introductory one. In chapter 2, we

present a literature survey of publications relevant to this work. A background to the

basic physical aspects of ion beam synthesis of Si02 and to the main sources of defects in

SIMOX are presented in chapter 3 whilst in chapter 4, we describe the experimental

conditions and procedures used to prepare and analyse the thin films. In chapters 5,6 and

7 we present the experimental results. In chapter 5, we investigate and optimise a new

etchant suitable for thin silicon overlayers and in chapter 6, we apply it to the analysis

of thin film SIMOX prepared by sacrificial oxidation. In chapter 7 we report further use

of the the new etchant together with TEM analysis of thin film SIMOX produced by low

energy and low dose oxygen implantation. In chapter 8, we discuss the results presented

in chapters 6 and 7 . Finally, in chapter 9 we present our conclusion and suggestions for

future work.

6 Inti'oduction

C h a p te r T w o : L ite ra tu re S u rv e y

2 .1 I n tr o d u c t io n .

In this chapter we present a literature survey of the processing and materials

characterization of SIMOX structures. We first review the advances made in SIMOX

technology from the early idea of using ion implantation as a method to produce a buried

layer of Si02 up to todays ultra thin SIMOX structures. We also report on the

characterization methods that have been used to delineate crystallographic defects in the

SIMOX materials.

7 Literature Survey

The first report on ion implantation as a method to introduce impurities in a controllable

way into a substrate was made by Smith et al. [21] in 1956. However, it was eleven years

later (1967) that Watanabe and Tooi [22] reported for the first time, the successful

synthesis of Si02 by 0 + implantation into bulk silicon. They used a relatively low energy

(40 keV) and high dose (2.0x1018 0 +cm'2) and successfully formed a surface oxide which

was identified by infra-red (IR) spectroscopy and ellipsometry measurements. They

speculated on the use of ion beam synthesis as an alternative to thermal oxidation for the

formation of thin oxide layers. In the same year, Pavlov and Shitova[23] analyzed the

properties of the synthesised oxide using infra red spectroscopy and electron diffraction

and concluded that the oxide layer consisted of a mixture of Si02 and sub oxides (SiOx,

where x <2).

At this time, the implantation energies were low, typically 40 keV to 60 keV, because the

main objective was to produce a surface oxide. During the early 1970s, the quality of the

synthesised oxides was further assessed and the consensus was that they were inferior

to thermal oxides[24]. However, IR spectroscopy and I-V measurements [25] showed

that at least some of their properties (IR and passivation properties) were comparable to

a thermal oxide.

In 1977, the use of a synthesised buried oxide layer to isolate semiconductor devices was

proposed by Badawi and Anand[26]. They suggested that high energy and a medium

dose would enable a device worthy buried oxide layer to be formed. In the following

year, Izumi et al.[27] reported the successful synthesis of a buried Si02 layer formed by

2 .2 E v o lu t io n o f S I M O X te c h n o lo e v .

8 Literature Survey

implanting a high dose (<j)= 2.0xl018 0 + cm'2 ) of energetic oxygen ions (E= 150 keV) into

(100) silicon followed by an anneal at 1150°C for 2 hours. Afterwards, they grew an

epitaxial layer on top of the silicon overlayer in which CMOS devices, having operation

speeds twice as faster as devices on bulk silicon, were successfully fabricated. They

proposed the acronym SIMOX (Separation by IMplanted OXygen) for this new SOI

technology.

Subsequently, several groups[28,29] were involved in the formation and analysis of

SIMOX structures. The University of Surrey in collaboration with Middlesex Polytechnic

were the first groups to use Rutherford Backscattering (RBS) of 1.5MeV He* to

characterize both the silicon overlayer and buried oxide layer of SIMOX structures. This

analysis technique enabled the oxygen depth profiles to be determined and Gill [30]

investigated the evolution of the buried oxide layer with increasing oxygen dose using

RBS. He observed that by increasing the oxygen dose a Gaussian-like depth distribution

of oxygen evolved into a flat topped distribution. Gill and Wilson [31] suggested that

after the oxygen reached the stoichimetric value of oxygen in Si02 (4.48x1022 0 + cm'3)

the excess oxygen (at the peak of concentration depth-profile) diffused to the wings of the

distribution enabling the growth of the buried oxide layer.

Meanwhile in Japan, Hayashi et al.[32] were the first to use transmission electron

microscopy (TEM) to determine the microstructure of SIMOX materials. They analyzed

samples implanted at 150 keV with a wide range of doses (0.3xl018 to 2.4xl018 0 +

cm)'2 and annealed at 1150°C for 2 hours. They observed that the quality of the silicon

overlayer in samples implanted with the highest doses was very poor, with the presence of

microtwins and polycrystalline silicon. Hayashi et al. [33] used Auger Electron

Spectroscopy (AES) to determine the concentration-depth profiles of silicon and oxygen

9 Literature Survey

in the buried oxide layers in samples implanted with 1.8x10'* O' cm2 and found that the

concentrations saturated at the values of stoichiometric SiOz, namely, 33% and 67%

respectively. In addition, they found that the interface between the implanted buried oxide

layer and the silicon overlayer was abrupt, suggesting that no intermediate regions were

present at these interfaces.

The application of cross-sectional TEM (XTEM) by Booker and co-authors[34] in 1980

gave more information about the microstructure of the buried oxide layers and the quality

of the silicon overlayers Subsequently, the application of Secondary Ion Mass

Spectroscopy (SIMS) [35,36,37] enabled the evolution of the oxygen distributions to be

followed with increasing dose and anneal conditions. Studies carried out by Hemment et

al [38] showed that oxygen diffusion was preferentially driven to the upper Si SiO:

interface They attributed this behaviour to a radiation enhanced diffusion (RED)

process[39] due to a higher population of point defects in the vicinity of the upper

Si/Si02 interface (see Fig. 2 1).

0c =14* 10lfc*0 cmJal 200 KeV

Fig. 2.1 - Schematic o f the evolution o f the SiOj layer with increasing oxygen dose. [38]

10 Literature Survey

In spite of this worldwide research effort, the relatively poor quality of the silicon

overlayer impeded the further development of SIMOX technology. Analysis by XTEM

[40] showed that the main defects present in the silicon overlayer were Si02 precipitates

and dislocations which in the early 1980s had a density, typically of 10n cm'2 . It was

observed that two different types of dislocations were present namely, type I, which were

dislocations that thread from the buried oxide layer to the surface. These dislocations

were named "threading dislocations" and were generally observed in pairs separated by a

distance of less than 0.1 pm. Type II dislocations, started from a Si02 precipitate and

ended at a neighbouring precipitate remaining parallel to the surface. The threading

dislocations were later characterized by Krause et al [41] as being edge dislocations

having 1/2 <110> Burgers vectors. Although the mechanisms of formation of the

threading dislocations were not understood, it was clear at that time that the use of higher

implantation temperatures would lead to lower residual defect densities due to the

enhanced self annealing during implantation. In 1984, Holland et al [42] were the first

to introduce background heating to increase and control the implantation temperature. By

so doing, they successfully reduced the level of dislocations in the silicon overlayer by

three orders of magnitude (from 1011 to 10s cm'2). However, the introduction of higher

implantation temperatures did not produce a reduction in the number of Si02 precipitates

in the silicon overlayer[43].

The big breakthrough in SIMOX technology occurred in 1986, when the mechanisms of

coalescence and dissolution of Si02 precipitates became better understood. Stoemenos

et al. [44] proposed that by using higher temperature annealings (1300°C) the Si02

precipitates present in the silicon overlayer could be completely eliminated. He

11 Literature Survey

demonstrated that at these high temperatures only the dissolution of the Si02 precipitates

occurred whilst the buried oxide layer remained thermally stable (see chapter 3)

The improvements in the quality of the silicon overlayer, both by the elimination of Si02

precipitates and reduction of dislocations, suddenly, placed SIMOX in the position of the

most promising SOI technology. Moreover, in the spring of 1986, the commissioning of

the first 100 mA high-current oxygen implanter (NV-200) , where the engineering

development was funded by Nippon Telephone and Telegraphs (NTT) [45] and the

machine was built by the Eaton Corporation, put SIMOX a step closer to implementation

as the preferred SOI substrate for radiation hard and small geometry CMOS circuits. This

change in the status of SIMOX technology was reflected by an increase in the number of

papers presented at the IEEE conferences during the late 1980s[46] ( see Fig 2 2)

100

£ 80<DCX03cx 60

f t - .oV 40

E2 20

083 84 85 86 87 88 89 90

Y e a r

Fig. 2.2 - Number o f SIMOX papers presented at the IEEE SOS/SOI technology workshops over the period 1983 to 1993 [ 46].

Device Physics

■ 3D□ Other SOI

E SIMOX□ SOS

12 Literature Survey

During 1987 to 1992, several groups [47,48,49] were involved in the materials

characterization of SIMOX with the primary objective of determining the mechanisms

responsible for the formation of threading dislocations. Although several mechanisms

were proposed none of them was experimentally verified, however, all of the researchers

agreed that the origin of threading dislocations was either due (i) to the evolution of

defects present in the as-implanted material ( before annealing) or (ii) to the creation of

defects during annealing.

Jaussaud et al [47] proposed that dislocations could be created by precipitate-induced

stresses during thermal ramping and annealing. Nevertheless, they concluded that under

optimum conditions (to give a stress free silicon overlayer) defect creation during

annealing was not the dominant mechanism but that the principal mechanism was due to

the evolution of defects present in the as-implanted state. This idea was shared by other

researchers and indeed, Stoemenos et al [48] proposed that the formation of paired

threading dislocations was due to the growth of surface defects (semi dislocation loops)

during annealing. They attributed the formation of these defects to the inability of the

surface to accommodate the flux of self interstitials, arriving at the wafer surface and

leading to a non ideal internal epitaxial growth. The defects were thus viewed as "growth

defects". On the other hand, Visitsemgtrakul et al. [49] proposed another mechanism in

which intrinsic stacking faults (SF) located near the surface grow towards the buried

oxide layer during annealing. They suggested that threading dislocations were formed by

the unfaulting of the SF during the expansion. De Veirman et al [50] also found near

surface defects on {111} habit planes and suggested that these defects were the

percursors of threading dislocations. Finally, Venables et al [51] suggested that

implantation-induced stresses in the silicon overlayer played a role in dislocation

13 Literature Survey

formation. Based on X-ray rocking curve determinations of the strain within the

overlayer, they showed that the upper portion of the silicon overlayer was in a stress state

of biaxial tension, probably due to the formation of vacancy-oxygen clusters. The stress

was believed to be of sufficient magnitude to provide a climb force for the expansion of

prismatic extrinsic half loops. These half loops could, then, expand over the whole silicon

overlayer and form paired dislocations.

As a result of these detailed studies a better understanding of the mechanism of defect

formation was achieved leading to the successful optimisation of the implantation

conditions and consequently to lower dislocation densities (< 105 cm'2). The introduction

of multiple implants and anneals by Hill et al [52] enabled the formation of SIMOX

structures with dislocation densities as low as 104 cm'2 to be achieved. The reason for

using multiple 0 + implants instead of the more conventional single 0 + implants, was to

avoid exceeding an experimentally established critical dose for crystallographic defect

formation[53].

Other methods to produce low defect density SIMOX appeared later, for example, El

Ghor et al.[54] demonstrated that implanting at high current densities together with high

implantation temperatures ( 600-675 °C) enabled the formation of cavities along the path

of the incident ions. These cavities act as sinks for point defects and reduce the stress

state of the silicon overlayer resulting in a low defect density.

Another method proposed by Van Ommen[55] is the implantation of oxygen ions in

channelled directions using low current beam densities . In this way, a cubic superlattice

of Si02 precipitates was formed . The ordering of the precipitates resulted in a decrease in

14 Literature Survey

the stress state of the silicon overlayer due to easier accommodation of the strain and, as a

consequence, the defect density was lower, being typically less than 105 cm'2.

At the end of the 1980s, when the first commercial SIMOX wafers appeared in the

market a recipe for good quality device worthy substrates was already well known ( see

table 2.1).[56]

Implantation Dose (0 + cm'2)

Energy(keV)

Implantationtemperature(°C)

Annealingtemperature(°C)

Annealing time (hrs)

Single 1.8xl018 190 700 1300 6

Multiple 3x (6x1017) 190 700 1300 3x2

Table 2.1 - Implantation and annealing conditions to obtain good quality SIMOX

Thin film SIMOX

At the beginning of the 1990s SIMOX was already well established as the most

promising SOI materials technology for high performance CMOS devices. However,

the advent of fully depleted CMOS devices[l 1] necessitated the fabrication of thin film (<

1000 A) SIMOX substrates. Additionally, the desirability of forming thin buried oxide

(BOX) layers to lower the dose and, hence, cost of the substrates shifted the attention of

researchers and substrate vendors to low dose and low energy implantations.

In 1990, Nakashima and Izumi [57] reported the formation of a continuous thin buried

oxide layer in samples implanted with low 0 + doses. They implanted 160 + into 100 mm

(100) silicon wafers at an energy of 180 keV over a large range of doses (0.1 to 2.4xl018

0 + cm'2) and observed extremely low defect densities (103cm'2 ) in substrates implanted

with doses (j) < 0.7xl018 0 + cm'2 (see Fig. 2.3).

15 Literature Survey

Fig. 2.3 - Dependence of defect density upon oxygen dose. [ref. 57 ]

Subsequently, several groups[58,59] in different continents ( Europe and USA) used low

dose 0 + implantations to form thin buried oxide layers. The SPIRE Corporation^8] was

a pioneer in the use of low dose and low energy 0 + implantations to produce thin

SIMOX structures. The low doses enabled thin buried oxide layers to be formed whilst

the use of low energies ensured that the silicon overlayer was thin also. Although they

succeeded in producing continuous buried oxide layers, no reports on the quality of the

silicon overlayer appeared in the open literature.

During the period of 1990 to 1993 the University of Surrey in collaboration with three

others laboratories (Imperial College, Oxford University and Liverpool University)

characterized thin SIMOX structures [59] prepared by low dose and low energy 0 +

implantations.In 1991, Li et al. [59] reported, for the first time, the production of good

16 Literature Survey

quality thin silicon overlayers (1000 A) on top of continuous thin buried oxide layers.

They produced these device worthy structures by implanting low oxygen doses ( (j) <

l.OxlO18 0 + cm'2 ) using a wide range of energies ( 40 to 90 keV) and annealed at

1300°C for 6 hours. Furthermore, they proposed a semi-empirical model to determine

the minimum oxygen dose ((j>cA) needed to form a continuous buried oxide as a function

of the implantation energy. They suggested that the necessary condition to obtain a single

oxide layer was that the separation between the peak of maximum damage (Rd) and the

peak of concentration (Rp) had to be smaller or equal to the estimated thickness (Tsi02) of

the synthesised layer of oxide, given by:

Tsioj = - f - (2.1)N?i02

Where d> is the oxygen dose and N°si02 is the oxygen concentration in stoichiometric

SiO, (N°Si0 - 4.48x1022 cm'3).

Therefore, according to Li et al. <I>AC is:

O ?=aR pN°si02 (2.2)

where a is:

01 = — (23)

From eq. (2.2) it is possible to obtain the critical dose for the formation of a continuous

buried oxide layer. Li et al found a good agreement between the experimental values and

those obtained from eq. (2.2) (see Table. 2.2).

17 Literature Survey

Energy ('*0+ keV)

( X 10l7/craJ) <*>1 ( X 10'7/cur)Expt. Calc. Expt. Calc.

15 2.34 2.3 0.5750 = 5 5.4 =2 1.370 = 7 6.7 = 3 2.2

140 = 10 9.9 3 < <t>1 < 7 4.1150 10.2 4 < <t>J < 4.5 4.4200 12-13 11.6 5, 6 5.6

Table 2.2 - Estimated and experimental values for Oac according to Li et al [59].

An alternative method to produce ultra thin silicon overlayers (tSi02< 1000 A) is to employ

sacrificial oxidation of the overlayer (tsi02.= 3000 A) of conventional (200 keV) SIMOX

material. The method consists of thermal oxidation of a thick film (3000 A) structure and

subsequent removal of the oxide by a HF etch. First reports [60, 61] suggested that the

quality of the silicon overlayer was not degraded during the extra oxidation step.

Low defect density studies

Until 1988, the main method used to characterise crystallographic defects in SIMOX

materials was TEM in planar and cross section views . However, with the improvements

in the quality of the silicon overlayer and much reduced defect densities, TEM rapidly

became inefficient for the analysis of samples containing low defect densities (< 105cm'2)

because direct observation methods which iavolve very high magnifications were not

compatible with the low areal density of defects[62].

18 Literature Survey

Conventional characterization methods used in bulk silicon technology such as X-ray

topography[14], electrochemical etching[63] and chemical defect etching[20] were

investigated as alternatives to TEM analyses since the spatial resolution limits of these

methods facilitated the analysis of samples containing extremely low defect densities.

A recent report on the use of X-ray topography to the analysis of crytallographic defects

in SOI materials (prepared by Wafer Bonding) was given by Theunissen et al [64], They

succeeded in separating the images of the silicon overlayer from the substrate due to a

misorientation between the layers. They intentionally introduced this misorientation by

bonding two wafers of different orientations, namely (001) and (111). However, they did

not succeed in analysing SIMOX since for these materials, the silicon overlayer and the

substrate have the same orientation and, therefore, a distinction between the image of

defects from the silicon overlayer and from the substrates was not possible

The application of chemical defect etching to the analysis of SIMOX substrates is also

very difficult and there have been only relatively few reports on the successful use of

that method to delineate defects in SIMOX. Probably, the first report was by Homma

et al.[53] in 1987. They grew a thick epitaxial silicon layer (0.6 p- 1.0 pm) on top of the

original silicon overlayer using molecular beam epitaxy (MBE) prior to the etching

process. The etch pits formed in these relatively thick layers ( «1 pm) were large enough

to be observed by scanning electron microscopy (SEM). However, it was not possible, at

that time, to determine a one to one correlation between the etch pits observed in the

epitaxial layer and the "threading dislocations" in the silicon overlayer since no

cross-correlations with TEM analysis were carried out. In 1990, Liaw et al.[65] reported

extensive analyses by TEM of epitaxial SIMOX samples and determined that not all the

"threading dislocations" (TD) propagated into the epitaxial layer. Indeed, they found that

19 Literature Survey

the dislocation density measured at the top surface decreased as a function of the epitaxial

silicon thickness due to the "looping" of threading dislocations during the epitaxial

growth.

In 1990 Fechner et al. [66] introduced a second step in the etching process which

enabled the etch pits to be observed by optical microscopy without growing an epitaxial

layer. The second etching step consisted of a dip in a HF(40%) solution which dissolved

the Si02 layer below the etch pit. Using this second etch step, large cavities (1-2 jim)

were formed underneath the etch pits ( see Fig. 2.4). The "decorated" etch pits could

then be easily observed by optical microscopy. Margail et al[62] used a similar method to

delineate defects in commercial SIMOX and reported that the double etch method was

only reliable for samples ha\ing a silicon overlayer thickness greater than 1000 A.

In 1993, Giles et al.[67] reported a new etchant capable of reliably delineating

crystallograpic defects (dislocations and SF) in silicon overlayers as thin as 500 A. (see

chapter 5).

20 Literature Survey

! t

V)cJZ ^ A r w v v >

i tuQ

o

21 Literature Survey

Fig.

2.4

- CVy/

v.v s

ectio

n sc

hem

atic

of

the

"dec

orat

ed"

etch

pits.

C h a p t e r T h r e e : B a c k g r o u n d

3 .1 I n tr o d u c t io n

An understanding of some physical aspects, such as diffiisivity and solid solubility of

oxygen in silicon is fundamental to a better appreciation of the mechanisms of the

formation of the buried oxide layer in SIMOX materials. In this chapter, we present

basics aspects related to the synthesis of a continuous buried oxide layer by 0 + ion

implantation. In addition, we also present some basic aspects related to the formation of

crystallographic defects in oxygen implanted silicon.

22 Background

3 .2 I o n b e a m s y n th e s is o f S i 0 2

Ion implantation is a non-equilibrium process in which highly energetic ions are injected

into a target in order to change the mechanical, optical or electrical properties of the

target material [68,69]. In some cases (for extremely high doses), the volume

concentration of the ions (impurities) introduced by the implantation process can exceed

the solid solubility limits and the formation of new chemical phases is likely to occur. The

use of ion implantation as a method to synthesise new phases has been widely used in the

past, to form compounds like silicon dioxide, silicon nitrides[70] and more recently, to

form silicides such as iron silicides [71], cobalt silicides[72] and many others [73],

However, the complex nature of the structures created by ion beam synthesis makes

theoretical descriptions of the evolution of the new phase and identification of the

dominant processes and prediction of the final structure rather difficult.

The fundamental processes that occur during the nucleation and segregation of a second

phase depend on the processing conditions but the general scheme of the evolution of an

incipient buried layer can be considered as consisting of four overlapping stages[74j.

These stages are namely, supersaturation of implanted atoms (ions), nucleation of

precipitates, precipitate growth and, finally, the coalescence of precipitates into a planar

continuous layer with abrupt interfaces. In the next sub-section we briefly describe the

physical processes which control the four stages of the growth of the BOX layer.

23 Background

3 .2 .1 F o r m a tio n o f a b u r ie d o x id e layer.

A. Diffusivity o f oxygen in silicon.

Below the solubility limits, oxygen in single crystal silicon is known[75] to be a

covalently bonded interstitial impurity, incorporated in slightly off-centred interstitial

positions between two neighbouring silicon atoms ( see Fig. 3.1).

n \ \ <m> 1 1 \\

5 1 U

i i 3 f lP3 i I 2 / /

'

A Si K

Fig. 3.1- Configuration o f interstitial oxygen in silicon [75J.

The diffusion of interstitial oxygen is an activated process with a diffusion coefficient D

given by [76]:

D(T) = Do exp(-£7*67) (3.1)

Where T is the absolute temperature, is the Boltzmann constant, D0= 0.13 crn2/s and

E*=2.53 eV. Fig 3.2 shows the diffusion coefficient of interstitial oxygen in silicon as a

function of temperature.

24 Background

TEMPERATURE(x lO C)

Fig. 3.2 - Diffusion coefficient o f oxygen in silicon as a function o f temperature 176].________________________________________________________________

In some special cases, such as for example in ion implanted silicon, anomalous fast

diffusing oxygen has been reported[ 77,78], It has been suggested that this anomalous

diffusion is due to the diffusion of molecular oxygen (0 2) formed during heat treatments

at moderate temperatures.

B. Solid solubility o f oxygen in silicon

The solid solubility of oxygen in silicon is given by[79]:

C” '(7) = q r'exp (-A m k 7) (3.2)

Where C0,ol= 9xl022 cm*3 and AE = 1.52 cV.

Fig. 3.3 shows the dependence of the solubility upon temperature [79],

25 Background

t e m p e ra tu re [°CJ

104/T [K.-1]

Fig. 3.3- C°l dependence upon temperature [ 79].

In oxygen implanted silicon, the oxygen concentration, CQ (z), varies significantly with

depth, having its maximum value near the projected range (Rp) and decreasing

progressively towards the surface and at greater depths into the substrate. To a first

approximation, neglecting effects such as crystal orientation, C0(z) is given approximately

by a Gaussian distribution:

Co = Cpeak e x p - ~ L £ ) - . (3.3)2o

where 5 is the standard deviation (straggle) and q _ ♦.5

For CG(z) > C0so1 , segregation of the implanted oxygen into a Si02 phase will occur

through the nucleation and growth of Si02 precipitates (see 3.2.1 C). Mantl[80] has

shown that this phase transformation is driven by the decrease in the free energy of the

system. The change of Gibbs free energy, AG, when a new phase of volume, V, and

interface area, A, is formed is given by[80]:

AG = V(AGv + AGe) + Act (3.4)

26 Background

where AGV is the change in free energy per unit volume associated with the formation of

the Si02 phase, AGE is the increase in elastic energy per unit volume and a is the specific

interface energy. Since the molar volume of the Si02 is approximately twice as large as

the molar volume of Si[ 81], the formation of the Si02 phase will produce internal

strains, and therefore will tend to increase the elastic energy of the crystal. The typical

dependence of the change of Gibbs free energy AG upon the radius of a precipitate is

presented in Fig, 3.4 [82], Precipitates with radii smaller than a critical radius rc ( shown

in the figure as rcl at a temperature T,) are unstable and tend to dissolve in the matrix.

27 Background

In oxygen implanted silicon, several mechanisms of Si02 precipitation can occur

simultaneously depending upon the processing conditions ( oxygen dose, implanted

temperature, and current density). We can basically separate these mechanism into three

major processes, that are namely, homogeneous[83], heterogeneous[84] and

'etherogeneous' precipitation.

Homogeneous precipitation [86,87] is the dominant process when the oxygen

concentration exceeds a minimum value for which spontaneous precipitation occurs

independently of the presence of nucleation sites. Experimental evidence of homogeneous

precipitation in high dose oxygen implanted silicon ( <|>= 1.8xl0,s 0 + cm'2) has been

extensively reported by Hemment et al[86] and Marsh et al[87] .

On the other hand, Cerofolini et al [88] have suggested that heterogeneous precipitation

is the dominant process for lower oxygen concentrations (low dose regimes) and high

implantation temperatures. For these conditions the oxygen supersaturation inside the

matrix is not high enough to enable homogeneous precipitation to occur, and therefore

the formation of the Si02 precipitates will depend upon the existence of preferencial

nucleation sites. Several authors [89,90] have identified vacancy clusters as being the

preferential nucleation sites for Si02 precipitates. Preferential nucleation at vacancy

clusters occurs because the elastic energy associated with the formation of the Si02

phase is considerably reduced in these localized areas [91]. In oxygen implanted silicon,

vacancy clusters are predominantly located near the peak of damage (Rp) and, therefore,

heterogeneous precipitation will occur preferentially near that region. Experimental

C. P r e c ip ita tio n p r o c e s s

28 Background

evidence that heterogeneous precipitation occurs near the peak of damage has been

recently shown by, Li et al [ 92] and Aspar et al [ 93], for low dose oxygen implanted

silicon submitted to high temperature annealings. These authors showed that in these

cases two bands of Si02 precipitates are formed . One located near the peak of the

damage and the other close to the peak of the oxygen concentration-depth profile. The

formation of the first band of precipitates is explained by the radiation enhanced

diffusion[39] of the implanted oxygen to the region of higher concentration of vacancy

clusters where the heterogeneous precipitation process takes place.

More recently, Cerofolini et al [94] have proposed a new precipitation mechanism

named 'etherogeneous' precipitation. This new mechanism differs from the conventional

heterogeneous precipitation because it takes into account the effects of the implantation

process. In their model, they propose that for certain conditions (low implantation

temperature and high beam currents) Si02 precipitates can be heterogeneously nucleated

inside highly disordered regions formed by the implantation process.The driving force for

this precipitation process is the local heating created by the collisional events and

according to their model only projectiles that release an energy higher than a minimum

threshold energy are able to induce the formation of Si02 precipitates [95 ].

D. G rowth and d issolution process o f S iO 2 precipitates.

The evolution of the Si02 precipitates during thermal processing is initiated by second

phase nucleation, and then controlled by a process in which only precipitates having a

radius larger than rc (see Fig. 3.4) will remain stable while smaller ones will

dissolve[96]. Vanhellemont and Claeys[ 97] have derived an expression for the critical

29 Background

radius rc which takes into account the influence of oxygen, vacancy and self-interstitial

concentrations in the Si matrix. This expression is given by [ 98]:

with cr is the interface energy per unit area, Estr the strain energy and K a constant

associated with the silicon oxide polymorph (SiOx). The parameters C0 , Cv and CT are

respectively the oxygen, vacancy and self-interstitial concentrations in the silicon matrix.

Their thermal equilibrium values are given by C*0 , 0% and C \ . In addition a is the

number of vacancies absorbed from the matrix and p the number of self-interstitials

injected into the matrix. For high temperature anneals ( say > 1250°C) the recombination

rate of vacancies and interstitials is very high [ 99] and therefore, Cv and Cr rapidly

approach the thermal equilibrium values C*v and C*r Also the interstitial oxygen

concentration rapidly approaches its equilibrium value due to the combined effect of

oxygen out-diffusion (at these high temperatures the diffusion length of oxygen in silicon

is larger than the silicon overlayer thickness) and precipitate growth. This makes the

denominator of eq. 3.5 very small provided that Estr is also small. A quantitative value

for Estr is not well known, however, at these high temperatures several strain relief

mechanisms are active [100] and therefore Estr is expected to be small. The decrease of

the denominator of eq. 3.5, means that the critical radius increases at these high

temperatures (1250°C). Therefore, as we have already suggested, precipitates having a

radius smaller than rc will become unstable and will dissolve. The oxygen atoms released

by the dissolving precipitates can out-diffuse or diffuse towards the larger precipitates.

30 Backgi'ound

The oxygen diffusion from the dissolving precipitates towards the large precipitates can

be described in terms of the Gibbs-Thomson equation, given by [101]:

Cs(f) = CoV rkB T }

(3.6)

where Cs is the solute concentration at the surface precipitate of radius r and

denotes the solute concentration at a planar interface (r = oo ), Va the atomic volume, a

is the specific interface energy and kD the Boltzman constant. From equation 3.6 it is

observed that Cs(r2) > Cs(r,) for r2> rc> rl ( see Fig. 3.5).

growth dissolution

|

[80].

31 Background

Therefore, the oxygen released by the dissolving precitate ( r, < r c ) will diffuse towards

the growing precipitate ( *2 > rc) .The competitive growth of precipitates, in which

larger ones grow at the expense of the smaller ones, is known as Ostwald ripening [102].

The growth of precipitates larger than the critical radius is, then, a diffusion limited

process in which the limiting factor is the diffusion of oxygen to the periphery of the

precipitate. Wada et al.[103] have expressed the volume increase of a precipitate with

time above the critical radius as:

I Elr ~ (2+ ~ Dt)m (3.7)

Go ~ 0 o

where r is the radius of the precipitate, is the initial oxygen concentration expressed in

atoms per cubic centimeter, C0E is the equilibrium oxygen concentration at the annealing

temperature and Cp0 is the oxygen concentration in the precipitate.

E. Coalescence o f S iO 2 precipitates.

A further "coarsening" mode observed in oxygen implanted silicon is the coalescence of

Si02 precipitates. If the density of these large precipitates is high enough, coalescence of

adjacent precipitates may occur as the two phase system will change in order to reduce

the surface energy[104]. The coalescence process is mainly controlled by solvent

diffusion from the matrix to the 'neck' region at the point of contact of the precipitates(

see Fig. 3.6). Brown [105] calculated the solute concentration at the neck Cs(p) as

being:

a s )

where p is the radius of the neck, as depicted in Fig. 3.6.

32 Background

F l u x o f O x y g e n

Fig. 3.6 - Schematic of two coalescent precipitates.

The equation (3 8) resembles the Gibbs-Thomson equation (eq 3.6), but with the

difference that the solute concentration at the neck is smaller than the concentration in the

matrix, in contrast to the concentration at the surface of a small spherical particle This is

a consequence of the 'negative curvature' at the neck of the two touching precipitates

(see Fig. 3.6)

Mantl [80] showed that the solute flux towards the neck is approximately:

a C .D V , p2 K*7-

A consequence of this solute flux towards the neck is that the radius of the neck will

increase leading to a localized planarization of the resulting precipitate Thus, precipitate

coalescence can promote planar layer formation provided the degree of overlapping of

the large precipitates is high enough to enable their coalescence into a single continuous

layer.

33 Background

3.3 C rvsta llosravh ic defects in oxvzen im planted silicon.

In a comprehensive review of defects in SIMOX materials, Jaussaud et al [46] proposed

that crystallographic defects in oxygen implanted silicon are mainly formed by two

mechanisms, namely (i) condensation of point defects produced both by the implantation

and by internal oxidation and (ii) plastic deformation of the silicon structure caused by

the large volume mismatch between atomic Si and the Si02 molecule. Therefore, we can

see that the two main sources of defects are the radiation damage itself and the internal

oxidation of silicon and in the following sub-sections we describe the consequential

formation of crystallographic defects in the implanted layer.

3.3.1 Radiation damage as a source o f secondary defects

The loss of kinetic energy by the implanted ions occurs through electronic ( inelastic) and

nuclear (elastic) interactions with the atoms of the target[69]. In silicon, elastic collisions

are mainly responsible for the primary implantation damage. Collisions with energy

transfers above a threshold, of about 15 eV, will displace Si atoms from their lattice sites

[106]. The displaced atoms may in turn displace further atoms resulting in highly

disordered regions. The displacement of an atom from its regular lattice position to an

interstitial one forms an interstitial/vacancy pair known as a Frenkel pair[107].

Therefore, the highly disordered regions produced by the implantation process consist

predominantly of a non equilibrium concentration of vacancies and interstitials. Since

the internal energy of isolated point defects is high, interstitials and vacancies will tend to

recombine with each other or condensate into aggregates in order to decrease the total

energy.

34 Background

In diamond structures, the condensation of vacancies (interstitials) occurs preferentially

along {111} planes, since they are the lowest surface energy planes in these

structures[108] (see appendix C). The growth of aggregates occurs because there is a net

reduction in energy when an additional vacancy (interstitial) is captured by the aggregate.

However, Thomas et al [109] have proposed that the continuous growth of the vacancy

(interstitial) aggregate is limited by the possible collapse into a dislocation loop (DL)

with a lower energy configuration. Since the stacking fault energy in silicon is relatively

low (y « 50 erg cm'2) [110] both faulted ( Frank sessile DL) and perfect ( prismatic DL)

dislocation loops can be formed from the collapse of those aggregates. Moreover, it has

also been proposed [109] that a vacancy aggregate can collapse into a stacking fault

tetrahedron (SFT)[111] when this configuration is a lower energy state.

Further, Thomas et al [109] have shown that the relative energies of intrinsic ( vacancy

type) faulted DL, SFT and perfect DL are given approximately by:

where Ep, ET and Ep are the energies of a triangular faulted DL, a SF tetrahedron and a

perfect loop, respectively, each having side /. Additionally,G is the shear modulus, a is the

unit cell dimension, r0 is the dislocation core radius, v is Poisson's ratio and y is the

stacking fault energy.

It is observed from eq. (3.10 a-c) that the relative energies of these three different types

of defects depend on the size / of the defect. For illustrative purposes we show in Fig.

3.7 the dependence on the energy of the defect upon the size / [109].

(3.10 a)

(3.10 b)

(3.10 c)

35 Background

100 200 300 400 500Size I (A)

Fig. 3.7 - Energy dependence with size I o f faulted DL, SFT and perfect loop in Ag [109].

It is observed that SFT are more stable ( have lowest energy) below a critical size, / ,

while perfect loops are always favoured above an upper critical size (330 A). Table 3.1

lists the critical value, lo , for which the SF tetrahedron (SFT) is the most stable defect

in different metals [109],

Material y (erg cm'2) /0( A)Cu-Zn 20 1.6xl04

Au 33 430Cu 40 515Ag 40 330

Table 3.1 - Calculated values o f lo below which SFT are the most stable defect (after Thomas et al [109])

Transformation of one type of defect to another can occur if the energy associated with

the defect (see eq. 3.10) is decreased by the transformation and also if the activation

energy for this process is low [112]. The transformation of a faulted DL ( Frank type, b

36 Background

=1/3<111> ) to a perfect DL ( b =1/2<110>) was first considered by Saada[113] who

showed that it basically involves the nucleation of a Shockley partial ( b = 1/6 <211>) in

the plane of the fault. The unfaulting mechanism can be written, then, as:

± < 1 1 1 > + | < 2 1 1 > —> i < 1 10 > (3.11)

Frank Shockley perfect

Similarly, SFT can arise from a multiple dissociation mechanism of an intrinsic Frank DL.

The dissociation mechanism that enables the formation of the SFT from an intrinsic

Frank DL is described i n appendix C.

3 3 .2 In te rn a l oxidation o f s ilicon as a source o f defects.

The molar volume of the Si02 molecule is approximately 2.25 larger than that of Si (Vsi-

2.23x1022 cm'3)[81] and therefore, the formation of the Si02 phase will produce large

internal stresses unless an efficient stress relief mechanism occurs, The extra volume can

be accommodated either by (a) emission of self-interstitials away from the Si-Si02

interface or by (b) plastic deformation of the silicon matrix near the Si02 precipitate.

A. Emission o f Si interstitials

The large volume change associated with Si02 formation requires that silicon atoms will

be displaced from the vicinity of the Si02/Si interface. This may be accomplished by

generation of silicon interstitials, Sii5 at the Si02/Si interface. Self interstitials are created

in this region because the crystal elastic energy associated with the stress generated by

the Si02 precipitate generally exceeds the formation energy of the [114]. Therefore,

37 Background

the internal oxidation of silicon involves the formation of Sij according to the

following reaction[l 15] :

(r + 1) Si + 0 2 -> Si02 + r Si* (3.12)

where r = 1.25.

From eq. 3.12, it is observed that a supersaturation of Sij will be created near the Si02/Si

interface and, consequently, condensation of interstitials into aggregates is likely to

occur giving rise to the formation of crystallographic defects through the mechanisms

described in section 3.2.1.

B. Plastic deformation

Plastic deformation of the silicon matrix can arise if the compressive stresses around the

Si02 precipitate are not completely relieved. The silicon matrix will deform plastically

through the nucleation of prismatic dislocation loops. This process was first described by

Seitz et al [116] as "prismatic punching". They pointed out that a pair of prismatic loops

of opposite nature, one interstitial and one vacancy type, can be generated under the

influence of a misfit stress field. This is accomplished by expansion of "generator" loops

around a glide prism.

When the precipitate is compressed by the matrix, the interstitial loop is punched out

along the glide directions, <110>, while the vacancy loop moves onto the precipitate

surface where it is annihilated, thereby reducing the misfit strain. This mechanism can be

repeated several times and can produce a family of loops "punched" out along

crystallographic directions which defines the axis of the glide surface. In some cases,

pinning of the prismatic dislocation loop to the Si02 precipitate can occur and the

expansion of the dislocation is only possible by a climb process [117].

38 Background

C h a p te r F o u r : E x p e r im e n ta l D e ta ils

In this chapter we describe the experimental conditions in which samples were prepared

and we give details on the different methods used to characterize the SIMOX materials.

4.1 Sample description.

Two different sets of samples have been used in this project. The first group of samples

consisted of, (100) Cz, Si wafers implanted with oxygen using a wide range of energies

(30 keV-200 keV) and a wide range of doses ( 0.2-1.0 xlO18 0 + cm'2).The samples were

implanted either at the University of Surrey or at Eaton Corporation. The wafers

prepared at the University of Surrey were produced as part of a SERC funded

programme (IED 1777) to produce and characterize low energy and low dose SEMOX

materials whilst the wafers prepared at Eaton Corporation were obtained to support a

collaborative activity involving that company and the University of Surrey. Annealing of

the Surrey implanted wafers were carried out at Southampton University. Further details

of the implants and anneals are included in section 4.1.1 and 4.1.2.

A second group of specimens consisted of SIMOX samples thinned by sacrificial

oxidation. The original thick SIMOX substrates were supplied by LETI [118] and IBIS

39 Experimental details

Corporation [119]. Details of the implantation and annealing conditions are given in

section 4.1.3 whilst the details of the sacrificial oxidation are given in section 4.1.5, In

addition, some SIMOX samples were implanted with Si+, Ge+ or BF+2, to amorphise the

silicon overlayer in the vicinity of the Si/BOX interface prior to oxidation (see section

4.1.4). Details of all the samples used in this project are listed in tables 4.1 to 4.8 and in

appendix E.

The SIMOX wafers were then cleaved into small samples ( typically 5x5 mm2) and

cleaned using acetone, isopropyl alcohol and trichloroethylene prior to a dip in an

ultrasonic bath in order to remove particles from the surface, The samples were then

ready to be analyzed using the different analytical methods described in section 4.2.

Furthermore, selected SIMOX samples were etched using a KOH solution (1 molar) at

70 °C in order to selectively remove the silicon overlayer. Then, the buried oxide layer

was removed using a HF(40%) solution. This two-fold etch treatment enabled both the

silicon overlayer and BOX layer to be removed, thus exposing the silicon substrate for

further analysis.

4.1 J Oxvzen im planta tions a t the U niversity o f Surrey.

Ion implantation was carried out on the 500 kV implanter at the University of Surrey.

This machine has an open air terminal from which the ions are accelerated to their frill

velocity, (maximum voltage of 500 kV) prior to analysis at the earth potential. The ion

beam is electrostatically scanned in the x and y directions over an area of maximum size

2"x2". A schematic of the implanter showing the main components is presented in Fig.

4.1. From Fig. 4.1 we can see that the implanter has six major components; namely: (i)

40 Experimental details

ion source, (ii) focussing element, (iii) acceleration tube, (iv) analysing magnet (v)

electrostatic scan and (vi) the target chamber.

High voltage terminalr <kK)

Analysingmagnet

Graded accelerator tube

<DTogoS/ u h . V N V N V V hIU

Resistor chain

Aperture discAnode supply

Filament (heater)

XXX

}x X X \X X XT I j

Heat<SU|

>ateePW

magnet

Bun earth Basel lens

Extraction supply

TU

Plates

| _o=-X plates

Electrostatic deflection and scanning system HV

Supply 0-500 kV

Gate valve (pneumatic)

T

Sample holder |Target chamber

Fig. 4.1 - Schematic o f the 500k V implanter.

The sample holder was specially designed in order to provide background heating of the

wafer during implantation using a bank of quartz halogen lamps mounted behind the

wafer. A thermocouple was inserted between the wafer and the lamps to control the

41 Experimental details

implantation temperature. Furthermore, the sample holder was water cooled in order to

dissipate the excess heat generated by the lamps. A schematic of the sample holder is

shown in Fig. 4.2.

coolingwater

micrometfk

movement

up •downmovement

St suppression plates

ha log- lamps/ dummy

waterSilicon Si iwafaraperture

Liquid Nitrogen reservoir

Fig. 4.2 - Schematic o f the halogen lamp heater sample holder.

The 3 inch (100) Si wafer was placed inside the sample holder facing the beam but with

the wafer normal at an angle of 7° in order to reduce the occurrence of channelling.

Additionally, another silicon wafer was also incorporated into the sample holder to be

used as a 'dummy'. This enabled the beam parameters to be set up before the beam was

directed onto the wafer. A silicon aperture was used to define the implant area which is

smaller than the wafer's diameter. In these experiments the implanted area was

approximately 6.5 cm 2. Fig.4.3 shows a schematic of the 3 inch wafer and the implanted

area.

42 Experimental details

Fig. 4.3 - Schematic showing the implanted region o f a 3" wafer

Both the beam defining aperture and suppressor electrode, biased to - 300 V to suppress

secondary electrons, were faced with silicon to minimise metallic contamination due to

sputtering. The target chamber was pumped by a conventional diffusion and rotary pump

system to a pressure of less than 10'6 Torr before commencing the implantation. The

chamber included a liquid N2 cold finger to condense hydrocarbons.

The ion source used was a r-f source which was capable of producing total beam currents

of up to 100 to 150 pA . After scanning the total current incident upon the sample was 50

pA to 70 pA with a current density of typically 3-10 pA/cm2. Molecular singly charged

oxygen ( 0 +2) were implanted at energies of 140, 180 and 400 keV . Doses in the range

of 3xl017 0 + cm'2 to 7xl017O+cm'2 were selected to be close to the critical dose for the

formation of a continuous buried oxide layer [59], although some wafers were also

implanted with higher doses (l.OxlO18 0 + cm'2)to form a thicker oxide layer.

43 Experimental details

After implantation, all wafers were annealed at 1300 °C or 1320° C for 2 or 6 hours

under argon (Ar + 1/2% 0 2 ) or nitrogen (N2 + 1/2% 0 2) ambients. The anneals were

carried out either at Southampton University or Imperial College. Prior to annealing, the

samples were capped with a low temperature CVD oxide of thickness 5000 A in order to

protect the surface from contamination, unintentional oxidation and thermal etching.

Implantation and annealing details of the samples prepared at the University of Surrey are

listed in table 4.1.

44 Experimental details

Anneal

ingtim

e(hr

s.)

C+ *0 <N

Anneal

ingtem

peratu

re(°

Q 1300

= = = = 1320

= 1300

= - = = =

Anneal

ingamb

ient

csON?oxin+

< !

= - -

C"O0syn

OveoxLO+

•*

= = = -

Area

Implan

ted(cm

'2) 6.45

Implan

tation

temper

ature

(°Q

500/55

0/600/

650/70

0700

500/55

0/600

650/70

0700

Curren

t den

sity

, ( pA

/cm r—HCO = = = 7.7

56.2 7.7

57.7

5 i— iCO CO 4.65

4.3

<0 & °

£ o i—i o O x )

3.3 3.3 in 3.3 or—1 in in VO vo c-- in

Energy

(keV)

70 70 06 06 90 200 =

SIMO

Xwaf

er

G1/G

2/G4

G5/G

6G3 G7/G

8/G9

/G1

0/G1

1G1

2G1

3G1

4G1

5G1

6G1

7G1

8G1

9G2

0G2

1

45 Experimental details

Table

4.1

- S

IMOX

wa

fers

pre

pare

d at

the

Unive

rsity

of

Surr

ey.

4.1.2 Oxygen implantation at Eaton Corporation.

Six inch S I M O X wafers were prepared at Eaton Corporation using a prototype commercial implanter, namely a modified Eaton N V - 10-80 high current batch implanter [120]. This machine was used to implant batches of 6" (100) C z wafers using mechanical scanning to achieve lateral dose uniformity. The silicon wafers were implanted using either atomic or molecular singly charged (0+2 or 0 +) ions at energies of 60 keV(

0*, implantations), 30 k e V or 40 k e V with a range of doses which varied from 2.2x1017 O V c m ' 2 to 3.0xl017 O fcm'2. This range of doses was selected in order to form a continuous buried oxide layer[59]. Background heating of the wafers was obtained using quartz halogen lamps which enabled the wafers to be pre-heated at 500°C before commencing the implantations. The average wafer temperature was measured using an optical fibre thermometer placed 3 m m in front of the wafers. The complete 6 inch wafer was exposed to the ion b ea m except for small areas at the periphery beneath the wafer clips ( see fig. 4.4 ).

High temperature annealing ( H T A ) was performed subsequently to implantation at a temperature of 1300°C for six hours in a nitrogen ambient mixed with 0.25% oxygen. Table 4.2 shows the implantation and annealing details of the complete batch of wafers prepared at Eaton Corporation.

46 Experimental details

Fig. 4.4 - Schematic o f the implanted areas o f the 6 " wafers supplied by Eaton Corporation.

S I M O X oxygenmassimplanted

Dose xlO17 0 cm'2Energyk e V Implantationtemperature(°C)

Annealingtemperature(°C)

AnnealingambientEl 32 2.2 60 530 1300 N 2 +0.25% 0 2E 2 32 2.2 60 530 1300 tlE3 16 2.2 30 530 1300 II

E4 16 2.2 40 530 1300 II

E5 16 2.4 40 550 1300 II

E6 16 2.4 40 600 1300 If

E7 16 3.0 40 510 1300 It

Table 4.2- Implantation details o f wafers produced by Eaton Corporation using the modified NV-10-80 implanter. All wafers were annealed for six hours.

47 Experimental details

4.1.3 Standard SIMOX Substrates.

Device grade 4" S I M O X substrates were supplied by two different vendors (IBIS [ 118], L ET I[ 1 19 ] ) w h o prepared the substrates using commercial Eaton N V - 2 0 0 implanters either by single or multiple step oxygen implantations (SIA SIMOX). In these samples the complete wafer was implanted. The implantations were carried out at high temperatures, typically 700°C, by pre-heating the wafers using halogen lamps. The implanted wafers were subsequently annealed for six hours at 1300°C in an Ar + 1/2% 0 2 atmosphere. Details of the implantation conditions are listed in table 4.3.

S I M O X Batch Source Implantmethod Nominal dose (cm2) Energy(kevy Siliconoverlayerthickness(A)Al IBIS single 1.8xl018 190 2000A 2 It single II 11 2000A3 11 single 11 II 2000A 4 If multiple ft It 1800B1 L E T I multiple II II 2100B 2 fl single II 200 2500B 3 11 single II 11 2500B 4 II single 1.78xl018 190 2100B 5 II single 11 It 2100B 6 II single If II 500

Table 4.3- Implantation details o f standard SIMOX substrates supplied by IBIS and LETI.

48 Experimental details

It is observed from Table 4.3, that all samples had a relatively thick silicon overlayer (typically > 2000 A) except wafer B6, which was sacrificially oxidised by the vendor (LETI) in order to reduce the silicon overlayer thickness. W e n o w designate these thick S I M O X substrates as "standard" S I M O X .

4.1.4 B F \ . Ge+ and S t implantations.

Selected samples cut from standard S I M O X wafers (namely wafers Bl, B3(2) and

B4(2)) were subsequently implanted with B F 2+, G e + and Si+ ions at the University of

Surrey. The purpose of the implantations was to amorphise the silicon overlayer in the vicinity of the B O X layer in these standard S I M O X wafers. The implantations were carried out at r o o m temperature using a carousel sample holder, in which a m a x i m u m of 16 samples ( approximately 1 c m 2) could be loaded. The samples were lightly clamped

with aluminium clips and the total area implanted was 1 cm' . The B F 2 implantations were carried out at energies of 270 k e V with doses which varied from 8.0xl013 cm'2 to

2.0xl014B F +2cm'2 (see table 4.4). For the Si+ implantations the energy used was 190 k e V

and the doses varied from l.OxlO13 cm"2 to 5.0xl015 cm"2 (see table 4.5). Finally, the

G e + implantations were carried out at energies of 330 k e V with doses which varied from lxl013 cm'2 to 3.0xl014 cm"2 ( see table 4.6). The energies and doses were selected

using the L U P I N [121] simulation programme in order to place the peak of the damage profile near the Si/Si02 interface, and therefore selectively amorphise that region.

49 Experimental details

sampleID S I M O Xwafer Ionimplanted Energy(keV)

Dos e ( xl014cm'2)Bl/BF,7l B 1 B F +, 270 0.8B1/BF/V2 II II it 1B1/BF//3 II It it 2B1/BF//4 II II it 5B1/BF,75 It II n 8B1/BF,76 II II H 10B3/BF,7l B3(2) II it 0.8B3/BF,72 II II ii 1B3/BF,73 It 11 ii 2B3/BF,74 II II ii 5B3/BF,75 II II ii 8B3/BF,76 II II ii 10B4/BF7/1 B4(2) II ii 0.8B4/BF,72 ■I II n 1B4/BF,73 ii II it 2B4/BF,74 n II ii 5B4/BF,75 n II ii 8B4/BF,76 ii II ii 10B4/BF,77 it II ii 20

Table 4.4- Details o f samples implanted with BE*2 ions.

SampleID S I M O Xwafer Ionimplanted Energy(kef) D os e (xlO13 c m ’2)B4/Si7l B4(2) Si+ 190 1B4/Si72 ii II ii 2B4/Si73 ii II ii 5B4/Si74 n II ii 7.5B4/Si75 n II M 10B4/Si76 ii II ii 20B4/Si77 ti II it 30B4/Si78 ii II n 50B4/Si79 ii 11 n 60B4/Si7l0 ii II n 80B4/Si7l 1 ii II n 100B4/Si7l2 n II ii 250B4/Si7l3 ii II ii 300B4/Si7l4 H II ii 500Table 4.5- Details o f samples implanted with Si+ ions.

50 Experimental details

SampleID S I M O Xwafer Ionimplanted Energy(keV) Dose (xlO13 cm'2)B3/Ge7l B3(2) Ge* 330 1B 3/ Ge 7 2 II fi II 2.5B3/Ge73 li ii II 5B3/Ge7l it if II 7.5B3/Ge+/2 it ii II 10B3/Ge73 if it It 12.5B3/Ge7l n m tl 15B 3/ Ge 7 2 li « l l 17B3/Ge73 li if II 20B3/Ge7l if i i 11 25B 3/ Ge 7 2 i i ii 11 30B4/Ge7l B4(2) ii 11 1B 4/Ge72 ll M II 2.5B4/Ge73 li

" 11 5B4/ Ge 7 4 i i ii l l 7.5B4/Ge75 ll ii ll 10B4/ Ge 7 6 n If 11 12.5B4/Ge77 i i 11 11 15B4/Ge78 it 11 II 17B4/Ge79 ti 11 11 20B4/Ge7lO ti M 11 25B4/Ge7l 1 11 II 11 30

Tabled. 6- Details o f samples implanted with Ge ions

51 Experimental details

Standard S I M O X as well as BF^, G e + and Si* implanted S I M O X samples were thermally oxidised at the University of Surrey using a dedicated dry oxidation furnace. The furnace consisted of a quartz semi-open tube ( 3 inch in diameter) which enabled that a flux of oxygen to be flown continuously during the oxidation treatment. The temperature inside the furnace was monitored using a Pt-Pt (13%)/Rh thermocouple and the m a x i m u m temperature variation along the central zone of the furnace ( along a zone of length 10 cm) was estimated to be ± 2 °C. A flow of 1.5 Iitre/min of pure oxygen gas was bled into the tube, approximately 10 min. before loading the samples and then reduced to 1.0 litre/min. a couple of minutes before the oxidation in order to perform the thermal treatment under standard dry oxidation conditions [122], The samples were, then, horizontally loaded into a quartz sample holder (5 c m long) which was placed inside the tube near its extremity. The quartz sample holder was maintained at that position until the air inside the tube was blown away ( typically for 3 min.). Then, the sample holder was pushed into the centre of the furnace using a quartz rod. The loading time was kept short, typically one minute, in order to minimise the thermal oxidation during the loading procedure. The range of oxidation temperatures used varied from 800°C to 1100°C and the oxidation times from 30 min. to 74 hours. After the thermal oxidation, the samples were immersed in a H F( 40 % ) solution for 2 min. to strip off the thermal oxide.The thickness of the thermal oxide was estimated measuring the height of an oxide window using a Rank Taylor Tallystep stylus instrument. The oxide window was formed by protecting a selected area of the sample with a H F ( 4 0 % ) resistant mask. The final thickness of the silicon overlayer was determined by 1.5 M e V R B S analyses. Table 4.7 shows a summarised list of the samples oxidised in this project. A complete list of all

4.1 .5 S acrific ia l oxidation

52 Experimental details

sacrificially oxidised samples is presented in Appendix E.

Sample S I M O Xwafer Oxidation temperature (°C)

Oxidation time (hours)

Bl/1 v Bl/20— > B1 850/900/9501000/1050/1100 0.5 60B2/1 B2/22 B 2 900/1000/10501100 0.6 74

B3/1 B3/23 — > B3 900/950/10001050/1100 0.5 74B4/1 B4/23-» B 4 850/900/9501000/1100 0.5 60

B5/1 B5/22 B5 900/950/10501100 0.5 — > 60

Bl/BFyi/1 -> Bl/BF+2/6/l B1 1100 4

B3/BF'2/1/1 -> B3/BFV6/1 B3(2) 1100 4B4/BFyi/l -> B4/BF+2/7/l B4(2) 1100 4

B4/Si71/1 -> B4/Si7l4/1 B4(2) 1100 4

B4/Ge71/1 -> B4/Ge7l 1/1 B4(2) 1100 4

B3/Ge71/1 -» B3/Ge7l 1/1 B3(2) 1100 4

Table 4.7 - Sacrificially oxidised S I M O X samples.

53 Experimental details

4.2 Characterization methods.

Crystallographic defects in the S I M O X samples were characterized using chemical defect etching, plan view transmission electron microscopy (PVTEM), cross-section transmission electron microscopy ( X T E M ) . Rutherford backscattering (RBS), double crystal X-ray diffraction ( X R D ) analysis and Secondary Ion Mass Spectroscopy (SIMS) were also used to analyze a limited number of samples.

4.2.1 Chemical defect etching.

Chemical defect etching was used to delineate crystallographic defects in the siliconoverlayer and in the silicon substrate just beneath the B O X . A number of standard bulkSi etchants were used in this project (see Table 4.8). However, for the analysis of thin silicon overlayers ( <1000 A) it w as found necessary to develop a n e w defect etchant capable of reliably deliniating crystallographic defects in these thin layers. Experimentalresults regarding the chemical composition and the main characteristics of this n ewetchant are presented in chapter 5.

SiliconEtchant Formula Etch pit morphologySecco[123] 2 HF(49%): 1 K 7Cr?0 7 (0.15 M ) isotropicSchimmel[124] 2 HF(49%): 1 CrO, (1 M ) isotropicYang[125] 1 HF(49%): 1 CrO, (1.5 M ) AnisotropicWright [126] 60 ml HF(49%): 30 ml H N O v 30 ml C r0 3 ( 1 M): 60 HAc: 60 H 20 ( 1 gr. C u N 0 3 )

Anisotropic.

Table 4.8 - Bulk silicon etchants used in this project.

54 Experimental details

4.2 .1 .1 E tc h in s ex p erim en t details.

All the etching experiments were carried out in a climate controlled r o o m (20°C ±1 °C) at the University of Surrey. Additionally, the etchant temperature was further controlled by placing the beaker containing the etchant in a temperature controlled bath, for a couple of hours, before the commencement of the etching experiment. In this way, the etchant temperature was controlled over the range from 21 ± 1 °C to 35 ± 1°C.

II Etch rates

The etch rates of the chemical solutions were estimated by etching a partially masked silicon sample for a predetermined time. After removing the mask ( H F resistant tape) , the step height between the etched and non etched region was determined using a R an k Taylor Tallystep stylus instrument. Furthermore, the etch rates were double checked by estimating the etching time needed to completely remove the silicon overlayer of a standard S I M O X sample of k n o w n thickness ( Tsi= 2000 A). B y so doing, the uncertainty was estimated to be less than ± 5 0 A/min.

C Ageing o f the chemical solution.

In order to avoid problems with the deterioration of the etchant during storage, fresh solutions were mixed for each set of analyses. Additionally, the etch rates of the fresh solutions were always measured before beginning a n e w set of experiments.

A. Etcliine temperature

55 Experimental details

All the S I M O X samples submitted to chemical etching were, additionally, immersed in a H F ( 4 0 % ) solution for a m i n i m u m of 30 sec. and a m a x i m u m of 5 min. in order to form cavities underneath the etch pits ( see Fig. 2.6). The double etched samples were, then, observed either by optical microscopy ( O M ) using an Olympus microscope or by scanning electron microscopy ( S E M ) using a Cambridge S250 Stereoscan electron microscope. The defect densities were estimated by counting the etch pits in a m in im u m of ten micrographs ( optical microscopy or S E M ) taken from the area of interest. The m ea n etch pit density and the standard deviation could then be estimated for every region of interest. The lateral distribution of defects in the S I M O X wafers was determined by measuring the etch pit densities in different areas of samples cut along the [110] diameter of the S I M O X wafers. In some cases, defect etch pit maps of whole S I M O X wafers were obtained. Furthermore, the depth distribution of defects w as also obtained by determining the etch pit density as a function of the thickness of silicon removed (e.g. etching time). For this purpose, small samples cut from adjacent areas were etched for different times and the thickness removed was estimated measuring the step height at the edge of a non etched region. Whenever possible, the etch pit results were correlated with plan view T E M or even X T E M analysis in order to determine the nature and geometry of the crystallographic defects.

D. Determination o f the defect etch pit densities.

56 Experimental details

4.2.2 Transmission electron microscopy

Characterization of crystallographic defects was carried out by transmission electron microscopy. Samples were observed under plan view and cross section views using the Jeol 200 C X and 2000 F X high resolution microscopes in the Microstructural Studies Unit ( M S S U ) at the University of Surrey. The preparation of the samples for T E M analyses is described in appendix D. After preparation the samples were loaded in a double tilt sample holder in order to accurately obtain the two beam condition[127] and also to scan through different zone axes. Determination of the Burgers vectors of the crystallographic defects was not carried out in this work. The areas analyzed by plan view T E M were larger than 1000 p m 2 enabling the determination of

5 2defect densities as low as 1.0x10 cm" .

4.2.3 Rutherford Backscatteriii2 (RBS)

Rutherford backscattering (RBS) and channelling ([100] direction) analyses were used to determine the composition and crystalline quality of selected S I M O X samples. In this project, w e have used the 2.0 M V V a n de: Graaff accelerator at the University of Surrey, to produce a 1.5 M e V or 1.0 M e V H e + beam. The backscattered He* ions were collected using a silicon surface barrier detector, having an energy resolution of 16 keV. This detector w as located inside the target chamber at an approximate angle of 32° to the incident b e a m axis . The energy spectrum of the backscattered He* ions w as used to determine the mass and depth distribution of the component elements of the target. Furthermore, simulations of the R B S spectra were carried out, using the X R B S S I M [ 1 2 8 ]

57 Experimental details

simulation programme, in order to accurately determine both the thickness of the silicon overlayer and the buried oxide layer.

4.2.4 Other methods.

Double crystal X-ray diffraction ( X R D ) analysis was also used to determine the stress near the implanted/ non implanted area of a typical 'as-implanted' S I M O X wafer implanted with a dose of 3.0xl017 0 + c m ' 2 and energies of 70 keV.The X R D experiments were performed by Dr. M . Lourenco using the 50 k V X-ray generator at the University of Surrey. The rocking curves were obtained by scanning through the (004) diffracted

b e a m ( 0B= 34.58°) using the characteristic C u K a line (1.5432 A ) .

Furthermore, Secondary Ion M as s Spectroscopy (SIMS) was also used to quantify the C u concentration present in the (100) Cz- Si wafers implanted at the University of Surrey and at Eaton Corporation. Dr. A. Nejim is acknowledged for arranging for the S I M S analyses to be earned out.

58 Experimental details

C h a p t e r F i v e : N e w e t c h a n t f o r t h i n S O I .

5J Introduction

In this chapter w e present the experimental results associated with the development and optimisation of the n e w defect etchant, which was subsequently used in the study of crystallographic defects in thin silicon films (Chapters 6 and 7). T E M analyses have been used to validate the interpretation of the data obtained by chemical defect etching. Furthermore, the lateral and depth distributions of crystallographic defects in thick film S I M O X have been determined to investigate the uniformity of device grade wafers and also to s ho w the improved selectivity of the n e w etchant.

This chapter contains two sections. In the first one (5.2), w e present the results of the n e w defect etchant developed to delineate defects in ultra thin film silicon layers, while in the second section (5.3), w e apply this n e w etchant together with T E M analysis to study the lateral and depth distribution of crystallographic defects present in thick S I M O X .

59 New etchant

5.2 Development o f an ovtimum defect etchant for thin silicon layers

5.2.1 Dilute silicon etchants

In chapter two, w e have seen that although different etching systems have been developed for the study of bulk silicon materials, so far, no defect etchant has been specially developed for ultra thin silicon layers (< 1000 A ) . Bulk silicon etchants, such as the Wright[123], Secco[124], Y a n g [125 ] and Schimmel [126], can be used to analyse thin silicon layers, however these solutions have etch rates of about 1.0 gm/min. or faster (see Fig. 5.1-a) and therefore, the removal of thin silicon overlayers is difficult to control, specially if the layer is as thin as 500 A .

A simple w a y of decreasing the etching rate is to dilute the chemical solution by increasing the H ^ O content. In figure 5.1 b) w e plot the etch rates of different silicon etchants upon dilution. F r o m Fig. 5.1 b) it is observed that the etch rates of these etchants decrease considerably as a function of the dilution. Indeed, the etch rate of the ten fold dilute Secco solution is decreased to approximately 200 A/min, which is slow enough to allow good control of the removal of the silicon overlayer. However, a defect etchant can only be considered as reliable if all the crystallographic defects intersecting the surface are delineated.Therefore, it is also important to determine the effectiveness of the dilute solutions to delineate defects.

60 New> etchant

~oCD>oECDv _

i f ) CI) 0 co

J ZI—

2 3E t c h i n g t i m e ( m i n . )

c'E

0•A—*Ctf

LU

0 6Dilution

8 10

Fig. 5.1 - a) Thickness removed upon etching time and b) etch rate upon the number o f times the solution has been diluted for the Wright, Yang,Schimmel and Secco etchants.

61 New etchant

S I M O X wafers produced commercially by the IBIS Corporation, namely wafers Al andA 2 (silicon overlayer thickness of 2000 A , see table 4.3), were etched, following theprocedure described in section 4.2.1, and observed by optical and scanning electronmicroscopy (SEM). Selected samples cut from each of the wafers were analysed by planview T E M and the threading dislocation density in these samples were estimated to be (1.0 ±0.5 )xl06/cm2for wafer Al and (9.0 ± 1 ) xl06/cm2 for wafer A2.Figure 5 .2 shows S E M micrographs of samples prepared from adjacent regions of waferAl, etched with a two-fold dilute Wright solution for different etching times (15 sec, 30sec and 35 sec). It is seen (see fig. 5.2 a to c) that the etch pit density increases withetching time and only reaches a value which corresponds to the crystallographic defectdensity determined from the T E M analyses w he n 1900 A of silicon has been removed (e.g for 35 sec. etching time ).

In order to assess the effects of the dilution on the formation of etch pits, two standard

Fig. 5.2 a-( continued)

62 New e/chant

Figure 5.2- SEM micrographs o f samples from wafer A l etched with the 2 fold Wright etch fo ra ) 15 sec. ( 850 A ) b) 30 sec (1650 A) c) 35 sec. ( 1900 A)

Similar experiments have been carried out for the other etchants and in Figure 5.3 w e plot the etch pit density upon the thickness of silicon removed for the Schimmcl.Ynng, Wright and Secco dilute etchants using as standard material, samples prepared from adjacent

regions of wafer A l .

63 New etchant

Etch

pit

de

nsity

(■

cm

-2)

500 1000 1500T h ic k n e s s of S i re m o ve d (A)

2000

Fig. 5.3 «- b (continued)

64 New etchant

Etch

pit

de

nsit

y ( c

m'2

.

0 500 1000 1500T h ic k n e ss of S i re m o ved <A)

2000

10'

10*

i o :

10'

1 0 :

1 0 2

1 0 1

1 0 c

400 800 1200 1600Thickness of Si removed (A)

2000

Figure 5.3- Etch pit density against thickness o f silicon removed for a) Wright, b) Yang , c) Schimme! and d) Secco dilute etchants (data collected from wafer A l: 1.0x1 & cm 1 ; etchant temperature: 20±I°Cy

65 New etchant

= 10c*E

0CO

JZoLU

From Fig. 5.3 (a-d) it is observed that for each of the etchants the etch pit density only reached a saturation value that corresponds to the defect density determined by plan view T E M (e.g. 1.0x106/cm) when a certain minimum thickness of silicon had been removed. The minimum thickness (Tm) of silicon that needs to be removed to obtain reliable results depends upon the etchant and also upon the dilution of the solution. For example, for the 5-fold diluted Secco etch (Fig. 5.3 d), it is necessary to remove about 1100 A in order to delineate all of the defects (density : 1 .OxlO6 cm'2).

5 — -------- ---------- ---------------------------------------------------------------------------------------------------------------------------10

10'

10‘

"Sec C O

:2,5x~dt nte:

TJX

Sohimmel

dilute

2x

10x dilute

FrSx-dtlttte -:

■ ...dilute

ang Vy right= * r .

2.0>: dilute ,

500 900 1300T m ( A )

1700

Figure 5.4- Etch rate against Tm fo r the Wright, Yang, Schimmel and Secco based solutions.

Fig. 5.4 summarises the results of the different etchants in terms of etch rate against T m. It is evident from Fig. 5.4 that silicon etchants such as the Wright and Yang etchants, give poor results since most of the silicon overlayer has to be removed ( T > 1500 A) to obtain a one to one correlation between etch pits and defects imaged in the T E M . This

66 New etchant

result is not unexpected since both the Ya n g and Wright etchants form well defined etch pits due to preferential etching of silicon in the {111} planes near the dislocation core and consequently, the formation of etch pits using these systems is very slow. U p o n dilution the capability to form etch pits is further decreased and fewer than 1 % of the crystallographic defects (see Fig. 5.3 a) are delineated, even after removing almost all the silicon overlayer.

Preferential etchants that form isotropic etch pits ( Schimmel and Secco) give better results. However, it is observed that Schimmel based solutions are inferior to the Secco based ones because more material has to be removed to obtain reliable results (see Fig. 5.4). T ra for the standard Schimmel etchant is 1200 A and increases rapidly for the dilute solutions. The best results were obtained for solutions having potassium dichromate (K^C^Oy) as the oxidising agent (e.g. Secco solutions). Indeed, the min im u m thickness for the standard Secco is 700 A and increases only to 1250 A for the 10 fold dilute solution.

Considering that the initial thickness of the silicon overlayer was 2000 A , these results mean, in practical terms, that all the dilute CrO, based solutions analysed in this work (e.g Yang, Wright and Schimmel etchants) are not suitable for the study of ultra thin film S I M O X ( < 1000 A ) since the formation of etch pits is not fast enough to allow the delineation of all crystallographic defects in the thin films. It is important to point out that the main difference between Secco and Schimmel based solutions is the presence of the alkali ions (IC) in the former. W e believe that the presence of IC ions in the solution increases the selectivity of the etchant. This assumption is backed by the early w or k from Gilman et al[ 129] which showed that the selectivity of chemical defect etchants was improved by the introduction of metal ions. They showed that the metals ions are

67 New etchant

Etch

ra

te (A

/min

.)

generally adsorbed on the active sites of the surface and inhibit the retreat of monomolecular steps across the surface, reducing their velocity (V,) without modifying the etch rate (Vn) at the crystallographic defect (see appendix B).

The etching temperature is another parameter that influences the kinetics of the reaction. For example, Figure 5.5 shows the dependence of the etch rate upon temperature for the dilute Secco solutions. It is seen, that the etch rate increases linearly with temperature for the three Secco solutions investigated.

Figure 5.5 - Etch rate dependence o f dilute Secco solutions upon temperature.

Figure 5.6 (a to d) show the temperature dependence of the etch pit density upon the thickness of silicon removed for samples prepared from adjacent areas of wafer A 2 ( defect density: 9.0xl06cm'2). All four sets of data, which were recorded using samples similarly etched at different temperatures, namely 21°C, 26°C, 31°C and 35°C, respectively show the same trend. The etch pit density reaches a saturation value between 5.0x106 cm'2 and 8.0x106 cm'2 after a particular thickness of silicon ( T J has been removed.

68 New etchant

Etch

pit D

ensit

y (cm

'j Etc

h pit

Dens

ity

(cm

)

Fig. 5.6 (a-b) continued

69 New etchant

'Eo

COczCDQ

oLLJ

-Eo

COc=CD

■q _j z :oLLJ

Figure 5.6 - Etch p it density versus thickness o f silicon removed for samples prepared from wafer A2 at a) 21° C , b) 26 °C , b) 31° C and d) 35° C

70 New etchant

It is also observed that the value of the minimum thickness T m is insensitive to temperature and depends only on the dilution of the solution, as previously observed (see fig. 5 .4). This result suggests that the temperature of the solution does not accelerate the nucleation of etch pits.

Figure 5.7 is a typical plan view T E M micrograph from a sample prepared from wafer A 2 etched for 2 min. (1000 A removed) using the 5 fold dilute Secco solution. Three well delineated etch pits are observed while no defect contrast was seen in other regions indicating that all of the crystallographic defects were delineated.

Figure 5.7 - Typical plan vieyv TEM micrograph o f sample A2 etched for 2 min. using the 5 fo ld dilute Secco

It is concluded that crystallographic defects can be reliably delineated using the 5 fold dilute Secco solution providing the silicon overlayer is greater than 1000 A. However, for silicon layers thinner than 1000 A, a n e w etchant is required.

71 New etchant

5.2.2 New etchant

In section 5.2.1 w e have shown that the effectiveness of the defect etchants was controllably reduced upon dilution of the solution (see Fig. 5.4). W e believe that this decrease in the effectiveness of the dilute solutions is due to a decrease in the concentration of the oxidising agent reducing its etching capabilities ( see appendix B), O n the other hand, w e have also showed, that the preferential etching characteristic of the solution will be enhanced by the presence of alkali ions (K+) in the solution. F r o m these findings, w e concluded that to obtain a highly preferential etchant w e need to develop a n e w system with a strong oxidising power (i.e with a high concentration of the oxidising agent )[125] and at the same time having a high concentration of alkali (K+) and metal (Cu"'") ions. The introduction of the metal ions being aimed to further inhibit the retreat of monomolecular steps and by so doing reduce the planar etch rate of the solution [129],

The n e w etchant that w e developed in this project is based on the H F : H N 0 3:H20 : K 2Cr20 7 : C u ( N 0 2 )3 system . The oxidising power of this system , compared to the H F : H N 0 3:H20 , is increased by the presence of Cr++ ions (see appendix B) and at the same time the introduction of K + and C u ++ ions in the solution will inhibit the retreat of monomolecular steps. A n optimum formula was obtained by changing the relative quantities of the etchant components in order to obtain the highest etching anisotropy with the slowest planar etch rate. The optimum formula for this n ew system is 50 ml HF(40%):70 ml H N 0 3(70%):170 ml FL,0 (1.0 gr. K ^ C r ^ + 4,0gr. C u ( N 0 2):H20, which was established by carrying out a large number of etching experiments.

72 New etchant

In Figs, 5.8 and 5.9 w e present calibration data for the n ew chemical solution. Figure 5.8 (a) and (b) show the etch rate and dependence of the etch rate with temperature, respectively.

The n e w silicon etchant is found to be well behaved, as the removal of silicon varieslinearly with increasing time and also the etch rate has a linear dependence upontemperature. The etch rate of the n e w solution at r oo m temperature (21°C) is 450 A/min, which ensures good control of the dissolution of silicon from the thinnest films ( < 500A ) .

Fig 5.9 (a) and (b) show the dependence of the etch pit density in samples cut from wafers A l and A 2 upon the thickness of silicon removed. For comparison w e have also plotted data obtained using dilute Secco solutions. It is clear from Fig. 5.9 (a) and (b) that the m in im u m thickness T m for the n e w solution is about 500 A for samples from both wafers. The increase in the effectiveness of the n e w etchant confirms our supposition that the introduction of Cu** and K + ions inhibits the retreat of monomolecular steps across the surface, reducing the dissolution rate of the surface without modifying the etch rate at the crystallographic defect and, therefore, enabling the formation of large etch pits even w h e n the thickness of silicon removed is small.

The evolution of etch pits using this n e w etchant is shown in Figure 5,10 (a-d) which includes plan-view T E M micrographs of samples prepared from wafer A 2 after different etching times. For an etching time of 7 sec. (Fig. 5.10 a) w e observe that no preferential etching of dislocations has occured. The small amount of material removed ( about 50 A )

is insufficient to show nucleation of etch pits at the site of the dislocations. The onset of nucleation (Fig. 5.10 b) appears to occur after the removal of 100 A (14 sec.) of material.

73 New etchant

It is noted that the growth of the etch pits appears not to be homogeneous during the initial stage and that initially not all the dislocations have resolvable etch pits.

1 5 0 0

"O0>oE0

(7)ocoto0co Ic I—

1000

5 0 0

00 1 2

E t c h i n g t i m e ( m i n . )7 0 0 1 i ■ i i . - r

6 0 0■ b

-

c 5 0 0 -

Et i 4 0 0 .

00 i_ 3 0 0 • r

_ Co •+— '

L U 2 0 0 - -

1 0 0 - -

0 - - - - - - - - - - - - - -- - - - - - - - - - - - - 1_ _ _ _ _ _ _ _ i_ _ _ _ _ _ _ _ 1_ _ _ _ 1 - - - - - - - - - - - - - - 1- - - - - - - - - - - - - 1- - - - - - - - - - - - - 1

10 1 4 1 8 2 2E t c h i n g t e m p e r a t u r e f C )

2 6 3 0

Fig. 5.8 a) Thickness removed upon etching time and b) dependence o f the etch rate upon temperature fo r the new etchant.

74 New etchant

0 400 800 1200 1600 2000T h i c k n e s s o f S i r e m o v e d ( A )

1 0

1 0

CNi<—< 1 0

so 1 0

> -*—* 1 0'(/)c=0TD 1 0 :

'o_ 1 0 :J ZoL U 1 0

1 0 (

0 400 800 1200 1600 2000T h i c k n e s s o f S i r e m o v e d ( A )

Fig. 5.9 - Etch pit density upon thickness o f silicon removed for samples prepared from wafers a) A l and b) A2.

75 New etchant

76 New etchant

Fig. 5.10 - Plan view TEM micrographs o f samples prepared from adjacent samples from wafer A2 etched fo r a) 7 sec., h) 14 sec., c) 24 sec., d)60 sec., and e) 120 sec. using the neyv etchant

77 New etchant

After the removal of 150 A of material (Fig 5.10 c) etch pits are visible at the sites of all resolvable dislocations, however the diffraction contrast indicates that the etch pits have not penetrated completely through the silicon overlayer. W h e n 450 A of the silicon overlayer has been removed (Fig. 5.10 d), it is clear that well defined etch pits have formed, with all the silicon around all of the dislocations being etched away. These observations agree closely with the data shown in Fig. 5.9. U p o n a further increase in the amount of material removed (900 A , Fig. 5. 10 e) the etch pit size increases considerably but the etch pit density is unchanged, since there are no further dislocations to nucleate additional etch pits in this material. Analysis by plan view T E M of samples etched using the 5 fold dilute Secco solution showed similar trends, although the amount of material needed to be removed for the onset of nucleation was considerably greater (250 A ) and the etch pits only penetrated through the top silicon layer w h e n about 900 A of silicon had been removed. These results give confirmation that the formation of etch pits is indeed a nucleation-dependent process. F r o m the nucleation theory of etch pits (Appendix B) it is k n o w n that the probability that an etch pit will be nucleated at a dislocation is directly proportional to the undersaturation of the m e d i u m ( Si surface/etchant) and to the square of the Burgers vector of the defect. In terms of this theory the different etching times for the etchants (new etchant and dilute Secco etchant) to nucleate etch pits can be explained by the presence of inhibitors that decrease the free enthalpy required for nucleation[20]

Fig. 5.11 shows the diameter of the etch pits as a function of the thickness of silicon removed, where the data has been collected from plan view T E M micrographs. It is seen that the n e w chemical solution forms etch pits that are larger by a factor of two than those formed by the five-fold dilute Secco. For 900 A of silicon removed the diameter of

78 New etchant

the etch pit produced by the n e w chemical etch is 0.2 pm, whilst it is less than 0.1 p m for the 5 fold dilute Secco. This feature illustrates, once more, the increased effectiveness of the n e w solution over the 5 fold dilute Secco.

E

7CD

O4 — >

CDCD

CD4 — '

CDEojb

T h i c k n e s s o f S i r e m o v e d ( A )

Fig. 5.11 - Etch pit diameter against thickness of silicon removed.

The use of this new etchant is further reported in section 5.3 of this chapter and in chapters 6 and 7. It is shown that threading dislocations are successfully delineated in thin silicon layers by using the n e w etchant. Stacking faults (SF) in thin layers (Sample B6, silicon overlayer thickness: 500 A ) are also delineated using this etchant (see fig. 5,12). Identification of stacking faults has been made possible by the faceted nature of the etch pits and their orientation along <110> directions. Fig. 5.13 shows SF present in another sample which has been thermally oxidised. It is obseived that SF can be distinguished from a pair of dislocations if the projected length of the fault is larger than 0.5pm.

79 New etchant

Fig 5.12- SEM micrograph o f SF observed in thin SIMOX (Ti = 500 A).

Fig 5.13- SEM micrograph o f threading dislocations and SF observed in sacrificially oxidised SIMOX. It is observed that SF can be distinguished from a pair o f dislocations if the projected length o f the SF is greater than 0.5 pm.

80 New etchant

5.3 Distribution of defects in thick SIMOX.

In this section w e use the n e w chemical defect etchant to determine the distribution of defects present in the silicon overlayer of standard S I M O X wafers produced commercially by the IBIS and L E T I Corporations.

5.3.1 Lateral distribution o f defects.

Figure 5.14 a) shows the lateral distribution of defects from the centre to the edge of wafer A 2 . It is observed that although small variations are evident (from 8.0xl06cm'2 to 6.0x106 cm'2), the overall defect distribution is relatively constant suggesting that the vendors have good control of the processing parameters during implantation and annealing. Lateral uniformity could also be evaluated from the uniform interference colour (green) observed over the whole wafer and was found qualitatively to be good.

This result is contrasted with the one presented in Fig. 5.14 b) obtained from another S I M O X wafer (A3) which had a large colour difference between the centre (green) and the edge of the wafer (pink). A difference in the defect density of one order of magnitude is observed from the centre (6.0x10° cm'2) to the edge (6.0x10' cm'2) of this wafer (Fig. 5.14 b).

81 New etchant

y r u u f i

C MIEo

C OLUfe•4— ’

'coc0T3

*Q-4— ■LU

Distance from centre to the [110] edge of wafer (mm)

b

icr: 10 10 20 30 40 50

Distance from centre to the [110] edge of wafer (mm)

g re e n m ix e d p in k

Fig. 5.14 - Etch p it density distribution from the centre to the edge o f a) wafer A2 and b) wafer A3. The colour (by eye) o f the layers is indicated at the top o f the figure.

82 New etchant

C hannel Num ber

Fig. 5.15 - RBS spectra from two different areas o f wafer A3.

R B S analysis of different areas of the wafer A 3 ( see Fig. 5 15) confirmed that the silicon overlayer and buried oxide layer thicknesses were significantly different in the centre and the edge of the wafer. With the aid of an R B S computer simulation programme it was possible to determine that the thickness of the silicon overlayer near the edge of the wafer was 2100 ±60 A whilst it was only 1900 ± 60 A close to the centre O n the other hand, the B O X thickess near the edge was thicker ( 4100 ± 50 A ) than in the centre (3900 ± 50 A ). These results, associated with the different interference colours ( green to pink), indicate that lateral dose inhomogeneities occured during implantation. The increased defect density in the centre of the wafer is attributed to the higher implanted dose which will increase the number of point defects created during implantation and lead to greater lattice disorder.

83 New etchant

Etch

pit

den

sity

(c

m

The depth distribution of defects in the silicon overlayer was also determined Fig 5 16 shows the etch pit density upon the thickness of silicon removed for two multiple implanted S I M O X substrates, namely wafers A4 and B1 (see table 3.2) It is observed that the etch pit density ( threading dislocations ) for both materials is around 1 OxlO3 cm'2. However, an increase in the etch pit density for thickness higher than 1000 A is

observed for both wafers which saturates at a value of about 3 0x1 0 6 c m 2 W e speculate that this increase in the etch pit density is caused either by the presence of localized defects or by irregularities found near the Si/Si02 interface

5.3.2 Defect distribution- depth

0 250 500 750 1000 1250 1500 1750T h i c k n e s s o f S i r e m o v e d ( A )

Fig. 5.16 - Etch pit density upon thickness o f silicon removed for a) A4 and b)Bl multiple implanted SIMOX wafers.

Plan view TEM analysis of a sample prepared from wafer A4, confirmed that the

84 New etchant

threading dislocation density is below the resolution limit for this technique ( e g < 1.0x1 O' cm'2) and also revealed the existence of small extended defects in the silicon overlayer. Fig. 5.17 shows a plan view T E M micrograph of a sample prepared from wafer A 4 observed under weak beam conditions. It is possible to observe alpha fringes inside two opposite {111} faulted planes. This contrast is characteristic of a stacking fault pyramid: (SFP)[130] The SF P density measured was l .OxlO7 cm'2, explaining theincrease in the etch pit density observed in Fig. 5.16 From Fig. 5.16 and 5.17, w e conclude that the increase in the etch pit density is due to the presence of SFP localized near the back of the Si overlayer. Although the etch pit density is approximately 3 times lower than the actual SFP density estimated by plan view T E M analyses, w e speculate that this difference is due to the different magnifications used to estimate the defect densities

500 A

5.17 Plan view TEM micrograph o f sample A4 under weak beam conditions. An extended defect is observed. Fringes inside two faulted planes are clearly visible.

85 New etchant

The presence of non threading defects was also observed in other S I M O X wafers. Fig. 5.18 (a-b) include plan view T E M micrographs of a sample prepared from wafer B4. In Fig. 5.18 a) two paired threading dislocations can be observed. The threading dislocation density estimated by plan view T E M analysis of this particular sample was 5.0x105 cm'2

In Fig. 5.18 b) an extended defect is observed which w e conclude is an elongated SFP since the edges of the projected defect are orientated along <110> directions, and contrast from the (111) and (111) planes are visible under (g= 220 ) and invisible under(g=220).

j 0.20 p m

Fig. 5.18- Plan view TEM o f a sample prepared from wafer B4. a) A pair o f threading dislocations and b) an elongated SFP are observed.

86 New etchant

Fig. 5.19 (a-b) are X T E M micrographs of sample prepared from wafer B4. Fig. 5.19 a) and b) are respectively a bright field and dark field micrographs of the silicon/BOX interface of a sample prepared from wafer B4. Fro m Fig. 5.19, it is observed that a small stacking fault complex is localized in a region close to the Si/Si02 interface. D u e to poor

statistics a meaningful estimation of the small S F complex density was not possible, however the height of this particular defect was estimated to be of 100 A. Furthermore, X T E M analyses have also shown the presence of these defects in the silicon substrate below the B O X layer ( see Fig. 5.20). The presence of small SF complexes far from the substrate/BOX interface suggests that some of them are stacking fault tetrahedra (SFT).

P V T E M analyses of a similar sample prepared from wafer B4, enabled us to determine the density of these defects ( SFP/SFT) as 2.0xl07 cm'2. A distribution of sizes is apparent with a mean length of 0.1 p m ( see Fig. 5.21).

87 New etchant

88 New etchant

Fig.

5. 19

- XT

EM

mic

rogr

aphs

from

a

sam

ple

prep

ared

from

wa

fer

B2

unde

r a)

brig

ht fi

eld

and

b) da

rk f

ield

Figure- 5.20- Bright field XTEM micrograph from a sample prepared from wafer B4 showing the presence o f a SF complex below the BOX layer

89 New etchant

Table 5.1 summarises the crystallographic defect densities observed in standard S I M O X wafers produced by IBIS and L E T I during the period 1989 to 1992. The dislocation densities were estimated using the n e w chemical etchant whilst the SFP/SFT densities were determined by P V T E M analyses. In general w e find that in single implanted materials the threading dislocation density is lower for L E T I than for IBIS samples being ~ 1 OxlO5 cm'2 and 1 OxlO6 cm'2 respectively. O n the other hand, IBIS material contains the lower SFP/SFT densities.

90 New etchant

S I M O Xwafer

Supplier Implantationmethod

Dislocationdensity(cm'2)

SFP/SFTdensity(cm'2)

A l IBIS single l.OxlO6 1.0x10s

A 2 ti it 8.0xl06 5.0xl05

A 3 ii ii 50.0 /6.0 xlO5 ❖ ❖

A 4 M multiple 1.0x10s t'.oV,©t—4

B 1 L E T I multiple l.OxlO3 < l.OxlO5

B 2 II single 5.0xl04 l.OxlO6

B 3 II n 5.0xl04 l.OxlO7

B3(2) II ii 5.0xl04 l.OxlO7

B 4 II ii 5.0xl05 2.0xl07

B4(2) II n 5.0x10s 2.0X107

B 5 II ii 8.0xl05 3.0xl07

B6* II n 1.0x10s **

Table 5.1 - Threading dislocation and SFP/SFT densities in standard SIMOX

* : The silicon overlayer thickness of wafer B 6 was 500 ±50 A. **: SFP/SFT density not measured.

91 New etchant

C h a p t e r S i x : R e s u l t s I

Sacrificially oxidised SIMOX

6.1 Introduction

In this chapter w e report the use of the n e w etchant to study the nature and density of defects present in thin S I M O X materials produced by sacrificial oxidation. Furthermore, data from plan view T E M and R B S analyses are also reported to provide complementary characterizations.

This chapter is divided in two sections. In the first one (6.2) w e characterize the thin silicon overlayer in terms of the nature and density of crystallographic defects produced during sacrificial oxidation of thick S I M O X materials whilst in section 6.3 w e describe an experiment to control the density of O IS F by the implantation of B F +2, Ge* or Si+ ions prior to the oxidation. The aim of this latter experiment is to annihilate the nucleation sites for O I S F by selectively amorphising the region near the Si/Si02 interface, leaving the near surface region relatively free of damage in order for it to be used as a seed for a solid phase epitaxial (SPE) regrowth during a subsequent thermal treatment.

92 Results I

6.2 Defects in sacrificiallv oxidised SIMOX.

6.2.1 Crvstalloeravhic defects in standard SIMOX.

In section 5.3 w e have shown that the main defects present in the silicon overlayer of thick S I M O X substrates are threading dislocations and small SF complexes (SFP/SFT). W e have also shown that S I M O X wafers showing a uniform light interference colour also showed a uniform distribution of defects. Furthermore, w e observed that although the nominal implantation and annealing conditions were the same, samples implanted in different batches contained different defect densities. Indeed, the range of defect densities observed in wafers from different batches varied from 103 cm'2 to 8x106 cm'2 for threading dislocations and from 105 cm'2 to 3.0xl07cm'2 for SF complexes (see table 5.1).

6.2.2 Crvstalloeravhic defects in sacrificiallv oxidised SIMOX

Selected samples ( 1 c m 2 ) cut from different S I M O X wafers ( namely B2,B3, B 4 and B5, see table 5.1) were sacrificially oxidised following the procedure described in section 4.1.5. The thicknesses of the silicon overlayer of the sacrificially oxidised S I M O X samples were measured by R B S analyses. Fig. 6.1 shows 1.5 M e V He* R B S spectra from samples (cut from wafer B2) submitted to dry oxidations at 1100°C for different times. The R B S analyses were carried out after removing the sacrificial oxide using a H F ( 4 0 % ) solution. F r o m Fig. 6.1, it is observed that the thickness of the silicon overlayer decreases according to the oxidation time. The estimation of the thicknesses of the silicon overlayers was carried out by simulation using the X R B S S I M programme[128]. The simulated data

93 Results I

Counts

showed that the thicknesses of the silicon overlayer (T=2500 A ) were reduced to 1000 A

and 500 A after oxidation times of 4 hrs. and 8 hrs., respectively. The thicknesses of the sacrificial oxide layers were previously estimated by measuring the step height of an oxide win do w using a Rank Taylor Tallystep stylus instrument ( see section 4.1.5 ).The R B S data together with the Tallystep trace analysis showed that the ratio between the thickness of the oxide and the consumed silicon was approximately 2.3 which is consistent with published values[60].

non oxidiseda a a a 1100°C, 4 hrs o o o o 1100°C, 8 hrs

C hannel N um ber

Fig. 6.1- 1.5 MeV He+ RBS spectra from wafer B2 and samples sacrificially oxidised at 1100 °C for 4 hrs, and 8 hrs.

94 Results 1

Oxid

e th

ickn

ess

(A)

Fig. 6.2 shows the dependence of the oxide thickness upon oxidation time for S I M O X samples oxidised in dry oxygen at different temperatures. For comparison w e have also plotted the theoretical values obtained from the Deal-Grove model [131]. It is observed that the oxidation rate of the silicon overlayer in our S I M O X materials is similar to that predicted for bulk silicon, and thus, suggesting that the existence of the buried oxide layer ( B O X ) does not affect the oxidation rate.

Oxidation time (hrs.)

Fig. 6.2- Dependence o f the oxide thickness upon time for SIMOX samples oxidised in dry 0 2 at different temperatures.

Fig. 6.3 shows the dependence of the etch pit density upon thickness of silicon removed for a sample cut from wafer B 2 and oxidised at 900°C under dry oxidation conditions for 2 hours. The thickness of silicon consumed was 200 A. As a reference, data from a non oxidised sample cut from wafer B 2 is also included.The data presented in Fig. 6.3

95 Results I

was collected by estimating the etch pit density in a m inimum of ten ( S E M ) micrographs for each point ( see section 4.2.1) and shows the depth distribution of the crystallographic defects in the oxidised and non oxidised material. F ro m Fig. 6.3 two different regions are observed. U p to 1500 A of silicon removed, the etch pit density in both oxidised and non oxidised samples is found to be the same (5.0 ±1x104 cm'2). However, the etch pit density for the oxidised sample increases by a factor of six close to the back of the silicon overlayer (at an estimated depth of 1700 A ), suggesting an increase in the defect density at approximately 800 A from the top Si/Si02 interface.

800 1200 1600 2000 Thickness of Si removed (A)

Fig. 6.3- Etch pit density upon thickness o f silicon removed from a SIMOX substrate (B2) oxidised at 900°C fo r 2 hrs. Data from wafer B2 before oxidation is included as a reference.

Fig. 6.4 a-b-c) show plan view T E M micrographs of samples cut from wafer B 2 oxidised at 900°C for 2, 10 and 24 hours respectively. In Fig. 6.4 a) w e can observe a well defined oxidation induced stacking fault (OISF) bounded by a dislocation loop (arrowed). The

96 Results I

density and mean size of the O IS F have been determined from a set of micrographs recorded using the two b e a m - condition (g= 220) at a magnification of 30 thousand times.The O IS F density estimated in this sample is 5.0xl0'cm‘2 and the mean size of the OISF is 0.2 pm. Furthermore, detailed analysis by plan view T E M has shown that the density of threading dislocations is not modified during a 2-hour dry oxidation at 900°C. This result together with data presented in Fig 6 3 suggests that for short oxidation times ( < 2 hours), the O IS F are formed in the silicon overlayer close to the Si overlayer/BOX interface. The micrographs shown in Fig. 6.4 b and c) show that the O IS F grow larger during longer oxidation times at this temperature. In Fig. 6.4 b, (10 hours), it is observed that the O IS F grow and eventually reach the silicon surface (arrowed) which w e propose is by capturing interstitials emitted during oxidation [132], U p o n a further increase in the oxidation time ( 24 hours) the O IS F grows laterally, being bounded by two partial dislocations to a length of approximately 2 pm.(see fig 6.4 c).

0.2 ( m

Fig. 6.4 (a) continued

97 Results I

b)

Fig. 6.4 - Plan view TEM o f samples prepared from wafer B2 after dry oxidation at 900°C for a) 2 hrs. b) 10 hrs and c) 24 hrs

Fig. 6.5 (a-b) are S E M micrographs of OISF in samples prepared from wafer B 2 and B 3 submitted to 900°C (10 hrs) and 1100°C (4 hrs.) respectively. The OISF have been delineated by etching the samples for 1 min. using the new etchant.

98 Results I

4HM 20KV 01 025 S

Fig. 6.5- SEM micrographs o f OISF which are delineated by the new etchant a) wafer B2 after dry oxidations at 900 °C fo r 10 hours and b) wafer B3 after oxidation at 1100°C for 4 hours.

It is observed that the mean O I S F length is strongly dependent upon the oxidation temperature having an average length of 1.0 p m ( 900 °C ,10 hrs) and 35 p m (1100°C, 4

99 Results I

hrs) in these two representative samples. Fig. 6.6 shows the dependence of the mean length of the O IS F upon the oxide thickness (i.e.oxidation time, see Fig 6.2) for samples prepared from wafer B2. It is observed that the OISF length increases linearly with increasing oxide thickness for different temperatures. Similar results were obtained from samples prepared from other wafers . A complete list showing the length and densities of O I S F of all the sacrificial oxidised S I M O X samples is presented in Appendix E.

Oxide thickness (A)

Fig. 6.6 Dependence o f the mean length o f OISF upon oxide thickness( wafer B2).

Fig. 6.7 shows the dependence of the OISF density upon the thermal oxide thickness for wafers Bl, B 2 and B3. From Fig. 6.7, it is observed that before the oxidation treatment,

100 Results I

the OIS F density measured was below the sensitivity limit of the optical microscope ( typically less than 102 cm"2). However, after growing a thin oxide ( say 500 A) all samples cut from the same wafer, presented a constant OISF density. Furthermore, it is also observed that the OISF density is insensitive to temperature and to oxide thickness (i.e. oxidation time).

The areal density of OISF along the [110] diameter of wafer B 3 was also quantified. Fig. 6.8 shows the O IS F distribution in a sample cut along the diameter of wafer B3 and oxidised at 1000°C for 16 hours. It is observed that the O IS F density is constant within the mean standard deviation which is typically ± 50%, except at a distance of less than 5 m m from the [110] flat edge. The data points do appear to show a periodic variation which m a y be reflecting a lateral non uniformity. However, as the variation is within the estimated uncertainty further measurements would be required to establish if this variation is real or an experimental artifact. For comparison w e have also plotted the distribution of O I S F along the diameter of a wafer sacrificially oxidised in the clean room facility at the L E T I laboratory ( wafer B6). It is observed that the OISF density is very similar to the values (1.5 ±0.5 x 104 c m ’2) determined from the oxidised sample cut from wafer B3 (see Fig. 6.8), which w e present as evidence for the growth of the O IS F not being a feature of the particular equipment or laboratory.

101 Results I

- tCH

a

S iOQ

h*H

l i ml1*1

K > »Hi

K

o yo u o o o o o oO LO O t-cn cj) t- i—

m

CQ

' M i : i i

ooo

OOOC O

feCTO(f)C D

O CIoo O C M _ c

CD■gxO

ooo

o IT )o o o CMo o

Q uid ) A)|SU8p J S IO

1?=*§

t:es<Nas

O1Nr*-

<3§

1>•*

1fefe3*c<s:ij

3I§§■

l yk, <5>«; *-ife C

53CUOIs8-aQ %7 S * o

o

«, Cn

102 Results I

(sji) 91. ‘o oooOea

L - U I O ) A t j s u e p J S I O

103 Results /

Fig.

6.8-

Dens

ity

of OI

SF

along

a

diam

eter

of

wafer

B

3. Da

ta fro

m wa

fer

B6 is

also

pre

sent

ed f

or

com

pari

son.

W e conclude from the results presented in Fig. 6.7 and 6.8 that the nucleation of OISF in our sacrificially oxidised S I M O X materials is controlled by the presence of nucleation sites prior to oxidation. It is important to emphasise that all of the S I M O X substrates chosen for the oxidation experiments were produced in the same laboratory ( LETI) and that the main difference between the substrates was the density of crystallographic defects.These results, then, suggest that crystallographic defects ( SF complexes, threading dislocations) present in the silicon overlayer before oxidation are the main nucleation sites for the OISF. However, w e conclude that the nucleation sites are not threading dislocations since specimens B3/22 and B5/23 (see table 6.1) had different threading dislocation densities, yet, the density of the OISF is very similar. In table 6.1 w e also list the SFP/SFT densities observed in different samples before oxidation. Although the experimental data is limited, there is a trend for the density of the O IS F to be lower in samples with a higher density of SFT/SFP before oxidation. This behaviour seems to indicate that the small SF complexes (SFP/SFT) act as effective sinks for silicon interstitials that are emitted during the thermal oxidation[133]. However, it is important to point out that this trend was not observed for a multiple implanted S I M O X sample ( Bl/19, see table 6.1) in which no SFP/SFT were resolved under plan view T E M (e.g < l.OxlO5 cm'2) before oxidation and the O I S F density was very low (5.0xl02 cm'2). Therefore, it is not clear if the SF complexes act as sinks for self interstitials or are the effective nucleation sites for OISF. In order to test whether the SFP ( or SFT) act as effective sinks for point defects, samples cut from wafer B 2 were annealed at high temperatures (1150°C) for a prolonged time (24 hours) in a N 2 ambient. B y so doing, vacancies generated during the nitridation process[133] could promote the growth or shrinkage of the SF complexes.

104 Results I

wfl<L>rtIrtCOl-Ho

S' aS3 OJrt <x3g j l^ ^ ♦r*H <£j j Irt o Cfl J \fl §•S'S<3 rtJ ‘g" sg>€jS x>1•* 1 'SH £ art v-/

Q

rtI<Dsfl0• r>»j131 B

XO £§ i*co §

©CO

X©»ri

<DI 1

CT<NCT(2Q

X©<N

<NCN|CO(rt

£

Xo<N

rcfC4(rt

x©oo

©<—< X oCO

Moo

COCTi©ea

&in

XoV

< I—Iw'a. * *—<itiI

ON

PQ

I.1Ii4

05

.11

2l"Si

I9k<fl>*-<fl*S*$I5p

«05iH

1

.5s>S3*8■C

I

i

*«5"flflK

105 Results I

Fig. 6.9 shows a plan view T E M micrograph of an extended defect observed in a sample cut from wafer B 2 and submitted to a thermal annealing at 1150°C for 24 hours in a N 2 ambient. The contrast of this defect is very similar to that observed in Fig. 5.18 b, suggesting that it is an elongated S FP (1 =0.5 pm). Fig. 6.10 (a-b) are plan view T E M micrographs in a) dark and b) bright field contrast of another region of the same sample In Fig. 6 a) and b) an extended defect ( 1 =1.0 pm) is clearly observed. The extended defect was again identified as being an S FP since the two elongated faulted planes are orientated along the [110] direction and the contrast from the two small planes are clearly observed under dark field contrast (see Fig 6.10 b).

Fig. 6.9- Plan view TEM micrograph o f a SIMOX sample submitted to a thermal annealing in a N 2 ambient (1150°C)

106 Results I

107 Results I

Fig.

6.10-

Plan

vie

w TEM

m

icro

grap

hs i

n a)

brig

ht a

nd

b) da

rk fie

ld co

ntra

st of

a lon

g SF

P ob

sen'e

d in

a sa

mple

(B3)

after

a

prol

onge

d an

neal

ing

at 11

50°C

fo

r 24

hour

s in

/V, a

mbi

ent

The increase in size of the SFP from 0.1 pm ( before the N2annealing, see Fig. 5.18 b) to

1.0 pm ( after the N2 annealing) suggests that SFP can grow by capturing vacancies and

therefore, can act as effective sinks for point defects. However, it is important to point

out that not all the SFP have grown to sizes as large as 1.0 pm. In fact, the density of

long SFP (1 = 1.0 pm) was estimated to be 105 cm'2.This result could suggest that not all

the SFP in SIMOX are intrinsic and therefore, it is probable that some of them can also

be eliminated during a thermal anneal in a nitrogen ambient.

To further investigate if single extrinsic SF can also be eliminated by the capture of

vacancies, we annealed ( 1150°C, 24 hours in N2 ) a sample which was previously

oxidised at 900°C for 24 hours ( cut from wafer B2) , resulting in an OISF density of

3.0xl05 cm’2. Fig. 6.11 shows the lateral variation of the OISF density in the silicon

overlayer along a 30 mm wide sample (cut from wafer B2 and oxidised at 900°C for 24

hours), before and after the annealing in the N2 ambient. The data was obtained by etching

(SEM) adjacent areas of the same sample before and after the high temperature annealing

in the N2 ambient. From Fig. 6.11, it is observed that after the annealing, the OISF

density is reduced from 3.0 ±1x105 cm'2 to 1.0±0.3xl04 cm'2.

108 Results I

Etch

pit

de

nsity

( c

m'

10

1 0 '

1 0 ‘

1 0 k

Before N annealing

* # « I * i • • • • • ♦ . i

I tI \ T / I O

After N annealing

A

- r T ' S - JT i

0 1 0 2 0

D i s t a n c e f r o m t h e [ 1 1 0 ] e d g e o f w a f e r ( m m )3 0

Fig. 6.11 - OISF densities in a sample ( cut from wafer B2) which was thermally oxidised at 900°C for 24 hours and then further annealed in a nitrogen ambient at 1150°C for 24 hours. The OISF density before annealing is also plotted for comparison.

109 Results I

6.3 S a c r ific ia l o x id a tio n o f io n im p la n te d S I M O X m ateria ls.

In section 6.2, we have shown that the OISF density in sacrificially oxidised SIMOX

materials depends upon the presence of SFP/SFT at the back of the silicon overlayer. A

method to determine the influence of these defects on the formation of OISF is to

annihilate the SFP/SFT by selectively amorphising the silicon in the vicinity of the Si/Si02

interface by ion implantation. Subsequently, the amorphous region can be (thermally)

epitaxially regrown using the top of the silicon overlayer as a seed.

Fig. 6.12 (a - c) show 1.5 MeV He * RB S/channelling spectra from SIMOX samples

implanted with different doses of a) BF2+ , b) Ge* and Si*. The samples implanted with

BF*2 were cut from wafer B1 while samples implanted with Ge* and Sf were cut from

wafer B3(2) ( see table 4.3). From Fig. 6.12 (a-c) it is observed that for doses of

8.0xl014 BFycm'2, 1.7xl014Ge*/cm'2 and l.OxlO15 Si+/cm'2, the channelled yield between

ch. 220-260 is the same as the non channelled (random) spectra. Therefore, we conclude

that the threshold dose for the amorphisation is approximately 8.0xl014 BF'/cm'2,

1.7xl014 Ge*/cm'2 and l.OxlO13 Si*/cm‘2 for the BF*2, Ge* and Si* implantations

respectively. We also conclude that the amorphous region is confined to the back of the

silicon overlayer and that the top silicon layer remains relatively free of damage.

110 Results I

80 135 190

Channel Number

245 300

1768

1326

8 884

442

112

Random (non-implanted)

Channelled (non-implanted) Channelled: l x l 0 15Si+ cm "2

o - o - o o Channelled: 2.5x 1 0 15 S iA nf2

S i surface

174

Channel Number236 298

Fig. 6.12 (a-b) continued.

I l l Results I

Random (non-implanted)

Channel Number

Fig. 6.12- 1.5 MeV He+ RBS/channelling spectra from SIMOX samples implanted with different doses o f a) B F f b) Si+ and c) Ge+ ions

Fig. 6.13 (a-b) are XTEM micrographs of samples ( cut from wafer B3(2)) implanted

with cj)= l.OxlO15 Si+ cm'2 under a) bright and b) dark field contrast. From the dark field

micrograph (Fig. 6.13 b) we can see that an amorphous region has been formed near the

back of the silicon overlayer confirming the results obtained by RBS analyses ( see Fig.

6.12 b ). It is also observed that the silicon surface, although defective, remains

crystalline.

112 Results I

Fig.

6.13-

XTE

M mi

crog

raph

s of

a sa

mple

( cut

from

wafer

B3(

2))

impl

anted

wit

h (f>=

1.0x

1015

St cm

2 (19

0 kev

) un

der

a)

brig

ht a

nd

b) da

rk fie

ld co

ntra

st.

The silicon overlayer was regrown during thermal treatments in Ar ( 700°C, 30 min.) and

in dry oxygen (1100°C, 10 min.) ambients. Fig. 6.14 is a XTEM micrograph of a sample

(wafer B3(2)) implanted with a dose of <}>=5.0xl014 BF+2cm'2 and thermally treated at

1100°C for 10 min. It is observed that the silicon overlayer is completely regrown after

the thermal treatment. Similar results were obtained for the thermal treatments in Ar

ambients (700° C, 30 min ).

ermal oxide

Si overlayer

BOX layer

Si substrate

Fig. 6.14- XTEM micrograph o f SIMOX B3(2) implanted with 5.0x1014 BF2cm 2 and submitted to a thermal treatment ( 1100°C, 10 min., dry O )

114 Results I

Analysis by plan view TEM of samples implanted with BFfe Si+ and Ge+ ions and

thermally treated at 1100 °C for 10 min in dry 0 2 were carried out in order to determine

the quality of the regrown silicon overlayer. Fig. 6 15 is a plan view TEM micrograph of a

sample (wafer B3(2)) implanted with <]>= 1.0xl01? BF*2 cm'2 and thermally treated at

1100°C for 10 min. in dry 0 2. It is observed that a high density of dislocation loops is

formed ( 2.0x10* cm'2) during the regrowth process. Similar results were obtained for

samples implanted with Si* with the threshold dose for amorphisation ( <J> = l.OxlO15 Sf

cm'2) and thermal treated under the same conditions (see Fig. 6.16).

0 6 um

Fig. 6.15- Plan view TEM micrograph from a sample (wafer B3(2)) implanted with 1.0x1015 B E cm'2 and thermally treated at 1100°C for 10 min. in dry 0 T The DL density estimated from PV TEM observations was 2.0x1 (fcm'2.

115 Results I

Fig. 6.16- Plan view' TEM micrograph from a sample (wafer B3(2)) implanted with l.OxlO15 S t cm 2 and thermally treated at 1100°C for 10 min. in dry 0 2 . The DL density estimated from PV TEM obser\>ations was 1. Oxl 0scm 2.

On the other hand, no dislocation loops nor SF complexes were observed by plan view

TEM ( < 10? cm'2) of samples implanted with Ge+ ions with the threshold dose for

amorphisation (<}) = 1.7xl014Ge* cm'2) and submitted to a thermal treatment at 1100°C for

10 min in dry 0 2. However, upon further increasing the Ge+ dose (<j) = 2.5xl014 Ge+

cm'2), the dislocation loop density increases to a value of 7.0xl06 cm'2 (see Fig. 6 17).

116 Results 1

Fig. 6.17 - a) bright and b) dark field plan view TEM micrographs o f a sample (cut from wafer B3(2)) implanted with 2.5x1014 GeVcm'2 (1100°C, 10 min. dry O f A DL density o f 7. Oxl O6 cm 2 was observed

117 Results I

Fig. 6.18 is a SEM micrograph of a sample (cut from wafer B3(2)) implanted with Ge+ (<{>

= 1.7xl014 Ge+ cm'2) and oxidised at 1100°C for 4 hours in dry 0 2 after defect etching.

The mean OISF density estimated from a set of SEM micrographs was 5 OxlO2 cm'2

Fig. 6.18 - SEM micrograph o f sample (wafer B3(2)) implanted with 1.7x1 O'4 Ge+ cm 2 and thermally treated at 1100°C for 4 hours in dry oxygen. An OISF density o f 5. OxlO2 cm 2 was measured after extensive SEM analysis.

On the other hand, samples implanted with the threshold dose for amorphisation with

BF2 ions showed a high density of OISF after an oxidation at 1100°C for 4 hours in dry

0 2 (see Fig. 6.19) The OISF density estimated by plan view TEM analysis was 1 OxlO7

cm'2. Chemical etching plus SEM analysis of an adjacent area of the same sample showed

that a network of SF existed, which we suggest are formed due to the interaction of

OISF lying in different {111} planes (see Fig. 6.20).

118 Results 1

Fig. 6.19 - Plan view TEM micrograph o f a sample ( prepared from wafer B3(2)) implanted with 0= l.OxlO15 B E 2 cm 2 and oxidised at 1100°C, 4 hours in a dry oxygen ambient. OISF are clearly identified by the characteristic fringe contrast in the plane o f the fault and also by the partial dislocations that bound the fault.

Fig. 6.20- SEM micrograph o f a sample (prepared from wafer B3(2)) implanted with 0=1. Oxl O'5 B E 2cm 2 and oxidised at 1100°C for 4 hours in dry oxygen. The sample was etched using the new etchant for 1 min.

119 Results I

Fig. 6.21 (a-c) show the dependence of the OISF density upon the ion dose after oxidising

the samples at 1100°C for 4 hours in dry oxygen.From Fig. 6.21 a) it is observed that for

doses close to the threshold dose for Ge* the OISF density decreases to approximately

S.OxlO2 cm'2 indicating that a very low density of nucleation sites are present in the

silicon overlayer before the oxidation. However, for higher doses ( <j)= 2.5xl014 Ge* cm'2)

the OISF density increases significantly due to the increase in dislocation loop density

during the regrowth process (see Fig. 6.17). We conclude that the effective annihilation of

SF complexes gives rise to a low OISF density,thus, suggesting that they act as effective

nucleation sites for OISF.

In Fig. 6.21 b) we observe that although a decrease in the OISF density is not obtained,

an interesting trend is apparent. In the high dose regimes ( O > 5.0x1014 BF*2 cm'2) the

OISF density is strongly dependent upon the BF*2 dose. This is due to a high density of

dislocation loops (2.0xl08 cm'2) that are formed during the regrowth process (see Fig.

6.14). We believe that the dislocation loops act as the main nucleation sites for OISF .

For intermediate doses (l.OxlO14 BF*2 cm'2< ft) < 5.0xl014 BF*2 cm'2) , the nucleation

process of OISF is more complex since the SFT/SFP are not completely removed and

therefore, they coexist with the dislocation loops produced during the regrowth process.

We, therefore, conclude that in this case, the OISF density is primarily dependent on the

SFP/SFT density found in the original SIMOX (see table 5.1) and only partially dependent

upon the implanted dose (see Fig. 6.21b ). For lower doses (<j) < 1014 BF*2 cm'2) no

significant increase in the OISF density has been observed indicating that crystallographic

defects present before the amorphisation process are the main nucleation sites for OISF.

In Fig. 6.21 c) it is observed that for sub-threshold doses (<D < l.OxlO15 Si* cm'2) the OISF

density is independent of the Si* dose.

120 Results I

eo

LU

XCDC/3O

*0■00

CLECD

(3

o cvO

( j-1 0 0 ) A i j s u e p - j s i O

121 Results I

Fig.

6.21

a) OI

SF

dens

ity

agai

nst

Ge+

dose

after

oxida

tion

at 11

00°C

for

24

hour

s in

dry

oxyg

en.

( z _uio ) A ; ] S U 0 P - i s i o

122 Results J

Fig.

6.21

b) OI

SF

dens

ity

agai

nst

RE

2 do

se aft

er o

xidati

on

at 11

00°C

for

4 ho

urs

in dry

ox

ygen

.

( j - i u o . ) A i j s u e p J S I O

123 Results 1

Fig.

6.21

c) - O

ISF

dens

ity

agai

nst

St do

se aft

er o

xidat

ion

at 11

00°C

fo

r 4

hour

s in

dry

oxyg

en.

However, for doses equal or higher than l.OxlO15 Si+ cm'2 , an increase in the OISF

density is observed. This increase, as in the case of Ge+ and BF+2 implantations, is due

to the nucleation of the dislocation loops during the solid phase epitaxial regrowth

process ( see Fig. 6.15).

In order to determine the effects of the regrowth ambient on the formation of secondary

defects, short thermal annealings ( 1100°C , 10 min.) under N2 ambients were used to

regrow the amorphous regions of the BF+2, Si+ and Ge+ implanted SIMOX samples. Once

more, low DL densities were determined for samples implanted with <j) = 1.7xl014 Ge+

cm'2(p < 10s cm'2) while a considerably higher density of dislocation loops ( ~ 10s cm'2)

were observed for the BF+2 implanted samples, indicating that, for our processing

conditions, the formation of DL seems not to depend upon the regrowth ambient. The

reason why the amorphisation with Ge+ ions gives better results is not well known,

however recent work by Laanab et al [134] have shown that the density of secondary

defects ( known as 'end of range' defects [135]) formed during the solid phase epitaxial

(SPE) regrowth of amorphous silicon depends upon the number of excess interstitials at

the amorphous/crystalline interface. Moreover, Laanab[136] have shown that Ge+

implants ( compared to Si+ implants) give rise to a lower number of excess interstials, and

perhaps, explains the low DL densities observed in our Ge+ Implanted samples.

Finally, an interesting result observed for samples implanted with Si+ ions and annealed at

1100°C for 10 min. in a N2 ambient is the evolution of the dislocation loops into paired

threading dislocations (arrowed in Fig.6.22 a-b). We presume that this process occurs by

the climb of vacancy type perfect dislocation loops due to the capture of vacancies that

are found in super saturation under those conditions[133]. This result is interesting since

it confirms the assumption, made separately by Stoemenos [48] and Venables [51], that

124 Results I

the formation of threading dislocations in SIMOX occurs through a climb process of

perfect dislocation loops.

Fig. 6.22 - Plan view TEM micrographs o f samples implanted with Si a) (ft - l.OxlO15 S t cm'2 and b) <f> = 2.5x 1015 S t cm 2 annealed at 1100°C for 10 min. in aN2 ambient.

125 Results 1

C h a p te r S e ven : R e su lts I I

Low energy oxygen implants

7.1 Introduction.

In this chapter, we report experimental studies of crystallographic defects in thin film

SIMOX substrates produced by low energy and low dose O' implantation, The new

defect etchant (chapter 5) was used to delineate defects and complementary data is

obtained by RBS, XTEM and PV TEM methods, Additionally, SIMS and double crystal

X-ray diffraction analyses were also used to further characterize a selected number of

samples.

This chapter contains two sections. In Section 7.2 we report experiments to characterize

thin film SIMOX wafers produced by Eaton Corporation while in Section 7.3 we

characterize crystallographic defects in thin film SIMOX substrates produced at the

University of Surrey.

126 Results II

7.2 Wafers prepared by Eaton Corporation.

7.2.1 General description.

The wafers prepared by Eaton Corporation were implanted with low energies (30 keV

or 40 keV) and low doses ( typically 2.2x1017 0 + cm'2) with the specific purpose of

producing a thin overlayer above a thin continuous buried oxide (BOX). The doses were

selected to be close to the critical dose Oac for the formation of a continuous BOX layer

according to the semi-empirical model proposed by Li et al[59] ( see section 2.1). Table

7.1 shows the main analytical methods used in this project to determine the

micro structure and the crystalline quality of the wafers prepared by Eaton Corporation.

Wafer Ionimplanted

Energy(keV)

Dose xlO17 (0+ cm'2)

Analytical Methods

El 320 +2 60 2.2 RB S/XTEM/P VTEMChemical etching + SEM/ Optical microscopy

E2 32o+2 60 2.2 RB S/XTEM/P V TEMChemical etching + SEM/Optical microscopy

E3 16Q+ 30 2.2 RBS/PV TEMChemical etching + SEM/Optical microscopy

E4 160+ 40 2.2 RBS/PV TEMChemical etching + SEM/Optical microscopy

E5 16q+ 40 2.4 RBS/PV TEMChemical etching + SEM/Optical microscopy

E6 ,6o + 40 2.4 RBS/ PV TEMChemical etching + SEM/Optical microscopy

E7 K>0 * 40 3 RBS/ PV TEMChemical etching + SEM/Optical microscopy

Table 7.1 - Description o f the analytical methods to determine the microstmcture and crystalline quality o f the wafers prepared by Eaton Corporation.

127 Results II

The microstructure of the SIMOX wafers was determined by RBS and XTEM analyses

while the nature and density of crystallographic defects in the silicon overlayer and in the

substrate below the BOX were determined by chemical defect etching (SEM/optical

microscopy) and PVTEM analyses.

7,2.2 Microstmcture

Fig. 7.1 shows a typical 1.0 MeV He+ RBS spectrum from wafer El. The two main

features of this spectrum are marked by the letters A and B. In region A, the reduced

backscattered yield of the He+ ions is due to the reduced density of silicon atoms in the

buried oxide layer whilst the increase in the backscattered yield, in region B, is due to the

overlapping of the oxygen and silicon signals. From Fig. 7.1, due to the abruptness of the

features A and B, we conclude that wafer El has a well defined buried oxide layer. In

order to determine if the signal from region A corresponds to stoichiometric Si02, we

have carried out simulations using the XRBSSIM programme[128]. The accurate fitting

to the experimental data of the simulated spectrum for a test structure containing a BOX

layer of 500 A confirms that a stoichiometric Si02 layer exists in the Eaton wafer.

Furthermore, the thicknesses of the silicon overlayer and buried oxide layer were also

determined by matching the experimental spectrum with the simulated one. RBS

analyses of other Eaton wafers were carried out and table 7.2 summarises the determined

thicknesses of the silicon overlayers and buried oxide layers.

128 Results II

B

C hann el N u m b e r

Fig 7.1 - Typical RBS spectrum from wafer El. A simulated spectrum is also shown.

SIMOXwafer

Ionimplanted

Energy(keV)

Dose (0+ cm'2)

Implantationtemperature(°C)

Sioverlayerthickness(A)

BOXthickness(A)

El 320 +, 60 2.2xlOn 530 500 ± 50 500± 30E2 H 60 2.2xlOn 530 530 ± 50 500 ± 30

E3 .6q + 30 2.2xl0n 530 550 ± 50 600 ± 30

E4 II 40 2.2xlOn 530 630 ± 50 620 ± 30

E5 11 40 2.4xl017 550 650 ± 50 600 ± 30E6 11 40 2.4xI017 600 600 ± 50 600 ± 30

E7 ti 40 3.0xl017 510 700 ± 50 680 ± 30

Table 7.2 - Si overlayer and BOX layer thicknesses estimated by using computer simulation o f the RBS spectra.

129 Results I 1

Fig. 7.2 (a-b) arc typical XTEM micrographs taken from a representative area of wafer

El showing respectively, bright and dark field images. From these and other

micrographs, it was found that the structure of wafer El consists of a single crystal silicon

overlayer of thickness 500 ±20 A, beneath which a thin continuous buried oxide layer

exists of thickness 500 ± 20 A. All of these thicknesses determinations agreed consistently

with the RBS data (table 7.2). No silicon islands [137] were found inside the BOX layer

after extensive observations by XTEM suggesting that their density is below the

practical sensitivity limit for XTEM analysis, which is typically 107cm'2. Additionally,

crystallographic defects were not observed either in the silicon overlayer or in the

silicon substrate confirming that the crystallographic defect density in these layers also is

below the sensitivity limit for XTEM analysis.

130 Results II

Fig.

7.2 - X

TEM

mic

rogr

aphs

of

a typ

ical

regio

n of

wafer

El

in a)

brig

ht a

nd

b) da

rk fi

eld

Results II

Chemical defect etching (optical microscopy and SEM) and PVTEM analyses have been

used to quantify the density and depth distribution of crystallographic defects in the thin

silicon overlayers of wafers prepared by Eaton.

Fig. 7.3 shows the dependence of the etch pit density against thickness of silicon

removed from a representative sample ( N° 6, Fig. 7.6) cleaved from wafer El. It is

observed that for half of the thickness removed the etch pit density reaches a saturation

value of 4.0 ±2 xlO4 cm'2. However, upon etching to greater depths (> 350 A) the etch

pit density increases to approximately l.OxlO7 cm'2. From Fig. 7.3 and results presented

in section 5.3 (see for example Fig. 5.16), we conclude that although the density of

threading dislocations is low (4.0x104 cm'2), a high density of extended but non threading

defects ( ~ l.OxlO7 cm'2) is present at the back of the silicon overlayer. Similar results

7.2.3 Defect distribution - depth

0 1 0 0 2 0 0 3 0 0 4 0 0 5 0 0T h i c k n e s s o f S i r e m o v e d ( A )

Fig. 7.3 - Dependence o f the etch pit density upon thickness o f silicon removed from a representative area o f wafer El.

132 Results II

s m a l l S F P ( a r r o w e d ) a t t h e b a c k o f t h e s i l i c o n o v e r l a y e r ( s e e F i g . 7 . 4 ) . T h e m a x i m u m s i z e

o f t h e s e d e f e c t s w a s m e a s u r e d t o b e 3 0 0 A a n d t h e S F P d e n s i t y w a s « 8 . 0 x 1 0 6 c m ' 2 .

F u r t h e r m o r e , n o t h r e a d i n g d i s l o c a t i o n s w e r e o b s e r v e d b y p l a n v i e w T E M a n a l y s i s

confirming that the threading dislocation density in wafer El is lower than the

s e n s i t i v i t y l i m i t o f p l a n v i e w T E M ( t y p i c a l l y l e s s t h a n 1 0 ' c m ' 2) . T h e p l a n v i e w T E M

a n a l y s e s t o g e t h e r w i t h t h e r e s u l t s p r e s e n t e d i n F i g . 7 . 3 s u g g e s t t h a t a h i g h d e n s i t y o f S F P

a r e l o c a t e d c l o s e t o t h e s i l i c o n / B O X i n t e r f a c e . I t i s i m p o r t a n t t o p o i n t o u t t h a t t h e

p r e s e n c e o f S F c o m p l e x e s a t t h e b a c k o f t h e s i l i c o n o v e r l a y e r i s n o t s i m p l y r e l a t e d t o t h e

e n e r g y a n d d o s e o f t h e o x y g e n i m p l a n t a t i o n s i n c e t h e s e d e f e c t s w e r e a l s o o b s e r v e d i n

s a m p l e s i m p l a n t e d w i t h h i g h e n e r g y a n d h i g h d o s e s ( s e e s e c t i o n 5 . 3 ) . T h e f o r m a t i o n o f S F

c o m p l e x e s i n S I M O X i s d i s c u s s e d i n c h a p t e r 8 .

A nalyses by plan v iew TEM o f sam ples prepared from wafer E 1 indicates the presence o f

________iooo A

Fig. 7.4- Plan view TEM micrographs o f a sample prepared from wafer El showing two small SFP.

133 Results II

The lateral distribution of threading dislocations across the diameter of wafer El is

shown in Fig. 7.5. while in Fig. 7.6 we show a map of the density of etch pits for the

same wafer (the schematic showing the 48 areas analyzed by chemical etching is also

shown).

From Fig. 7.5 and 7.6, it is observed that the average defect density near the centre of

the wafer is 1.0xl04cm'2. However, small variations from 3.0xl03 cm"2 to 2.0xl04cm'2,

which are greater than the experimental uncertainty, were observed. It is speculated that

this non uniformity is associated with temperature inhomogeneities during implantation or

during the subsequent thermal annealing.

7.2.4 D efect distribution - lateral

134 Results II

EE .

X

o CO

( z.u i o ) A | | S U 0 p ? jd i p i g

135 Results II

Fig.

7.5

- Lat

eral

var

iatio

n of

the

etch

pit

dens

ity

along

the

[1

10]

diam

eter

of wa

fer

El.

136 Results II

Fig.

7.6

- W

hole

wafer

defe

ct etc

h pit

den

sity

map

(wafe

r El

). A

sche

matic

of

the

area

s an

alyz

ed

is als

o sh

mvn

.

Defect densities higher than 107 cm'2 were observed near the borders of the areas

masked from the oxygen ion beam ( see fig. 7.6). These highly defective areas extended

several millimetres inside the implanted area and are symmetrically distributed around the

wafer. Fig. 7.7 (a-c) show plan view TEM micrographs of samples prepared from the

highly defective areas. From Fig. 7.7 b) it is observed that a high density of SF complexes

are present in these regions. The density of these defects is very high and has been

estimated to be 5.OxlO9 cm'2. From Fig. 7.7 c) we can clearly see that the size of these

defects is not uniform, and they consist mainly of SFP (labelled X) and SFT ( labelled

Y). The distinction between the SFP and SFT was carried out by determing the direction

of projection of the faulted planes. The SF complexes having the projected edges

orientated along <110> directions were identified as being SFP whilst defects having the

projected edges orientated along <100> directions were identified as being SFT[130].

The origin and growth of the SFP/SFT in SIMOX are discussed in chapter 8.

137 Results II

138 Results II

/ e %c)

Fig. 7. 7- (a-c) Plan view TEM micrographs o f highly defective areas o f wafer El

The existence of high defect densities in areas near the non-implanted regions were

observed in other Eaton wafers. Fig 7.8 (a-b) show etch pit density maps close to the

non-implanted area for wafers E2 and E3. It is observ ed that a high defect density ( > 107

cm'2) is always observed close to the edge of the non-implanted area The data shown in

Fig. 7 8 suggests that the increased defect density is related to processing problems

during implantation or annealing of the 6 inch wafers A further discussion of the reasons

for this anomalous behaviour is given in chapter 8.

139 Results II

Non implanted area

/• # • • •3E4 1.5E4 2E4 1.5E4 2E4 1E4

• • • • •

T

6E3 1E4 7.5E3 ••

9E3•

1E4•

1.3E4 1E4 8E3 1E4 • •

1E4 1E4•5E4 2E4 1.5E4 1E4 1E4

• • • • •[> 1E7 8E6 1E5 6E4 1E4 1E4

. • • . •|> 1E7 > 1E7 3E5 8E4 2E4 2E4

1 cm

Non implanted area

/. . . . • •

7E5 3.5E5 4.4E5 2E5 1.7E5 1.5E52E6 . . . • •

1.5E6 5E5 4E5 2E5 2.5E51E7 *. 5E6

1.3E7 * • •. 8E6 1.5E6 5E5 4E5 2E5 ✓

>1E7 # ✓/. >1E7 2E5 /Vr

WE7 ./>1E7# 3E5 2E5 2.5E5 3E5 ✓✓/

>#1E7 >1E7 ✓sss*

1 cmFig. 7.8 Defect maps o f regions close to the non-implanted border fo r wafers a)E2

and b)E3.

140 Results II

At a distance of approximately > 1cm from the implanted/non implanted boundary, the

defect density is considerably lower for all of the Eaton wafers. Fig 7.9 (a- b) show

typical SEM micrographs of defect pits in wafer E7 etched using the new etchant for 35

sec. It is observed that although a relatively small amount of silicon has been removed

(250 A), well defined paired etch pits can be clearly seen, identified by the arrows in Fig

7.9 b.

a)

4 H M 2 0 K V 0 5 0 9 3 S

K T

<Fu 0O

v>

2HM 20KV 06 066 S

Fig. 7.9 a) and b) SEM micrographs o f an area close to the centre o f wafer E7 etched using the new etchant for 35 sec.

141 Results II

The etch pit density distribution along a 35 mm long sample cut from a region close to

the centre of wafer E7 is shown in Fig 7.10. It is observed that the defect density has a

mean value of 2.0x106 cm'2.

sQ

’(/)C0~o-t—;‘CL_Co•*—I1

LU

X ( m m )

Fig. 7.10- Lateral etch pit density distribution along a 35 mm sample cut from a region near the centre o f wafer E7.

The mean threading dislocation densities measured for all Eaton wafers are listed in

table 7.3 where it be can seen that the lowest defect densities were observed in wafers

implanted with the lowest doses and energies. Another interesting result is that the

defect density of wafers implanted with molecular oxygen (160 +2) (El and E2) were lower

(by more than one order of magnitude) than wafers implanted with atomic oxygen (160 +).

142 Results II

This result, although not conclusive (since further analysis of other wafers are needed to

confirm the trend), suggests at least that the defect density is not increased due to the use

of molecular oxygen and, therefore, opens a way to achieve low cost thin film SIMOX

structures. Moreover, the results presented in table 7.3 suggest that good quality thin film

SIMOX structures can be obtained using existing equipment (NV-10-80 implanter) and

hence development costs for a new generation of low voltage machines will be avoided,

providing a route to achieve thin film SIMOX substrates without incurring a cost penalty.

SIMOXwafer

Ionimplanted

Energy(keV)

Dose(CTcm-2)

Implantationtemperature(°C)

Si overlayer thickness(A)

Dislocationdensity

El 320 +, 60 2.2x1017 530 500 ± 50 l.OxlO4E2 II 11 fl It 530 ±50 l.OxlO4E3 I60 + 30 2.2xl017 ft 550 ±50 5.OxlO5E4 If 40 It If 630 ±50 5.0x105E5 It II 2.4xl017 550 650 ± 50 2.OxlO5E6 ft 11 tl 600 600 ± 50 5.OxlO6|E7 It 11 3.OxlO17 510 700 ± 50 2.OxlO6

Table 7.3 - Mean threading dislocation densities found in Eaton thin film SIMOX wafers.

143 Results II

T h e c r y s t a l l i n e q u a l i t y o f t h e s i l i c o n s u b s t r a t e b e l o w t h e B O X l a y e r w a s a l s o a s s e s s e d

u s i n g t h e n e w d e f e c t e t c h a n t . B e f o r e t h e d e f e c t e t c h a n t w a s a p p l i e d t h e s i l i c o n o v e r l a y e r

o f t h e S I M O X s a m p l e w a s s t r i p p e d o f f u s i n g a K O H e t c h a n d t h e b u r i e d o x i d e l a y e r w a s

r e m o v e d b y H F ( 4 0 % ) e t c h i n g ( s e e s e c t i o n 4 . 1 ) . I t i s i m p o r t a n t t o p o i n t o u t t h a t t h e

e x p o s e d s u r f a c e w a s f e a t u r e l e s s a n d w a s f r e e o f e t c h p i t s p r i o r t o t h e c h e m i c a l d e f e c t

e t c h i n g .

F i g . 7 . 1 1 s h o w s a t y p i c a l S E M m i c r o g r a p h o f t h e s i l i c o n s u b s t r a t e b e l o w t h e B O X l a y e r

o f w a f e r E l a f t e r d e f e c t e t c h i n g . T h e m a i n d e f e c t s o b s e r v e d i n t h i s a n d o t h e r

m i c r o g r a p h s w e r e s t a c k i n g f a u l t s ( S F ) h a v i n g a m e a n d e n s i t y o f 5 . 0 x 1 0 ' c m 2 ( l a b e l l e d

X ) . A n a l y s i s o f t h e s i l i c o n s u b s t r a t e b e l o w t h e B O X o f o t h e r E a t o n w a f e r s h a v e a l s o

s h o w n t h e p r e s e n c e o f S F w i t h a s i m i l a r d e n s i t y ( 5 . 0 x 1 0 ' c m ' 2) .

7.2.5 Crvstalloeravhic defects in the silicon substrate.

x

20KV 01 027Fig. 7.11 - SEM micrograph o f the substrate below the BOX layer o f wafer E l after chemical etching.

x

1 0 H M

144 Results 11

The crystalline quality of the non-implanted area of the Eaton wafers was also assessed

Analysis by SEM and optical microscopy (after chemical defect etching) of the

non-implanted areas of wafers El, E2 and E3 show the presence of stacking faults with a

mean density of 5.0x10' cm'2 The overall distribution of SF in the non implanted area is

uniform, although at a distance of approximately 20 pm away from the visible ion

implanted boundary ( 1IB), SF are distributed in bands separated by a denuded zone 20

pm wide (see Fig. 7 12 a-b) Analysis of other areas of wafers E1,E2 and E3 have shown

that the SF are essentially parallel to the visible IIB. We believe that these bands appear

due to a stress related process caused by the lateral pinning of the silicon overlayer It is

important to point out that no SF were observed inside the implanted area A discussion

about the stress related edge effects is given in section 8.3 1.

7.2.6 Defects associated with edge effects.

IIB

Implanted area

\y hands of SP

Fig. 7.12a- (continued).

145 Results II

I IB SB

Fig. 7.12 a) and b) SEM micrographs showing the SF band close to the ion implanted boundary o f wafer El observed after defect etching

band o f

146 Results II

7.3 W a fers p re p a re d a t th e U n iversity o f Surrey .

7.3.1 General description.

The wafers prepared at the University of Surrey were implanted with doses equal or

greater than the critical dose for the formation of a continuous buried oxide layer at

energies of 70 keV, 90 keV and 200 keV [59], Table 7.4 shows the main analytical

methods used to determine the microstructure and characterize the crystallographic

defects.

Wafer Energy(keV)

Dose(0+cm‘2xlO17

Implantationtemperature(°C)

Analytical methods

G1/G2/G3G5/G6

70 3.3 650/550/700700/600/500

RB S/channelingChemical etching (SEM/Optical microscopy) PVTEM

G4 70 3.3 700 RB S/channelingChemical etching (SEM/Optical microscopy) PVTEMDouble X ray diffraction

G7/G8/G9G10/G11G12/G13

90 5/5/55/5

3/10

700/650/600550/500700/550

RB S/channelingChemical etching (SEM/Optical microscopy) PVTEM

G14/G15G16/G17G18/G19G20/G21

200 4/55/66/77/5

700 RBS/channellingChemical etching (SEM/Optical microscopy) PVTEM

(100) Cz SiWacker

** ** ** SIMSChemical etching

* * : s a m p le n o n i m p la n t e d .

Table 7.4 - Description o f the analytic methods used to determine the crystalline quality o f the SIMOX wafers prepared at the University o f Surrey.

147 Results II

The structure of the wafers prepared at the University of Surrey were determined using

RB S/channeling analyses. Fig, 7.13 shows typical 1,5 MeV He4 RBS spectra from wafers

G12, G10 , G13 implanted at energies of 90 keV and doses of 3.0x1 O’7 O’ cm'2 , 5x1 O'7

0 + cm'2 and l.OxlO18 0* cm'2, respectively. From Fig. 7.13, it is observed that the

thickness of the BOX layer increases with increasing ion dose, The thicknesses of the Si

overlayer and BOX layer have been determined by curve fitting of computed spectra,

generated using XRBSSIM [128], to the experimental data.The thicknesses estimated by

RBS for the wafers prepared at the University of Surrey are summarised in table 7.5.

G10

++++++ G12

7.3.2 S IM O X Structures

Channel Number

Fig, 7.13- 1.5 MeV RBS spectra o f samples G12, G10 and G13 implanted at 90 keV

with doses o f 3.0x1017cm'7, 5,0xl0t7cm’2 and 1.0x10l* cm’2, respectively.

148 Results II

BOX

laye

r th

ickn

ess

(A)

± 60

A

oooooo00 * oo00

ooCO

oooo

00910091 15

00

1500

1500 oooo 2500 * 950

1000

1400

1500 * -X- *

Silic

onth

ickn

ess

(A)

± 60

A

ooCNooCN W oo<N

oocnoom

oomoocn

oomoom

oomoooo oovo •X-

oonrnroonrnr

oo<Nroocnnr * * *

Ann

eal

tim

e (h

rs.)

VO VO CN vo = CN

Ann

eal

ambi

ent

<5*£«qo+

CNOO'in+CNZ

CNov°O 'in §o'in+R

= =

Impl

ant

tem

pera

ture

(°C

)

oinvoO<n>n

OR

OOr-OOvo

OOinOo O<nVO

OOvoO<n<n

oo>nOR

oininoot>

OOf -

ooi>OOl>

oot->oot-

ool-~Oot-

Cur

rent

den

sity

(g

A/c

m'2) cri

inr-r-‘

CNvoinr--A

inr~-Kr—<cri cri

invqnfcnnj-

ot—H.

& a& B o + Q O

cncn cncri COcri cncn cncn cncn in >n >n «n in cn or—< nr in in VO vo r- r- n

Ene

rgy

(keV

)

Ot-- or-~ ot" ot~- or-- o oOv oOv

oOV oov oOv

oOv

oovooCN

ooCN

ooCN

ooCN

OoCN

ooCN

ooCN

ooCN

Sam

ple

r—tO CNo cnO nrO

«nO cS t -O OOo ovo

ot—Ho

T—1i-HO

CNt—1o

cnO

T f

oinO

VOr - lO

t''r—.o

OO !---1o

ovO

oCNO

*—HCNO

149 Results II

Tabl

e- 7.5

Thic

knes

s of

the S

i ov

erla

yer

and

SiO

2 lay

er.

I t i s f o u n d t h a t t h e s i l i c o n o v e r l a y e r a n d B O X t h i c k n e s s e s o f t h e s a m p l e s i m p l a n t e d a t 7 0

k e V w i t h t h e c r i t i c a l d o s e f o r t h e f o r m a t i o n o f a c o n t i n u o u s b u r i e d o x i d e l a y e r ( ( j ) =

3 . 3 x 1 O ' 7 O ' c m ' 2) a r e 1 2 0 0 ± 6 0 A a n d 8 0 0 ± 6 0 A , r e s p e c t i v e l y . S i m i l a r s i l i c o n o v e r l a y e r

a n d B O X t h i c k n e s s e s w e r e o b t a i n e d f o r s a m p l e s i m p l a n t e d u n d e r s i m i l a r c o n d i t i o n s b u t

w i t h d i f f e r e n t i m p l a n t a t i o n t e m p e r a t u r e s s h o w i n g a g o o d r e p r o d u c i b i l i t y i n t h e s a m p l e s

p r o d u c e d a t t h e U n i v e r s i t y o f S u r r e y . I t i s a l s o o b s e r v e d t h a t t h e t h i n n e s t f i l m ( 6 0 0 A )

w e r e f o r m e d i n s a m p l e s i m p l a n t e d w i t h a d o s e o f < })= 1 O x 1 0 1 8 O c m ' 2 a n d e n e r g y o f 9 0

k e V ( w a f e r G 1 3 , s e e F i g . 7 . 1 3 ) . O n t h e o t h e r h a n d , t h e t h i c k e s t s i l i c o n o v e r l a y e r s ( 4 3 0 0

A ) w e r e o b s e r v e d i n l o w d o s e s a m p l e s i m p l a n t e d w i t h 2 0 0 k e V .

7.3.3 Defect distribution - lateral.

C h e m i c a l d e f e c t e t c h i n g a s s o c i a t e d w i t h S E M o b s e r v a t i o n s w e r e u s e d t o d e t e r m i n e t h e

l a t e r a l d i s t r i b u t i o n o f t h e a r e a l d e n s i t y o f c r y s t a l l o g r a p h i c d e f e c t s w i t h i n a 3 0 m m c e n t r a l

i m p l a n t e d r e g i o n . F i g . 7 . 1 4 s h o w s a s c h e m a t i c o f t h e c e n t r a l i m p l a n t e d a r e a o f t h e 3 "

w a f e r s u s e d t o d e t e r m i n e t h e l a t e r a l v a r i a t i o n o f t h e c r y s t a l l o g r a p h i c d e f e c t s .

7.14- Schematic showing the central area o f the 3 inch SIMOX used to determine the lateral distribution o f the areal density.

150 Results II

Fig. 7.15 (a-b) show SEM micrographs from two different areas of wafer G1 ( E= 70

keV , 3.3xl017 cm'2,Ti = 650 °C), namely areas A and B (see Fig. 7.14), etched using the

new etchant for 1 min It is observed that the etch pit density is considerably different

in distinct areas of wafer Gl. Indeed, a difference of more than one order of magnitude

was observed from one side ( region A: 5. OxlO6 cm'2) to the other of the implanted area

( region B: 1.0x10* cm'2) of wafer Gl.

1 H M 2 Q K V

Oo 0

i ow v f.c

I ur c & v ..0 OD 0 A O C>C<

b0■o

©

c: <^ Cc a0

c<r

?To o

0 *S e ■ **>0a v c auO r U <£

U

O 0 v Q Cu

« Pow

u 8

. c ~ o c C t c7 $ / : o •

<5: o CM-

cO'

o

1HN 2 u h V io:Fi#. 7.75- SEM micrographs o f a) region A and b) region B o f wafer Gl etched using the new etchant for 1 min.

151 Results 11

G1 and G2. It is seen that for specimen G1 ( Ti =650 °C) a low etch pit density is only

observed on one side of the implanted area where the density is « 5.0x106 cm'2. Furthermore, it is observed that for wafer G2, the etch pit density is relatively uniform

across the implanted area. It is important to point out that both wafers G1 and G2 were

implanted under the same conditions except that the implantation temperature for wafer

G1 was 650°C whilst it was only 550 °C for wafer G2.

Fig. 7 .16 show s the distribution o f the etch pit density across the implanted area o f wafer

1 0 '

£ 8 ° 1 0

C/3C0

"O

f e o 7o

LU

1 0

, S f f * # * # • * *

f .......

0

■©

• ........... • T i = 5 5 0 ° CO ........... O T i = 6 5 0 ° C

G 1

’-o . QT-

8 1 2 1 6 2 0 2 4 2 8 3 2X ( m m )

Fig. 7,16 - Lateral distribution o f the etch pit density in wafers G1 and G2.

Plan view TEM analyses were carried out in order to determine the nature of the defects

present in wafer Gl. Fig. 7.17 is a typical plan view TEM micrograph of the silicon

overlayer of a sample taken from the highly defective area of wafer Gl. It is observed that

152 Results II

the most numerous crystallographic defects present in the silicon overlayer are threading

dislocations ( labelled X), with a density of 2.0x10s cm'2. Identification of the defects as

threading dislocations was possible due to the classical stress contrast associated with

inclined dislocations [127]

Fig. 7.17 - Plan view TEM micrograph from a sample cut from area A o f wafer Gl.

Fig. 7.18 shows the lateral distribution of the areal density of defects obtained by

chemical defect etching (SEM observations) across the implanted area of wafer Gl 8 (<h

= 6.0x1 O'7 CT cm'2, E= 200 keV, T; = 700°C) confirming that a high lateral non uniformity

is typical of the SIMOX substrates prepared at Surrey at high implantation temperatures.

153 Results II

Etch

pit

de

nsity

(

cm"2

)

1 06

0 1 0 2 0 3 0X ( m m )

Fig. 7.IS - Lateral etch pit distribution across wafer G18 (<j>-6xl0'7 O' cm’1 , 200 keV).

From the results presented in Fig. 7.16 and 7.18, it is evident that the non uniformity of

the defect densities is more accentuated for the wafers implanted at temperatures greater

or equal to 650°C . From Figure 7.18, it is also apparent that the presence of areas, in

the same wafer, containing different defect densities is independent of the oxygen dose

and energy. We, therefore, speculate that this behaviour is due to thermal gradients across

the wafer during implantation which cause large thermal stresses. These thermal

inhomogeneities are accentuated because only a 6.45 cm'2 area is implanted causing a

large gradient of temperature across the wafer. Indeed, an approximate temperature

difference of 70° C between the centre and edge of the wafer was determined using a

pyrometer for samples implanted at 700°C[138,139]

In order to better understand the reasons for the non uniform nucleation of

crystallographic defects inside the implanted area, we annealed for shorter times ( 2

154 Results II

Etch

pi

t de

nsit

y (

hours, 1300 °C) a wafer implanted under the same conditions as wafer Gl, namely

wafer G3. Fig. 7.19 shows the lateral variation of the defects inside the implanted central

area of wafer G3 delineated by chemical etching plus SEM observations. Again a similar

pattern is evident, namely non uniformity of the areal defect density confirming that the

effect is independent of the post implantation annealing process.

10®

1 0 6

1 0 50 1 0 2 0 3 0

X ( m m )

Fig. 7.19 - Lateral etch pit density distribution across the implanted area o f wafer G3 , The data was obtained after etching the sample fo r 1 min. using the neiv etchant.

Fig. 7.20 a) and b) are SEM micrographs from two different regions of wafer G3. It is

observed that in the region of high defect density , etch pits are distributed in larger

clusters (7.20 a), whilst in the region of lower defect density the etch pits are single or

paired (7.20 b)

155 Results II

Fig. 7.20 - SEM micrographs o f samples from the a) high defect density region and b) low defect density region o f wafer G3 ( after I min. etching).

F r o m F i g 7 . 2 0 , i t i s c o n c l u d e d t h a t t h e p r e s e n c e o f r e g i o n s c o n t a i n i n g t h e h i g h d e f e c t

d e n s i t i e s o c c u r s d u e t o a h i g h e r d e g r e e o f o v e r l a p p i n g o f l a r g e d e f e c t c l u s t e r s . W e

p r o p o s e t h a t t h e s e c l u s t e r s o f d i s l o c a t i o n s a r e n u c l e a t e d i n t h e r e g i o n s o f h i g h e s t s t r e s s

( d i s c u s s e d f u r t h e r i n s e c t i o n 8 . 3 . 1 ) .

156 Results II

7.3.4 Evolution o f threadine dislocations during annealing.

The evolution of threading dislocations during the high temperature thermal annealing

process was assessed by plan view TEM analysis of a sample implanted with <j)=

6.0xl017 CT cm'2 (200 keV) and annealed for 2 hours at 1300°C , namely wafer G21.

Fig. 7.21 is a plan view TEM micrograph of the silicon overlayer of a sample taken from

the implanted area of wafer G21. It is observed that a high density of dislocations (

labelled D) are pinned to large SiOz precipitates ( labelled P) . Some of the dislocations,

however, are only partially pined (labelled T) to the SiO, precipitates and thread through

the silicon overlayer. The observation of dislocations totally and partially pinned to large

Si02 precipitates suggests that the former are the precursors of the threading

dislocations in low dose SIMOX samples. We propose that during the annealing these

dislocations evolve into threading dislocations by a non conservative climb process

during the anneal[117]. This assumption is backed by recent proposals made by Venables

et al [ 51] that the evolution of threading dislocations in high dose SIMOX materials

occur through a stress assisted climb process ( see section 2. 2.).

157 Results II

T P

2000 A

Fig. 7.21 - Plan view TEM micrograph from a sample cut from wafer G21. Dislocations pined ( labelled D) and partially pinned (labelled T) to SiO7 precipitates (labelled P) are observed

7.3.5 Defect density - dependence upon implant temperature (T)

Figure 7.22 a) shows the etch pit density dependence upon temperature for samples

implanted at 70 keV with a dose of 3.3x10' 7 O cm2 and Fig. 7.22 b) for samples

implanted at 90 keV with doses of 5.0x1017O*cm’2 From the figure it is observed that the

defect density decreases considerably for temperatures equal or higher than 650°C. This

data agrees closely with recent results presented by Nakashima and Izumi[140] which

showed a large decrease of the defect density with temperature. However, it is important

to point out that, in the samples implanted at the University of Surrey ,this decrease does

not occur uniformly across the implanted area, which we propose is due to machine

specific temperature gradients.

158 Results II

Etch

pit

de

nsit

y ( c

m

4 5 0 5 0 0 5 5 0 6 0 0 6 5 0 7 0 0 7 5 0I m p l a n t a t i o n t e m p e r a t u r e ( ° C )

I m p l a n t a t i o n t e m p e r a t u r e ( ° c )

Fig. 7.22- Dependence o f the defect density upon temperature for a) samples implantedat70keV, 3.3x1017O' cm 2and b) at 90keV, 5.0x1017O' cm 2.

T h e d e f e c t d e n s i t ie s p l o t t e d i n F i g . 7 . 2 2 a r e f r o n t t h e c e n t r e o f a p a r t i c u l a r w a f e r .

1 5 9 Results II

7.3.6 Edge effects - stress related

Fig. 7.23 is a typical SEM micrograph from the non implanted area of wafer G1 (

previously etched for 1 min) at a distance of about 100 pm from the ion implanted

boundary (IIB, see schematic). It is noted that bands of etch pits (A) exist separated by

zones (B) which are relatively free of defects.

Fig. 7.23 - SEM micrograph o f etch pit bands observed in the non implanted area from wa fer Gl. A schematic showing the region o f analyses is included.

Fig. 7.24 (a-b) shows low magnification ( 100 x and 1000 x) optical micrographs of the

non implanted area of the same sample ( after defect etching) Bands of etch pits are

found to follow the implanted/non-implanted boundary as can be seen in the lower

magnification optical micrograph (fig. 7.24 a). Furthermore, it is observed that the

separation between the etch pit bands increases as a function of the distance from the

IIB until they completely disappear at a distance of about 200 pm from the IIB. The

defects responsible for the bands of etch pits were identified as faulted dislocation

loops[109], since it was possible to grow them into large OISF ( 1= 20 pm) during a

160 Results II

subsequent dry oxidation treatment[122] ( 2 hours at 1100 °C). The OISF were

identified by SEM observations after being defect etched

a )

20 um

Fig. 7.24 (a-b) Optical micrographs o f the etch pits bands following the ionimplanted boundary (IIB).

161 Residts II

The existence of defect bands parallel to the IIB suggests that large stresses are present

in this region due to the pinning of the silicon overlayer ( see section 7.2.6).

In Fig. 7.25 (a-b) we show SEM micrographs ( after defect etching) from the implanted

area of wafer G14 which was implanted at a higher current density than normal, namely at

7.75 pA/cm'2. From these micrographs, it is observed that bands of defects, which are

orientated along <110> directions, exist inside the implanted area. We postulate that this

effect is due to slip within the silicon overlayer. Similar results were observed in other

wafers implanted with current densities of 6.0 pA/cm'2 and 7.75 pA/cm'2, namely wafers

G15, G16 and G17. The occurance of slip is assumed to be related to the higher thermal

gradients[141] in wafers implanted at the higher current densities ( greater power

loading).

In order to confirm that large stress fields can exist in these wafers, double crystal X-ray

diffraction analyses have been carried out. Fig. 7 26 a) shows a X-ray diffraction rocking

curve (Cu Ka ) from the non implanted area at a distance of 0.5 cm from the visible IIB

border of an as-implanted wafer (<j)= 3.OxlO1' 0 “ cm'2, E= 70 kV). Fig. 7.26 b) shows

the rocking curve of the non implanted area of the same wafer, far removed (3cm) from

the IIB. We observe that a broadening of the peak occurs with the FWHM increasing

from 14.15' to 25.27' close to the IIB.This broadening of the FWHM confirms an

increase in the stress state of that region [142], A discussion on the effects of large

stress on the nucleation of crystallographic defects is given in section 8.3.1.

162 Results I I

Fig. 7.25 (a-b) SEM micrograph o f defect bands in the implanted area o f wafer G14. The defect bands are orientated along <110> directions.

163 Results II

Countrate

C o u n tn a te

Fig. 7.26 - X-rays diffraction rocking cun’es from the non implanted region a) 0.5 cm and b) 3 cm cnvay from the visible IIB. 3.3xl017 0 + cm'2 at E =70 keV (as-implanted).

164 Results II

7.3.7 - D efect densities in the silicon overlaver

The defect densities in all wafers implanted at the University of Surrey are summarised in

table 7.6. It is observed that optimisation of the implantation parameters such as dose,

current beam density and temperature can lead to the formation of thin SIMOX

structures with a relative low defect density (= 105 cm'2). Indeed, for the low energy

SIMOX samples the lowest defect density (3.0xl05 cm'2) was observed in wafer G4

which was implanted at an energy of 70 keV and a dose of ch = 3.3x1017 0 + cm'2 (T{

=700°C). On the other hand, the highest defect density was observed in wafer G13 which

was implanted at an energy of 90 keV and dose of ^= l.OxlO18 O' cm'2 (T;=700 °C). This

high defect density is attributed to the non optimised dose , which leads to an extremely

high defect density due to the high concentration of point defects produced by the

implantation process and by the internal oxidation of silicon ( see section 3.3). We

conclude that, the best results are obtained for samples implanted with doses close to the

threshold dose [59] for the formation of the buried oxide layer, which are, for the 70 keV

and 90 keV implantations, 3.3xl017 0 + cm'2 and 5.0xl017 O' cm'2 respectively.

For the 200 keV SIMOX samples , the lowest defect density (l.OxlO4 cm'2) was

observed in wafer G14 which was implanted with a dose of <J)= 4.0xl017 O' cm'2 at T; =

700°C. However, it is important to point out that this wafer was implanted with a high

current beam density (7.75 pA/cm'2) and that slip was observed in part of the silicon

overlayer (see section 7.3.6).

165 Results I I

dislo

catio

n de

nsity

(c

m'2)

© 1—MX©VO©r—4XCN

00ofHXoco’

©fHXo

NOot-4XoVO

©1—4XoCO<ooT—1Xovo

©fHXCOCV

000 1 1XpCV

voOrHXpcoi>OpHX

ot—H

00ot—4XpT—4

ooo1—4XoON

COoi—4XpCO

00ot—4Xp00

©rHXoOn

Q\©t—4Xvocv

lbfHXpt—4

©t—4X001

©t—4XpA

©1—1Xpcv

©fHXovo©iHXpcv

r~OfH

S

©pH

S.cv

jjjj

° f t

i t j

l i t

i—4CO

r—t CO

1—4co

»—4co

<—4CO

1—4CO

r—4co’

=-4CO

■—(CO

1—4CO

t—4CO

i—4CO

t—4CO

voC-fi*

CVNO

RfN R T—1

CO1—4CO

vortA

G0

1 1 1 I I

ovoNOovovo

oR

oR

oONOoovo

oR

OvoNOoo<o

Ovovooovo

oot"oR

oR

ooC"

ool>

oR

Oo oR

oR

cn<D E: Rg ° -ua ■ 3 °

COCO

coco CO

COco’

COCO

COCO vo VO vo vo vo CO

CO*ofH vo vo NO NO

Ene

rgy

(keV

)

R R R R R R oON oON oON oON oON oON oONooCV

oocvooCV

ooCVooCV

oocvooCV

lCO

rH0

CN0

cn0 s 0

r t0

K0

000 s

o4--10

1—41—40

CN1—40

CO1—40 0

woH0

r t1—40

r~-rH0

oo1—40

ONfH0

oCN0

166 Results II

Table

7.6

- Di

sloca

tion

dens

ities

in

wafer

s im

plan

ted

at the

Un

iversi

ty of

Surr

ey

The crystalline quality of the silicon substrate below the BOX was also assessed using

chemical defect etching. Before applying the defect etchant the silicon overlayer was

removed using a KOH etch and the BOX layer was removed using a HF(40%) solution

(section 4.1). Fig. 7.27 (a-b) are typical SEM micrographs of the silicon substrate of

wafer G7 after being defect etched for 1 min. The etch pits characteristic of stacking

faults are clearly observed, and are labelled SF in the figures.

7.3.8 C rvstallosravhic defects in the S i substrate

Fig. 7.27 (a-b)- Typical SEM micrographs o f the silicon substrate o f wafer G7 after being etched fo r 1 min.

167 Results II

The SF density measured in the silicon substrate was (5.0 ± 2.0)xl05 cm'2. Furthermore,

from Fig. 7.27 b) it is observed that the SF are decorated since the etch pits spread also

along <100> directions. Plan view TEM analyses of the silicon substrate of a similar

sample, carried out at the University of Oxford [143], have confirmed that the SF are

decorated by Cu colonies orientated along <100> directions. SF were also observed in

the non implanted area of the SIMOX wafers as described for the Eaton substrates in

Section 7.2.6. It is important to point out that the Si wafers used both at the University

of Surrey and at Eaton Corporation were supplied by the same vendor (Wacker) and

are expected to have similar concentrations of contaminants and growth defects. In order

to determine if the nucleation of SF in these wafers was related to the implantation

process or the high thermal treatment, a non-implanted bulk silicon wafer was annealed

at 1300°C for 6 hours and examined in the SEM after chemical defect etching. The

annealed bulk silicon wafer showed that SF ( density: l.OxlO6 cm'2) were formed during

the annealing process. This result suggests that the nucleation sites for the SF are not

introduced during the 0 + but pre-exist in the silicon wafer prior to the 0 + implantation.

SIMS analysis (see fig. 7.28 a-b) of Wacker silicon wafers have shown an increase in the

Cu concentration in the near surface region from 3x1015 /cm3 to more than 2x1018/ cm'3

during high temperature annealing (1300°C, 6 hours). In the absence of other

experimental data we assume that this increase is due to diffusion and segregation of Cu

from the bulk of the Si wafer. This increase in the metal contamination near the surface

could explain the formation of the decorated SF observed in the non implanted area of

the SIMOX wafers.

168 Results I I

DEPTH (aicrons)

DEPTH (oicrons)

Fig. 7.28 - SIM S copper concentration-depth profiles o f (100) Si wafer (supplied by Wacker) a) non annealed and b) annealed at 1300°C fo r 6 hours.

169 Results II

C h a p te r E i g h t : D i s c u s s i o n .

8.1 Introduction.

In this chapter, we discuss the main results obtained in chapter 6 and 7 and give some

interpretations on the possible mechanisms of formation of crystallographic defects in

thin film SIMOX.

This chapter has two sections. In the first (8.2), we discuss the formation of

crystallographic defects in sacrificially oxidised SIMOX and in the second (8.3) we

discuss the origin of defects in low energy, low dose SIMOX.

170 Discussion

8 .2 C rv s ta llo e ra v h ic d e fec ts in sa crific ia llv o x id ise d S IM O X

In chapter 6, we have shown that during the thermal oxidation of SIMOX materials,

oxidation induced stacking faults (OISF) are formed. We have also shown that the OISF

density, which varies from 5.0xl02 cm'2 and 5.0xl05 cm'2 in the particular samples under

investigaton is strongly dependent upon the density of SF complexes present in the

silicon overlayer before oxidation. In the next sub-section, the nucleation and evolution of

OISF are discussed in more detail.

8.2.1 Nucleation process o f OISF in SIMOX

The conversion of Si to S i02 involves a 2.2 fold increase in molar volume and therefore,

unless there is an efficient mechanism to account for this extra volume, high stress fields

will be formed at the Si/Si02 interface. Although several stress relief mechanisms may be

active during the thermal oxidation of silicon[144,145,146] the most widely accepted one,

involves the emission of silicon interstitials or absorption of vacancies[147]. This model

was first proposed by Dunham et al [147] and they suggested that most o f the

interstitials ejected are immediately captured by the superficial oxide with only a few,

perhaps less than one in 104, diffusing into the bulk silicon. Therefore, we can see that

the thermal oxidation of bulk silicon creates a perturbation in the point defect equilibrium

concentration.

171 Discussion

In the case of SIMOX, a super-saturation o f silicon interstitials in the silicon overlayer is

expected since the diffusivity o f Si through the buried oxide (BOX) layer is extremely

low ( D= l.OxlO'20 cm'2 s'1 at 1100°C) [ 148] and, therefore, the BOX layer acts as an

effective barrier for the diffusion o f the interstitials . Indeed, several authors [149, 150]

have suggested that the formation of {113} defects below the buried oxide layer o f

SIMOX is due to a super-saturation o f Si interstitials that are unable to diffuse in the

opposite direction to the surface through the buried oxide layer. Recently, Guillemot et al

[151] have proposed that Sij could diffuse through the buried oxide layer as SiO since the

diffusivity of SiO in S i02 (at 1000 °C) is four orders of magnitude ( 1.6xl0'16 cm 'V1)

higher than that of Si in S i02 and therefore, the supersaturation of silicon interstitials

could be reduced through the formation o f SiO molecules. However, this mechanism is

unlikely to occur at the temperatures used in our oxidation studies ( 800°C- 1100°C)

since the activation energy for the dissociation o f SiO, into SiO is very high (4.4eV)

[151]. We conclude that the BOX layer is an effective.barrier for the downwards diffusion

(towards the bulk) of Si interstitials, giving rise to an interstitial super-saturation in the

silicon overlayer.

In crystalline materials the free energy associated with a point defect is high [152] and

therefore, recombination with other point defects or annihilation at sinks is generally

energetically favourable. In SIMOX the surface and the Si/Si02 interface are the natural

sinks for interstitials, since point defects can be easily incorporated in surface 'kinks'

[153],

Other sinks for interstitials are the crystallographic defects present in the silicon overlayer.

It is nowadays widely accepted[154] that crystallographic defects can act as effective

sinks for point defects. Indeed, proposed annealing models for point defect in metals

172 Discussion

[155] generally take into account the presence of defects such as SFT, dislocation loops

and others.

In section 5.3, we have shown that threading dislocations and SF complexes are the

main crystallographic defects present in the silicon overlayer of SIMOX materials.

However, we have also shown (see chapter 6) that threading dislocations do not

influence the formation of OISF. In order to understand why threading dislocations do not

act as effective sinks for interstitials it is important to know the origin and nature o f the

threading dislocations. Stoemenos et al [48] have proposed that threading dislocations

originate from the evolution of perfect semi dislocation loops (SDL) (b =1/2<110>) that

expand by a climb mechanism through the capture of point defects. Once the SDL

reaches the surface it opens up into a paired dislocation by the escape of the segment

parallel to the surface. However, it has been recently suggested by Lee et al [156], that

after reaching the surface the perfect dislocation can dissociate into two Shockley partials

through a mechanism given by[ 157]:

}[! 10] —» j[2 U ] + j [121 ]

perfect Shockley Shockley

This dissociation reaction can occur when a segment of the originally perfect dislocation

lies along the intersection of the {110} habit plane of the half loop [ 157] and a {111}

slip plane. This process forms a narrow stacking fault (NSF) in a {111} plane bounded by

the two partials. However, it is unlikely that the NSF can act as an efficient sink for

interstitials since the Shockley partials that bound, the fault can not efficiently capture

point defects[158]. Therefore, we conclude that the threading dislocations will not

influence directly the formation o f OISF.

173 Discussion

On the other hand, in chapter 6, we have also presented extensive evidence to show that

SF complexes act as efficient sinks for interstitials and influence the nucleation process o f

OISF in SIMOX. Although the nature and origin o f these defects in SIMOX is still

uncertain some models for their formation have been presented recently by

Stoemenos[159j and Venables [160] and these are discussed below.

A. Mechanisms for the formation of SF complexes (SFT/SFP).

1. Stacking fa u lt tetrahedra (SFT)

Stacking fault tetrahedra (SFT) were first reported in quenched gold (polycrystalline Au,

99.998%) by Silcox and Hirsch in 1959[111], They attributed the formation to the

dissociation of intrinsic Frank dislocation loops through a mechanism described in

Appendix C. Another mechanism for the formation o f SFT in metals (Al, Cu) was later

proposed by Jong and Koehler[161] who suggested that SFT could be formed by the

aggregation of tetravacancies into a SFT by the capture o f a divacancy ( where the

six-vacancy cluster is the smallest tetrahedron). Although these two models differ

substantially in nature, they agree in the fact that SFT arise directly or indirectly due to

the condensation of vacancies.

The nature and origin o f SFT in SIMOX have still not been determined. However, several

authors [159,162] have suggested that they are formed due to the condensation o f

vacancies produced during the dissolution o f the S i02 precipitates during the latest stages

of the annealing process. This proposal is backed by the fact that intrinsic SFT have been

observed in P+ implanted Si [163],

174 Discussion

As in the case of SFT, the nature of SFP in SIMOX has still not been determined,

however, several mechanisms for the formation of SFP have been proposed recently.

A recent proposal made by Stoemenos et al [159], is that SFP are "as-grown" defects

formed during the high temperature anneal as a result o f the considerable silicon

redistribution near the Si/Si02 interface that occurs during the dissolution o f large S i02

precipitates in the vicinity o f the interface. Stoemenos also suggested that the sequence of

faults inside the SFP has to be intrinsic/extrinsic/intrinsic/extrinsic in order to satisfy

conditions imposed by the stair rod partial dislocations that bounds the fault[ 163],

A second mechanism recently proposed by Venables et al [160] is that the formation of

SFP occurs due to the interaction between two or more narrow stacking faults (NSF)

that are pinned by a S i02 precipitate. In this way the NSF can interact with each other

forming a SF complex. Upon the dissolution of the S i02 precipitate the interacting NSF

can further interact and develop into a complete SFP.

Here, it is our supposition, that the formation of SFP occurs due to the interaction of

two adjacent Frank dislocation loops pinned by a Si02 precipitate through a mechanism

similar to that proposed by Venables (see Fig. 8.1 ).We believe that the Frank dislocation

loops can be nucleated either (i) by the condensation of vacancies produced by the

dissolution of the S i02 precipitates or (ii) by a prismatic punching process [116] (see

section 3.3.2) in which an intrinsic and an extrinsic dislocation loop are formed near the

S i02 precipitate. This supposition is substantiated by experimental evidence shown in

chapter 6, that suggests that the SFP are effective sinks for vacancies (see Fig.6.11 ).

Although the model proposed by Venables can account for the formation o f square like

2. Stacking Fault Pyramidals (SFP)

175 Discussion

SFP, it cannot explain the presence of elongated SFP (see Fig 6.9), since the Shockley

partials are not efficient sinks for point defects [ 158],

SiO 2 precipitate

Frank loop

D issociated F. loop

/

8.1- Schematic showing a proposed mechanism fo r the formation o f SFP during the dissolution o f a SiO , precipitate.

176 Discussion

B. SF complexes as nucleation sites for OISF

1. Stacking fau lt tetrahedra (SFT).

Koehler and Lund [165] have reported that the activation energy for the annealing rate

o f SFT in gold is 2.2 eV. Furthermore, Cotterill and Jones [ 166] have observed that

SFT present in quenched gold can be completely eliminated when submitted to a

particle-irradiation. They suggested that the SFT are removed by the capture o f the

excess interstitials generated by the irradiation. Moreover, Jong and Koehler [161] have

proposed that the incorporation o f point defects in the SFT occurs through the capture of

point defects by the stair-rod dislocations that bound the fault. During the incorporation

o f point defects the SFT can grow or shrink depending upon the nature of the point

defects. Indeed , Silcox and Hirsch [111] have suggested that under certain conditions,

the SFT can collapse into a Frank sessile dislocation loop on any of the four {111} planes

associated with the SFT.

Although the nature of SFT in SIMOX is unknown it is our supposition that they also

arise from the condensation of vacancies and therefore, they will also act as effective sinks

for point defects. However, according to the annealing model proposed by Jong and

Koehler [161] the capture o f interstitials by the SFT will cause the defect to shrink until

it is completely eliminated. We conclude that, if the nature of SFT in SIMOX is intrinsic,

they will not act as nucleation sites for OISF.

On the other hand, if the SFT in SEMOX are extrinsic, the capture of interstitials by the

stair rod dislocations will lead to the growth o f the defect until its size reaches a critical

value (10) when it will become unstable and will collapse into an extrinsic Frank loop,

according to the model by Silcox and Hirsch[l 11]. In this case the SFT will act as direct

177 Discussion

nucleation sites for OISF, since the extrinsic Frank loop can further capture interstitials

and expand into a large OISF.

2. Stacking fau lt pyramidals

Although the nature of the SFP have not yet been determined, the different mechanisms

of formation of these defects suggest that they can be either intrinsic, extrinsic or

mixed.If the nature of a SFP is extrinsic then, the incorporation of interstitials will lead

to the growth of the defect until it collapses into extrinsic Frank loops in a similar

manner to the SFT. The Frank loops can then grow by capturing further interstitials and

evolve into OISF. In the case o f an intrinsic/extrinsic SFP, the two opposite planes will

grow through the capture o f interstitials while the other two intrinsic planes will shrink

and probably disappear. Once again, upon further growth, the defect can collapse and

give rise to the formation o f OISF.

In the case of a pure intrinsic SFP, the capture of interstitials will lead to a reduction in

the size of the defect and, therefore, although they will act as efficient sinks for

interstitials they will not nucleate OISF.

178 Discussion

8 ,2 .2 E vo lu tion o f O IS F d u rin g oxidation .

Once an embyonic OISF is nucleated, it will evolve through the capture of self

interstitials by the Frank partial that bounds the fault. It is important to remember that the

Frank dislocation ( b=l/3 <111 >) loop that bounds the fault is not glissible and therefore

it can only evolve through a non-conservative motion. This can only be a climb process

involving the capture of interstitials. Fig. 8.2 is a schematic showing different stages in

the evolution of the OISF in SIMOX. In the first stage o f evolution an embryonic OISF is

formed near the Si/Si02 interface probably through the collapse of a SF complex which

will form one Frank dislocation loop (See Fig. 8.2 a). Upon further oxidation, the Frank

partial that bounds the fault will grow by a climb process through the capture of

interstitials (see Fig. 8.2 b ). Once the partial loop reaches the surface it opens up into

two Frank partial dislocations in a similar way to that observed for threading dislocations.

After splitting of the partial, the fault is only able to grow laterally by the incorporation

o f interstitials by the two partials (See Fig. 8.2 )

179 Discussion

8.2 - Schematic o f the evolution o f an OISF during the oxidation process.

180 Discussion

A. Activation energy for the growth o f OISF in SIMOX

In chapter six we have shown ( see for example Fig. 6.6) that the OISF length was

strongly dependent upon temperature. Using data presented in Fig. 6.6 and in appendix E,

we have estimated the activation energy for the growth of the OISF in SIMOX. The

results are displayed in Fig. 8.3, showing In R (rate of growth) as a function of T"1.

Performing a linear regression on the data, it was possible to estimate an activation energy

Ea = 1.5 ± 0.5 eV. This value is comparable to the values for bulk silicon (2.3 eV) [167]

when the rather large experimental uncertainty is taken into account.

3

2

QCJ=

1

°7.0 7.5 8.0 8.5 9.01/T ( x1 E4 1C1)

Fig. 8.3 - In R(rate o f growth o f OISF) as a function o f T 1.

181 Discussion

B. Estimation o f the number o f self interstitials emitted during dry oxidation.

Assuming that the number o f interstitials captured by the OISF is proportional to the

total number of interstitials emitted during oxidation, we can make an estimation o f the

interstitial super-saturation at different oxidation temperatures under dry oxidation

conditions. This can be done by estimating the total number o f interstitials inside the

OISF ( Ns,oisf) and correlating this with the total number of silicon atoms consumed by

the thermal oxidation (Nox). The total surface area of the OISF (SOISF) is expressed by

[151]:

S o i s f = D o i s f I o i s f Q i ! sin 5 5 °) (8.1)

where D01SF is the OISF density, LOISF is the OISF mean length and h is the thickness of

the silicon overlayer and 55° is the angle that {111} planes form with the (001) surface

(see Fig. 8.4 ). Since the number of atoms per cm'2 in the {111} extra plane is N0 =

1.6xl015 atoms/cm'2, NSlOISF is given by: Ns’OISF= N0 S0ISF. The total number of silicon

atoms consumed (Nox) can be estimated by knowing the thickness of the silicon

consumed and the molar density o f silicon (p = 12.1 cmVrnol.).

Frank partial

Fig. 8. 4 - Schematic showing an OISF inside the silicon overlayer.

182 Discussion

6-™°

/!S 01.91.x)

Fig. 8,5 (a-d) shows the dependence of the Ns'ols,.. as a function o fN 0X, From Fig. 8.5 it is

observed that the NS'01SF increases according to the temperature for a given SIMOX

substrate. This result is not unexpected since for high temperatures the oxidation rate

increases considerably and therefore the incorporation of the ejected interstitials by the

oxide interface is more difficult and as a consequence more interstitials will be able to

diffuse into the silicon overlayer[165]. The other feature observed from Fig. 8.5 is that the

number of silicon interstitials captured by the OISF depends upon the particular choice of

the SIMOX wafer. This result indicates that other sinks for interstitials exist, and as we

have already suggested we believe that this difference is due to the presence of SFT in the

silicon overlayer.

1 0 0 0 0

1 0 0 0

1 1 0 000z

100 2 4 6 8 10

Nox ( x 1E17 Si/cm'2)

Fig, 8.5 - a (continued) [ the lines are drawn only to guide the eyeJ.

183 Discussion

nS'o

i.st ( X

1 e1

0 Si

/ cm

-7 )

Nsi

( X1 e

10 Si

/ cm

'2)

Nox ( x 1E17 Si/cm'2 )

1 0 0

2 4 6 8Nox ( x 1E17 Si/ cm'2 )

8.5 b-c (continued)

184 Discussion

<Nso

o5o0 1-j”"X

u.00O

S=

10

0 2 4 6 8 10Nox ( x 1E17 Si/ cm'2 )

Fig. 8.5-N5' ^ versus Nox fo r substrates a) B2 b) B3 c) B5 and d)Bl

Using the data presented in Fig. 8.5, an estimation o f the number of interstitials emitted

per silicon atom consumed during oxidation (NS,OISF/Nox) can be obtained. In Fig. 8.6, we

plot the Ns’olSF/N0X ( for Nox=4 .OxlO17 cm'2) as a function of temperature for wafer B2. It

is observed that in the particular case o f wafer B2 the value for Nsi0iSF /Nox at 1100°C is

5.0x1 O'5, which is in close agreement to the value theoretically obtained by Dunham et al.

[147],

1100°C

d

J i_____i— ■ I ■ I-

185 Discussion

850 900 950 1000 1050 1100 1150Temperature ( ° c )

Fig. 8,6 - as a function o f temperature fo r wafer B2,

186 Discussion

8 .3 C rvs ta llo e ra vh ic d e fec ts in lo w en ergy a n d lo w d o se S IM O X .

The results presented in chapter 7 have shown that the main defects observed in the

silicon overlayer of low energy and low dose SIMOX samples were "threading"

dislocations and in some cases, SF complexes (see Fig. 7.7). We have also shown that

implantation parameters such as dose, energy and implantation temperature, have a great

effect on the final defect density. In fact, a wide range of defect densities ( from 104 cm'2

to 109 cm'2) was observed depending upon the implantation conditions.

In order to understand the reasons why the implantation parameters affect so dramatically

the formation of crystallographic defects it is important to refer back to chapter 3. In

chapter 3 we have shown that the main sources of defects in oxygen implanted silicon

were basically the radiation damage and the internal oxidation of silicon. We have also

indicated that the last factor contributed twice as a source of defects since it was

responsible for the generation o f self interstitials and also for the plastic deformation of

the silicon matrix around the S i02 precipitate. In this way , we would expect that low

dose O* implantations (close to <f>cA) at high temperatures (700°C) would minimise the

effects o f both the radiation damage and the internal oxidation of silicon, and therefore,

would produce low defect densities. Results by other groups[57] (see Fig. 2.3) have

suggested that extremely low defect densities (10J cm'2) are formed for low dose and high

implantation temperatures. However, by scaling down the implantation energy in order to

form thin silicon overlays, a new problem is introduced since, in doing so, we bring the

peak o f damage (R J closer to the surface and therefore, dislocations that are pinned to

187 Discussion

Def

ect

dens

ity

( c

m-2

)large S i02 precipitates (see Fig. 7.21) can escape more easily to the surface during the

annealing process.

In spite of that problem, our results have shown that low defect densities can be obtained

in thin film SIMOX produced by low energy and low dose 0 + implantations using high

implantation temperatures (Ti > 500°C) (see Fig. 8.7).

10 20 30 40 50 60 70 80 90 100Energy (keV)

Fig. 8.7 - Defect density upon implantation energy for doses close to (Pic [59J.The line is to guide the eye.

From Fig. 8.7 we can see that, interestingly, the best results (l.OxlO4 cm’2) were obtained

for the lowest energy (30 keV). However, it is important to point out that in Fig. 8.7. we

have plotted the results obtained from samples implanted at Eaton and Surrey without

making any distinction between them. Obviously, clear differences in the way that these

188 Discussion

| - r | I | i | i | i | i | r

: I • Eaton samples_ ♦ Surrey samples

i I i l ■

samples have been prepared (see chapter 4) may have influenced the final

crystallographic defect density but what emerges from Fig. 8.7 is that the defect density

does not necessarily increase with decreasing ion energy, indeed in these samples the

density decreases. These results indicate that the positioning of the peak of damage Rd

closer to the surface ( by using lower energies) does not increase significantly the defect

density as might be initially expected[62], Meda et al [169] have proposed that the

gradual merging of the damage and atomic concentration-depth profiles with decreasing

ion energy will facilitate the formation of a continuous BOX layer. The results presented

in Fig. 8.7 may be a consequence of the improved planarity of the final structures with the

proximity to the free surface having a significantly smaller effect.

Comparing the best results obtained for samples implanted with low dose and low energy

(104 cm'2) and those obtained from other groups[57], for low dose and high energy

implantations (103 cm'2) there is a difference of one order of magnitude between them.

We believe that this difference is, at least partially, due to. undesirable effects such as

thermal stresses produced during the local area implantation and the presence of

contaminants in the silicon substrates which are due to sputtering of metal components

within the accelerator vaccum system.

8.3.1 Effects o f thermal stresses on the nucleation of defects in SIMOX.

In both the wafers implanted at the University of Surrey and at Eaton, we have observed

that anomalous defect densities (see Fig. 7.11) were present in areas near the ion

implanted boundary (IIB). We believe that these highly defective areas appear as a

189 Discussion

consequence o f large stress fields caused both by the pinning o f the silicon overlayer and

by the formation o f large thermal gradients (see section 7.2). The effects of large stresses

on the generation o f crystallographic defects in SIMOX have been recently discussed by

Nejim et al [170] and by Venables et al [51]. Venables and co-authors have argued that

large stress fields can assist in the nucleation and climb of dislocation loops. These stress

fields can cause plastic deformation o f the silicon matrix by generation of dislocations,

either heterogeneously by the capture and multiplication o f dislocations from other

sources or homogeneously by the condensation of silicon interstitials[171].

Homogeneous nucleation occurs following a clustering of silicon interstitials which align

in the stress field and collapse into a dislocation loop [172]. The observation o f defect

bands entering the implanted area along <110> directions (see Fig. 7.25 ) is strong

evidence of the deformation process caused by these large stress fields.

We believe that, for the wafers prepared at Eaton Corporation (see table 7.3), the

nucleation o f defects caused by this process was localized in the regions close to the

implanted/non-implanted boundary because almost the whole 6 inch wafer was implanted.

However, for the wafers prepared at the University o f Surrey, the effect o f large stress

fields on the nucleation of defects affects the whole o f the implanted area because only a

6.45 cm'2 area of the 3 inch diameter wafer was implanted. Most probably, this is one o f

the reasons why the lowest defect densities observed in wafers prepared at the University

o f Surrey was 30 times higher than those obtained from wafers prepared at Eaton

Corporation. Therefore, we think that to further reduce the defect density it will be

necessary to implant the whole wafer. In doing so, thermal and mechanical stresses will

be reduced leading to lower defect densities.

190 Discussion

8.3.2 Effects o f contamination on the formation of crvstallozraphicdefects.

Carbon and metals contaminants (such as Fe, Ni, etc) can be accidentally introduced

during the implantation process. Carbon is likely to be incorporated into the wafer surface

by a "knock on" process of hydrocarbons deposited on the surface of the wafer, which

originate from the vacuum pump oils while metals can be sputtered from the walls of the

implanter or mounting clips during the implantation process[ 173],

Recently, the role of carbon in the nucleation o f defects has been studied [174], It has

been suggested that C absorbs silicon interstitials by the formation of beta SiC

precipitates. This process leads to volume contraction preventing the development of

stress caused by self-interstitial supersaturation[175]. If a supersaturation of vacancies is

present, the formation of beta SiC precipitates will further increase the vacancy

supersaturation, enhancing the condensation of vacancies and the formation of dislocation

loops through the collapse o f vacancy aggregates. Therefore, the detrimental or beneficial

role o f carbon in the formation o f defects will depend upon the concentration and type of

point defects in the material.

On the other hand, the detrimental effects o f metal contamination are widely known[176].

The formation of crystallographic defects due to the presence of metal contamination may

occur through the nucleation o f dislocation loops induced by the metal silicide

precipitate. These dislocation loops are nucleated due to the plastic deformation of the

silicon matrix caused by the extra volume o f the new phase (see section 3.3).

191 Discussion

In chapter 7, we have shown that a high concentration of Cu (see Fig. 7.26) was observed

in silicon wafers supplied by Wacker after high temperature treatments. We have shown

that decorated SF (5.0x105 cm '2 ) were formed below the buried oxide layer and in the

non-implanted area of the silicon wafer. In addition, we have also shown that Cu was

not introduced during the implantation process since it was already observed in non

implanted wafers after high temperature processing (1300°C, 6 hours in Ar + 1/2% 0 2).

These results suggest at first sight that the high Cu concentration originated from

contamination of the furnace tube. However, if this was the case, we would expect that

the incorporation of Cu would occur homogeneously along the silicon surface and

therefore, we would expect to find similar SF densities inside and outside the implanted

area. Since no SF were observed in the implanted area ( < 102 cm'2) this assumption seems

unlikely. Another possibility is that Cu was already present in the bulk of the silicon wafer

before annealing and during the thermal treatment diffuses towards the silicon surface.

This assumption could explain why SF were not observed in the silicon overlayer if we

consider that the BOX layer acts as a barrier for the diffusion of Cu. This assumption is

further supported by the results obtained from Wacker wafers annealed in a different

furnace (Eaton laboratories) in which a similar SF density of 5.0xl05 cm'2 was observed.

If the formation of SF was furnace dependent we would expect to find a completely

different SF density. Since the wafers were supplied by the same vendor it is probable that

the Cu contamination in these wafers was the same, giving rise to similar SF densities.

These findings suggest that further reduction o f defect densities could be achieved by the

reduction of contaminant levels using a cleaner implanter environment and also by using

silicon substrates more amenable to extremely high temperature.

192 Discussion

C o n c l u s i o n s a n d S u g g e s t i o n s f o r f u t u r e w o r k

C h a p t e r N i n e :

In this project we have extensively characterized thin SIMOX materials prepared by two

different methods namely, sacrificial oxidation o f thick SIMOX materials and low energy

and low dose oxygen implantations. For this purpose we have specially developed a new

defect etchant capable o f delineating stacking faults and threading dislocations in thin

silicon films (>500 A). Detailed analysis o f large areas of thin film SIMOX was possible

due to the speed with which the samples could be prepared and etch pit densities

obtained. Determination o f the lateral distributions of crystallographic defects in the

implanted and non-implanted areas o f the wafers was also carried out. Furthermore, in

conjuntion with XTEM and plan view TEM analysis, the depth distribution o f the

crystallographic defects was also determined. From these observations it was possible to

determine the nature and origin o f the different crystallographic defects, and by so

doing, control their final density. The main conclusions drawn from these extensive

analyses are presented below.

193 Conclusions

The main defects observed in oxidised SIMOX samples were OISF and threading

dislocations. The OISF densities found in the samples analysed in this project varied from

5.0xl02 to 5.0xl05 cm'2 and were found to depend upon the density o f nucleation sites

prior to the oxidation . The nucleation and growth o f the OISF have also been studied

and it has been determined that the main nucleation sites are SFP/SFT that are present

before oxidation and lie close to the Si/Si02 interface. The formation o f SFP in SIMOX

occurs during the high temperature annealing ( typically 1300°C) and although few

mechanisms of formation have been proposed in the literature we have suggested that

they arise from the interaction o f Frank dislocation loops pinned by large S i02

precipitates. Annihilation o f the SFP/SFT from the Si/Si02 interface by prior

amorphisation has shown that the effective annihilation of these defects enables the

substrates to be thinned whilst maintaining an extremely low OISF density (S.OxlO2 cm'2).

It has also been observed that the growth o f OISF in SIMOX is an activated process with

an activation energy o f 1.5 eV ± 0.5 eV. Furthermore, an estimate o f the number of

interstitials captured by the OISF has been made in order to determine the minimum

number o f interstitials ejected from the growing oxide during thermal oxidation. It has

been shown that the number o f ejected interstitials increases with oxidation temperature in

close agreement with the model proposed by Dunham et al [147], Therefore, in order to

avoid the formation of long OISF ( < 5 pm) , we suggest that dry oxidation treatments at

moderate temperatures ( 900°C or 950°C) be used to thin the silicon overlayers o f thick

SIMOX materials.

9.1 C ry s ta llo sra v h ic d e fe c ts in S I M O X th in n e d b y sa c r if ic ia l o x id a tio n

194 Conclusions

9.2. C rv s ta llo sra v h ic d e fe c ts in lo w en ergy, lo w d o se S IM O X .

9.2.1. SIM O X wafers produced at the University o f Surrey.

The main crystallographic defects present in the silicon overlayer of thin film structures

prepared at the University of Surrey are threading dislocations. The threading dislocation

density in samples implanted with a dose of 3.3xl017 0 + cm"2 at an energy of 70 keV

varied from 2.0x10* cm"2 to 3.OxlO5 cm"2 depending upon the implantation temperature.

Indeed, it was observed that the threading dislocation density decreases by 660 times

when the implantation temperature is increased from 550°C to 700°C. The same trend

was observed in samples implanted with 5.OxlO17 0 + cm'2 at an energy of 90 keV when

the dislocation density decreases from 1.0x10* cm"2 to 3.OxlO6 cm"2 when the implantation

temperature is increased from 550°C to 700°C. However, it was observed that for the

wafers implanted at the highest temperatures (650°C-700°C) a non uniform distribution of

threading dislocations across the implanted area was present. We have suggested that the

presence of different defect densities inside the same wafer, was either due to the pinning

of the silicon overlayer at its periphery by the surrounding bulk silicon or due to the

presence of thermal gradients in the wafer during the implantation. These assumptions

were validated by preliminary X-ray diffraction analyses which showed the existence of

large stress fields near the ion implanted boundaiy (IIB) in the as-implanted samples.

The relatively high threading dislocation densities can also be attributed to the presence of

contaminants or to the non adequate control of the implant conditions ( such as

particulates, current stability) at the University of Surrey.

195 Conclusions

9.2.2 SIMOX wafers produced at Eaton Corporation.

The main crystallographic defects present in the silicon overlayer of the specific wafers

examined were threading dislocations and SF complexes. The threading dislocation

density varied from l.OxlO4 cm'2 to 5.0xl06 cm'2, while the density o f the SF complexes

varied from 8.0xl06 cm'2 to 5.0xl09 cm'2. The lowest threading dislocation densities (

l.OxlO4 cm"2) were found in samples implanted using singly charged molecular oxygen

(0 +2) with a dose o f 2 .2xl017 0 + cm'2 and energy o f 30 keV (Ti= 530 °C).These results

are very interesting since they show that it is possible to obtain low defect density SIMOX

materials using low energy and low dose oxygen implants. Furthermore, complementary

analyses by XTEM showed that no silicon islands ( e.g < 107 cm'2) were present in the

buried oxide layer suggesting that these materials could be used, in the near future, as the

substrate for low power CMOS integrated circuits. Indeed, 0 + implants at 30 keV with

doses o f 2 .2xl017 0 +cm"2 produce, after annealing ( 1300°C for 6 hours), an ultra thin

silicon overlayer (500 A) containing a low density of threading dislocations ( l.OxlO4

cm'2).

9.3 Suggestions for future work.

In this project we have successfully characterized SIMOX materials and optimised the

processing conditions such as implantation temperature (700°C) and oxidation

temperature ( 900°C) to achieve reduced densities o f crystallographic defects in thin

SIMOX structures. Annihilation o f the SF complexes located near the Si/Si02 interface

has been achieved using a post amorphisation process. However, further improvements in

the quality o f the silicon overlayer are required in order to achieve dislocation free

196 Conclusions

SIMOX structures. For this purpose, we suggest that the nature and origin of SFP/SFT

have to be further studied. The determination of the nature (intrinsic/extrinsic) of

SFP/SFT in SEMOX by high resolution TEM analysis could give us more indications

about the actual mechanism o f formation o f these defects. Another experiment that could

be carried out in order to determine the mechanism o f formation o f SFP/SFT is to study

the effects o f quenching on the SFP/SFT density . This could be easily done by changing

the cooling down rates following the high temperature treatments. This experiment could

be used to further improve the thermal annealing process in order to produce SEMOX

structures with lower SF complex densities.

We also suggest that in a future project, the effects o f thermal and mechanical stresses on

the nucleation o f defects in low energy and low dose SIMOX has to be further studied.

Implantations of the whole surface o f the wafer would presumably reduce these effects

and therefore, comparison with partially implanted wafers or patterned wafers would

give us an indication about the influence o f the thermal/mechanical stresses on the

formation o f defects. Furthermore, the effects o f contaminants on the nucleation o f SF in

the silicon substrate need also to be studied. This could be done by implanting ultra pure

FZ-silicon wafers and comparing the results with those obtained with the Wacker Cz-

silicon wafers.

Finally, the quality o f the SIMOX wafers examined in this project has been found to be

very sensitive to the details o f the implantation and thermal processing. For this reason it

is suggested that any future experimental work should be a joint project involving a

SIMOX wafer, vendor so that tight control o f the processing conditions can be maintained

from batch to batch and wafer to wafer when meaningful defect densities could be

determined.

197 Conclusions

R e fe re n c e s

[1] - C.W. Mueller and P.H. Robinson, Proc. Inst. Electr. Eng. 52, 1487 (1964).

[2] - H.W. Lam, IEEE Circuit and Devices Magazine, page 6, July (1987).

[3] - S. Kawamura in " Ultra Thin Film SOI Technology And Its Application to NextGeneration CMOS Devices", Proc. IEEE SOI Conf., page 6 (1993).

[4] - S.S. Lau, S. Matterson, J.W. Meyer, P. Revesz, J. Byulai, J. Roth, Appl. Phys. Lett.34, page 76 (1979).

[5] - K. Imai, H. Unno and H. Takaoki, J. Crystal Growth 63. 547 (1983).

[6] - W. Maszara, Proc. SOI Technology and Devices, pag. 199, Edited by D. Schmidt,The Electrochemical Society, (1990).

[7] - K. Izumi, Proc. IV SOI Electrochem. Soc. Conf., page 31 (1990).

[8] - S. A. Park, C. Hu and P.K. Ko, IEEE Electron. Dev. Lett., 14, page234, (May 1993)

[9] - L. Cohn, H.H. Hosack, R. Cherne, P. Fechner, 158, Proc. IEEE SOI Conf., 158,(1993)

[10] - A.J. Auberton, Proc. 1993 IEEE SOI Conf., page 8, (1993).

[11]- J.P. Colinge, in " Advanced CMOS Devices Made in Thin SOI Films".Fifth international Workshop on Future Electron Devices, May 1988, Japan.

[12] - M. Guerra in "The status of SIMOX technology" Proc. SOI Technology andDevices, page 21, Edited by D. Schmidt, The Electrochemical Society, (1990).

[13] - B. Davari, H.J. Novel, G.G. Shahibi, Proc. IEEE SOI Conf., page 4, (1993).

[14] - B.K. Tanner, in " X ray Diffraction Topography", Edited by PergamonInternational Library (1976).

[15] - L. Azaroffin " Element of X-ray Crystallography" Me Graw-Hilll (1968).

[16] - M. Von Heimendahl, "Einfuhrung in die Elektronenmikroskopie" Friedrich Vieweg,(1970).

[17] - W.K. Chu, J.W. Mayer and M.A. Nicolet in " Backscattering Spectrometry",Edited by Academic Press, (1978)

198 References

[18] - J. Harrold, J. Hovel, Proe. IEEE SOI Conf. ,page 26 (October 1993).

[19] - MJhara, T. Kimura, H. Yamawaki, O. Ueda, Proc. IV SOI Electrochem. Soc.Conf., page 399 (1990).

[20] - R.B. Heimann in " Crystals: Silicon Chemical etching", page 175, Edited by J.Grabmaier, Springer-Verlag (1982).

[21] - M.L. Smith in "Electromagnetically Enriched Isotopes and Mass Spectrometry",Publishers: Butterworth Science, London, page 100, (1956)

[22] - M. Watanabe and A. Tooi, Jap. J. Appl. Phys _5, page 737, (1966).

[23] - P.V. Pavlov and E.V. Shitova, Sov. Phys. 12, page 11, (1967).

[24] -J.H. Freeman, Proc. Int. Conf. Applications of ion beams to semiconductor technology, Grenoble, page 75 (1967).

[25] - J. Dyleswsi and M.C. Yoshi, Thin Solid Films 3513). page 327, (1976).

[26] - M.H. Badawi and K.V. Anand, J. Phys. D 10. page 1931 (1977).

[27]- K . Izumi, M. Doken and M. Ariyoshi, Electron. Lett.JA, page 593 (1978).

[28] - H.W. Lam, A.F. Tarsh and R. F. Pinizzotto, in "Silicon-on-insulator for VLSI andVHSIC", VLSI Electronics Microstrusture Science 4, Ed. N.G. Einspruch, Academic Press, New York (1982).

[29]- D. Fathy, O.L. Krivanah, R.W. Carpenter and S.R. Wilson, Inst. Phys. Conf. Ser. 67, page 479 (1983).

[30] - S. S. Gill, PhD.Thesis, University of Surrey, Guildford, UK (1980).

[31] - S. S. Gill and I.H. Wilson, Thin Solid Films 55, 435, (1978).

[32] - T. Hashayi, S. Maeyama and S. Yoshi, Jap. J.Appl. Phys. 1916) , page 1111 (1980).

[33] - T. Hashayi, H. Okamoto and Y. Homma, Jap. J. Appl Phys. 1915) , page 1005(1980). *

[34] - K. Das, J. Butcher, M.C. Wilson, G.R. Booker, D.W. Wellby, P.L.F. Hemment and K.V. Annand, Inst. Phys. Conf. Ser. 60, page 307 (1981).

[35] - H. ICoyama, J. Appl. Phys. 51, page 3202 (1982)

[36] ]- Y. Homma, M. Oshima and T. Hayashi, Jap. J. Appl. Phys. 21, page 890 (1982).

199 References

[37] - J.A. Kilner, D.S. Littlewood, P.L.F. Hemment, E.A. Maydell-Ondruszand ICG. Stephens, Nucl. Instr. & Meths. 218. page 573 (1983).

[38] - P.L.F. Hemment, IC.J. Reeson, J.A. Kilner, R.J. Chater,C.D.Marsh,G.R.Booker,J.R. Davis, G. K. Celler, Nucl. Instr. & Methds. B21. page 129 (1987).

[39] - G. Carter and W. A. Grant in "Ion Implantation of Semiconductors"( Edited by Edward Arnold ), page 174, (1976).

[40] - J. Margail, PhD Thesis, Grenoble University (1987).

[41] - S. J. Krause, C. O. Jung, T.S. Ravi and D.E. Burke, Mat. Res. Soc. Soc.,Sym. Proc. 107, page 93, (1988).

[42] - O.W. Holland, T.P. Sjoren, D. Fathy and J. Narayan, Appl. Phys. Lett. 45.page 1081, (1984).

[43] - C. Jaussaud, J. Stoemenos, J. Margail, M. Dupuy, B. Blanchard and M. Bruel,Appl. Phys. Lett. 46, page 1064 (1985).

[44] - J. Stoemenos and J. Margail, Thin Solid films 135. page 119 (1986).

[45] - J.P. Ruffell, D.H. Douglas-Hamilton, R.E. Kaim and IC Izumi, Proc. 6th Int. Conf.Ion Impl. Techn., page 229, (1986).

[46] - P.L.F. Hemment, K.J. Reeson, J.A. Kilner and S.J. Krause, Vaccum 42(5/6) ,page 293, (1991).

[47] - C. Jaussaud, J. Stoemenos, J. Margail, A.M. Papon and M. Bruel, Vaccum42(5/6) page 341, (1991).

[48] - J. Stoemenos, IC J. Reeson, A.IC Robinson and P.L.F. Hemment, J. Appl. Phys.69, page 793, (1991).

[49] - S. Visitserngtrakul, C.O. Jung, T.S. Ravi, B. Cordts, D. Burke and S.J. KrauseInst. Pys. Conf. Ser. 100, page 557, (1989).

[50]- A. de Veirman, J. Van Landuyt, J. Vanhellemont, H. Maes and IC Yallup, Vaccum, 42, page 367, (1991).

[51] - D. Venables, K.S. Jones, F. Namavar and J. Manke, Mat. Res. Soc. Symp. Proc.235, page 103 (1992).

[52] - D. Hill, P. Fraundorf and G. Fraundorf, J. Appl. Phys. 63, page 4933 (1988).

[53] - Y. Homma, M. Yoshima and T. Hayashi, Jap. J. Appl. Phys. 2 \ , page 890(1982).

[54] - M.K. El Ghor and S. Pennycook, F. Navamar and N.H. Karam, Appl. Phys. Lett.57(2). page 156 (1990)

200 References

[55] - A. H. Van Ommen, Nucl .Instr. Methds. B39. page 194 (1989).

[56] - J. Margail et al. " A New Method for Maldng Improved SIMOX Structures",Proc. 1st European SOI W orkshor, Meylan, March 1988.

[57] - S. Nakashima, S. ICanamori and K. Izumi, Electron. Lett._2i, page 1229, (1989).

[58] - F. Navamar,E. Cortesi, B. Buchanan,P.Sioshansi, Proc. IEEE SOS/SOITechnology workshop (1989).

[59] - Y. Li, J.A. Kilner et al. , J. Appl. Phys. 70(7), page 3605 (1991).

[60] - C. Tsamis, D. Tsoukalas, N. Guillemot, J. Stoemenos, J. Margail, J. Electrochem.Soc. 138(9), page 2752 (1991).

[61] - D. Tsoukalas, C. Tamis, N. Guillemot, J. Stoemenos and J. Margail, MaterialsScience and Engineering B12. page 209 (1992).

[62] - J. Margail, J. M. Lamure and A.M, Papon, Materials Science and EngineeringB12, page 27 (1992).

[63] - M. J. Kelly, T.R. Guilinger, J.W. Medernach, S.S. Tsao, H.D. T. Jones and J. O.Stevenson Proc. SOI Technology and Devices, pag. 121, Edited by D. Schmidt,The Electrochemical Society, (1990).

[64] - M.J.J. Theunissen, A.H. Goemans, A.J.R. Kock and J. Haisma, Proc. SOITechnology and Devices, pag. 163, Edited by D. Schmidt, The Electrochemical Society, (1990).

[65] - H. Ming Liaw , M. Tomozane, P. L. Fejes, Proc. SOI Technology and Devices,page 129, Edited by D. Schmidt, The Electrochemical Society , (1990).

[66]- P.S. Fechner, G. R. Gardner and S.T. Liu, Materials letters, 9112) page 508, (1990).

[67] - L.F. Giles, A. Nejim and P.L.F. Hemment, Mater. Chem. Phys. 35. page 129(1993).

[68] - J.F. Ziegler in "Ion Implantation Science and Technology", page 51, edited by J.F.Ziegler, Academic Press (1984).

[69] - G. Dearnley, J.H. Freeman, R.S. Nelson and J. Stephen in " Defects in crystallinesolids: Ion Implantation" edited by S. Amelinckx, R. Gevers, J. Nihoul,North Holland Publishing Company (1973).

[70] - K.G. Stephens, K.J. Reeson, B,J. Sealy, R.M. Gwilliam and P.L.F. Hemment,Nucl. Instr. Meth. B50. page 350 (1990).

[71] - IC. Radermacher, S. Mantl, Ch. Dieker and H. Luth, Appl. Phys. Lett., 59, (1991).201 References

[72] - A.E. White, K.T. Short, R.C. Dynes, J.P. Garno and J.M. Gibson,Appl. Phys. Lett. 50, 95, (1987).

[73]- A. White, K.T. Short, K. Maex, R. Hull, Y.F. Hsieh, S.A. Audet, IC.W. Goosen, D.C. Jacobson and J.M. Poate , Nucl. Instr. & Methds. B59/60. 665, (1990).

[74] - R. Weber, R. Yankov, R. Muller, W. Skorupa, S. Reiss and IC.H. Heining, Mat.Res. Soc. Symp. 316. page 729, (1994).

[75] - U. Goselle, T. Y. Tan, Appl. Phys. A2§, page 79 (1982).

[76] - T. Lee and D. Nichols Appl. Phys. Lett. 47. page 1001 (1985)

[77] - T. Lee and D. Felluzer, Appl. Phys. Lett. 49, page 1793 (1985)

[78] - K. Graff, A. Gallrath, S. Ades, G. Goldbach, G. Tolg, Solid State E lect. 16,page 887 (1973).

[79] - F. Shimura in " Semiconductor Silicon Crystal Technology", pag. Academic PressHartcourt Brace Jonavich, Publishers (1989).

[80] - S. Mantl, Materials Science Report 8(1/2), page 1-95, (1992).

[81] - 1. H. Wilson; Ion Beam Modification of Insulators, Ed. P. Mazzoldi andG. Arnold, page 248, Elsevier, Amsterdam (1987).

[82] - R.D. Doherty in "Physical Metallurgy", Editors R.W. Cahn and P. Haasen(North Holland Physics Publishers), Page 933 (1983).

[83] - J. Osaka, N. Inuoue, K. W ada, Appl. Phys. Lett. 36(4), page 289 (1989).

[84] - Shimura, Tsuya, Kawamura, Appl. Phys. Lett 37(5) , page 485 (1980).

[85] - L. Meda, S. Bertoni, G.F. Cerofolini, C. Spaggiari Proc. SOI Conf, Edited byW. E. Bailey ( The Electrochem. Soc. Pennington, NJ) page 237 (1992 ).

[86] - P.L.F. Hemment, K.J. Reeson, J.A. Kilner, R.J. Chater, C.D. Marsh, G.R. Booker,G.K. Celler and J. Stoemenos, Vaccum 36 (11/12). page 877 (1986).

[87] - C.D. Marsh, G.R. Booker, K.J. reeson, P.L.F. Hemment, R.J. Chater, J.A. Kilnerand G.K. Celler, European SOI 88 Workshop, Meylan, France (March 1988).

[88] - G.F. Cerofolini, S. Bertoni, L. Meda and C. Spaggiari, Nucl. Instr. & Methds. B8Apage 234 (1994)

[89]- A. Bourret, J. Thibault Desseaux, D.N Seidman, J. Appl. Phys. 55(4), page 825 (1984).

202 References

[90] - F. Shimura, H. Tsuya, T. Kawamura, Appl. Phys. Lett. 37, page 483 (1980).

[91] - G.F. Cerofolini and L. Meda in "Physical Chemistry of, In and On Silicon, Chp. 3,Springer Verlag, Berlin (1989).

[92] - Y. Li, J.A. Kilner, Mater. Sci. Eng. B I2, page 77 (1992).

[93] - B. Aspar, C. Pudda, A.M. Papon, A.J. Auberton Herve, J.M. Lamure,Proc. Electrochem, Soc. SOI conf., page 62, (1994).

[94] - G.F. Cerofolini, S.Bertoni., P. Fumagalli., L. Meda, C. Spaggiari, Phys. Rev. B47,page 10 175, (1993).

[95] - S.Spaggiari, S. Bertoni., G.F. Cerofolini, P. Fumagalli and L. Meda,Ceramics International 19, page 399 (1993).

[96] - I.M. Lifshtz and V. V. Slyozof, J. Phys. Chem. Solids, 19(112). page 33 (1961).

[97] - J. Vanhellemont and C. Claeys, J. Appl. Phys. 62(9). page 3960 (1987).

[98] - A. De Veirman, J. Van Landuyt, J. Vanhellemont, H.E. Maes, IC Yallup,Vaccum 42(5/6) , page 367, (1991)

[99] - T.Y. Tan and U. Gosele, Appl. Phys. A37. page 1 (1985).

[100 ] - M.P. Maszara, J. Appl. Phys. 64, 123 (1988).

[101] - D. A. Porter and ICE. Easterling, in " Phase transformations in Metals and alloys,Van Nostrand Reinhold Co. , page 115, (1981).

[102] - W. Ostwald, Z. Phys. Chem.. 34. page 495 (1900).

[103] - K. Wada, N. Inoue, K. Kohra, J. Cryt. Growth 49 , page 749 (1980).

[104] - A. Yoshimo, Nucl. Inst. Methds. B39. page 204 (1989).

[105] - L.C. Brown, Scripta Metall. 21. page 693 (1987).

[106] - F. Gibbons, Proc. IEEE 60, page 1062 (1972).

[107] - M.W. Thompson in " Defects and Radiation Damage in Metals", page 45,Cambridge University Press (1969).

[108] - C. J. Gallagher, Phys. Rev. 88, page 721 (1952).

[109]- G. Thomas and J. Washburn, Rev. Mod. Phys., 35(4). page 992, (1963).

[110] - A. Gross, H. Teichlker, Phil. Mag. B64(4). page 413 (1991).203 References

[111] - J. Silcox, P. Hirsch, Phil. Mag. 4, page 72 (1959).

[112] - R. M. Cotterill, P h il. Mag. 6, page 1351 (1961).

[113] - G. Saada, Acta Met. JO, 551, (1962).

[114] - G.F. Cerofolini, S. Bertoni, S. Fumagaili, L. Meda, C. Spaggiari, Phys. Rev. B47(1993).

[115]- S.M. Hu in "Oxygen, Carbon, Hydrogen and Nitrogen in Crystalline Silicon", (edited by S.J. Pearton, J.W., corbett and S.J. Pennycook) Mat. Res. Soc. Proc. 59,249(1985).

[116] - F. Seitz, Phys. Rev. 79, page 723-724 (1950).

[117] - J. Silcox, M. Whelan, Phil. Mag._5, page 1, (1960).

[118] - IBIS Technology Corporation, 32A Cherry Hill Drive, Danvers,MA 01923-2583, USA

[119] - LETI- Site technologique ASTEC, Avenue des Martyrs, 38041 Grenoble, France

[120] - N. Meyyappan, T. Nakato, Proc. IEEE SOI Conf., Late News paper, (1993).

[121] - C. Vieu, A. Claverie, J. Faure and Beauvillain, Nucl. Instr. & Methds. B28.page 229 (1989).

[122] - S.M. Sze in " VLSI Technology", Me Graw Hill International Editions,page 121, (1988).

[123] - F. Secco d'Aragona, J. Electrochem. Soc., 119(7), page 947, (1972).

[124] - D.G. Schimmel, J. Electrochem. Soc. 126(3). page 479, (1979).

[125] - K.H. Yang, J. Electr. Soc., 131(5). page 1140, ( 1984)

[126] - Wright Jenkins, J. Electrochem. Soc., 124(51 757, (1977).

[127] - J.W. Edington in " Electron Diffraction in the Electron Microscope"( Macmillan Philips Technical Library) (1974).

[128] - A. Robinson, R. Webb, Internal Communication, University of Surrey (1993).

[129] - J.J. Gilman, W. G. Johnston, W. Sears, J. Appl. Phys. 29(5). page 774 (1958).

[130] - C. Marsh, private communication.

[131] - B. Deal and A.Grove, J. Appl. Phys. 36, page 3770 (1965).

204 References

1331 - P. Fahey, P. Griffin and J.Plummer, Rev. Mod. Phvs. 61(2). page 289-348,(1989).

134] - L. Laanab, C. Bergaud, M.M. Faye, J. Faure, A. Martinez and A. Claverie,Proc. Mat. Res. Soc. ( Boston ,1992),

135] - B.de Mauduit, L. Laanab, C. Bergaud. M.M. Faye, A. Martinez and A. Claverie, Nucl. Instr. 8c Methds., B84. page 190 (1994).

136] - L. Laanab, PhD thesis, Laboratoire d'Optique Electronique- CNRS , Toulouse(1993)

1371 - J. Vanhellemont and H, Maes, Vaccum 42(5/6), page 359, (1991).

1381 - A. Nejim, private communication.

1391 - P.L.F. Hemment, private communication.

1401 - S.Nakashima and K. Izumi, Nucl. Inst 8c Methds. B55. page 847 (1991).

1411 - J. Miltat, Phil. Mag. A55(5). page 543 (1987).

1421 - J. Miltat, Phil. Mag. A57(4). page 685 (1988).

1431 - C. Marsh, PhD Thesis, University of Oxford (1993).

1441 - S.MHu, J. Appl. Phys._45, page 1567, (1974).

1451- Y. Tan and U. Gosselle, Appl. Phys. Lett. 39, page 86 (1981).

1461 - A. M. L in , R.W. Dutton, D. A. Antoniadis and W. Tiller., J. Electrochem. Soc. 128, page 1121(1981).

147] - S.T. Dunham and J.D. Plummer., J. Appl. Phvs. 59 (7) , page 2541 (1986).

1481 - G. Brebec, R. Seguin, C. sella, J. Bevenot, C. Martin, Acta Met. 28, page 327,(1980).

1491 - S. Visitserngrtrakul, C.O. Jung and ICS. Krause, B.F. Cadts,Proc. Electrochem. Soc. SOI Conference, page 106, (1990).

150] - T. Y. Tan, H. Foil, S.M. Hu, Phil. Mag. 44A, page 127 (1981)

1511 - N. Guillemot, O.Tsoukalas, C. Tsamis, J. Margail, A.M.Papon and J. Stoemenos, J. Appl. Phys., 71(4). page 1713, (1992).

1521 - T. Y. Tan, Phil. Mas. 44A. 101 (1981).205 References

132] - B. Leroy, J. Appl. Phys. 50(12). page 7999 (1979).

[153] - W.K. Burton, N. Cabrera and F.C. Frank, Phil. Trans. Roy. Soc., A243. page 299(1950).

[154] - D.N. Seiman, R.W. Balluffi, Phys. Rev. J3 9 , A1824 (1965).

[155] - Kimura, Maddin, Wilsdorf, Acta Met._7, page 145, (1959).

[156] - J.D. Lee, J.C. Park., D. Venables, S.IC. ICrauseamd P. Roitman, Mat. Res.Soc.Symp. Proc. 316 , page 758 edited by the Materials Research Society (1994)

[157] - J.P. Hirth and J. Lothe in " Theory of Dislocations", page 315,Jonh Wiley & Sons publication (1982).

[158] - D. Venables, private communication.

[159] - J. Stoemenos, B. Aspar and J. Margail, Proc. Electrochem . Soc. SOI Conf.(1994).

[160] - D. Venables, S.K. Krause, S.J. Park, J.D. Lee, P. Roitman, Proc. IEEE SOIConf., 49, (1993)

[161] - M. Jong and J.S. Koehler, Phys. Rev. 129(1), page 49, (1963).

[162] - A. De Veirman, K. Yallup , J. Van Landuyt, H.E. Maes, S. Amelinckx, Inst. Phys.Conf. Ser. N° 87, page 403 (1987).

[163] - W. Coene, H. Bender and S. Amelinckx, Phil. Mag. A52(3). page 369, (1985).

[164] - G. Booker and R. Stickler, J. Appl. Phys. . 33(11), page 3281, (1962).

[165] - J.S. Koehler and C. lund - in "Lattice defects in quenched metals, pag. 1, editedby R.M.J. Cotterill, M.Doyama, J.J. Jackson., M. Meshi, Academic Press (1965).

[166] - R. M Coterill and M. W. Jones, J. Phys. Soc. Japan 18 , page 158 (1963).

[167] - I.R. Sanders, P.S. Dobson, Phil .M ag., page 881, (1969).

[168] - S. T. Dunham and J. Plummer, J. App. Phys. 59(71 page 2551 (1986).

[169] - L. Meda, S. Bertoni, G.F. Cerofolini and C. Spaggiari, Nucl. Instr. & Methds.B80/81. page 813 (1993).

[170] - A. Nejim, C.D. Marsh, L.F. Giles, P.L.F. Hemment, Y. Li, R. J. Chater,J.A. Kilner and G.R. Booker, Nucl. Intrs. & Methds. B84 , page 248, (1994).

[171] - K. Maex, L. Van den Hove. Mat. Sci. Eng., B4, page 321 (1989).

[172] - J. Vanhellemont, C. Claeys, J. Van Landuyt, Mat Sci. Forum 10/12. page 757(1986).

206 References

[173] - P.L.F. Hemment, Vaccum 29111/12L page 439 (1979).

[174] - J. Stoemenos, in " Crystalline Defects and Contamination: The impact and controldevice Manufacturing", Proc. Satellite Symp., page 130 Electrochemical Society,(1990).

[175] - R.J. Schreutelkamp F. W. Saris, J. Custer, R. Liefting, R. wijburg, H. Wallinga,Microelec. Eng. 19, page 352 (1992).

[176] - R. Falster in "Crystalline Defects and Contamination: The impact and controldevice Manufacturing", Proc. Satellite Symp. Electrochemical Society, page 149,

Grenoble (1994).

207 References

Appendix A

SOI technologies

During the last thirty years several SOI technologies have been developed. Among the

most important ones we can named, for instance, Silicon on Sapphire[l](SOS), Full

Insulation o f Porous Oxidized Si[2] (FIPOS), Zone Melt Regrowth (ZM R)[3], Epitaxial

Lateral Oxidation[4] (ELO) , Wafer Bonding[5] and Separation by IMplanted OXygen

(SIMOX).

In Silicon on Sapphire (SOS) a thin epitaxial film o f silicon is grown on a single-crystal

alpha-aluminum oxide (sapphire) substrate by chemical vapour deposition (CVD). SOS

was the first SOI technique applied to VLSI, however, due to the low quality o f the

silicon overlayer particularly near the Si/Al20 3 interface,it is now being replaced by

alternative substrates.

In Full Insulation of Porous Oxidized Silicon (FEPOS), porous silicon is formed by

electrochemical etching silicon islands in a HF aqueous electrolyte. The oxidation rates of

porous and monocrystalline silicon differ by an order o f magnitude and therefore, during

oxidation, the oxide is formed mainly underneath the silicon islands. The practical

drawback of this approach is that the electrochemical etching necessary to form porous

silicon formation is not compatible with other IC fabrication steps. However, interest has

recently shifted to the study o f light emission from porous silicon. As a consequence its

importance as a SOI material has decreased considerably.

In Zone Melt Regrowth (ZMR), the process starts with deposition of polycrystalline

silicon over S i02. Then the film is implanted with silicon. A channelled silicon ion beam

208 Appendix A

amorphises most of the polysilicon layer leaving intact grains with major crystallographic

axes that are aligned along the channelling direction. These grains are used as seeds for a

solid-state regrowth, which take place during a subsequent thermal treatment. The main

drawback of the technique is the poor quality of the silicon overlayer and residual defects

at the grain boundary interfaces.

Epitaxial lateral overgrowth (ELO) , consists of the growth of silicon by chemical

vapour deposition (CVD) within windows in a SiOz mask. If no nucleation of silicon on

the Si02 layer takes place, the silicon grows vertically to the mask level and then laterally

over the Si02 mask. The lateral growth enables small SOI islands to be formed. The main

drawback of the technique, is indeed, the small size of the islands.

The formation of SOI substrate by W afer Bonding uses the principle that two surfaces

in close contact may be bonded (Van der Walls forces) during a high temperature anneal.

This basic idea has evolved towards silicon wafer bonding with a thermal Si02 layer on

top. The main drawback of the technique is that wafer thinning processes have to be

applied, and consequently, due to its limitations, lateral non-uniformities of the thickness

of the Si overlayer will occur. However, during the last few years a new thinning process

based on plasma etching[6] has been developed, and is now being used as the main

method to produce thick (>1.0 pm) SOI substrates.

In SIMOX the formation of a buried Si02 layer is obtained by high energy and high

dose 0 + implantations. To facilitate dynamic annealing the wafers are maintained at a high

temperature (> 600°C) during O* implantation. Due to the radiation damage caused by

the incident 0 + ions ions, a post-implantation thermal annealing is needed both to

annihilate the defects and to redistribute the implanted oxygen. The presence of residual

209 Appendix A

defects ( dislocations, stacldng faults, and SFT) in the silicon overlayer are the main

disadvantage o f this technique. In Table 1 we summarise the main advantages and

drawbacks o f the different SOI technologies[7].

Technique Advantages Drawbacks

Silicon on Sapphire

(SOS)

1. Conventional processing2. First SOI applied in production of LSI/VLSI circuits.3. Application for : CMOS

1. Cannot be used for 3D integration.2. Long lifetime- no bipolar applications.3. High substrate cost.

Zone melt and regrowth (ZMR)

1. Conventional processing2. Application: 3D

1. Limited applicability due to the smallness ! of the stripes

Full Insulation of Porous Oxidized Si

(FIPOS)1. Low defect density.2. Application for: CMOS, bipolar.

1. Process noncompatible with IC processing.2. Limited applicability due to smallness of the islands.3. Cannot be used for 3D integration.

Epitaxial Lateral Oxidation

(ELO)

1. Conventional processing.2. low defects/warpage3. Applications : bipolar-, 3D integration.

1. Thinning necessary for CMOS high speed circuit.2. Low overgrowth ratio ( small areas)3. Seeding necessary.

Wafer Bonding1. Low defect density2. Application: 3D integration. 1. Thick SOI layers (1-5 pm)

Separation by Implanted OXygen

(SIMOX)

1. Conventional processing.2. Good quality SOI: low defect density/warpage3. Stage of development mature (complex circuits demonstrated)4. Application for : CMOS, bipolar.

1 .Residual defects in the Si overlayer.

Table 1 - Main advantages and drmvbacks o f SO I technologies.

References

1. M. Aindow J.Am. Cerm. Soc., 73(5). 1136 (1990)

2. IC. Imai, H. Unno and H. Takaoki, J. Crystal Growth 63, 547 (1983).

3. D. Kwizera, P. Reiff and D. Gandomenico, 1982 Electronic Materials Conference, Ft. Collins, CO, (1982).

4. L. Jastrzebsld, J. Crystal Growth 63, 493 (1983).

5. J. Haisma, G.A.C. Spierings, U.IC.P. Biermann, Japan. Journal Appl. Phys. 28(8),1426 (1989).

6. P.J. Clapis, A M . Ledger, IC.E. Daniell, Proceedings 1993 IEEE International SOI conference, pag.66, (1993).

7. L. Jastrzebsld, J. Crystal Growth 70, 253 (1984).

210 Appendix A

Appendix B

Chemical defect etching

1. Basic principles

A) types o f reactions

Chemical etching can be described as the dissolution process o f a material into an

undersaturated medium. The term dissolution covers many types o f reactions. Focusing

our attention to the dissolution o f solids in liquids, we can name three different dissolution

processes. Firstly, we can have the dissolution of a molecular crystal into an organic

solvent. In this reaction intermolecular crystal forces are overcome by solute-solvent

interaction, and although the environment o f a molecule in solution is different from that

in the crystal the molecule itself is unchanged. A second type o f dissolution is encountered

with ionic crystals. The characteristic properties of the solid result from the electrostatic

interaction of the regular array o f ions. In solution, the ions are separated and properties

characteristic of the solid are lost. Thirdly, there is dissolution brought about by chemical

change. More frequently oxidation is required to convert the solid into a soluble

material. This is expressed in general terms as the extraction o f electrons from the

material. The resultant material has then to be removed from the surface, usually with the

accompaniment of dissolution. This land o f reaction is the most common in

semiconductors and metals and therefore, it is the most important for the work discussed

in this thesis.

The rate o f dissolution o f a solid (semiconductor) in a given etchant is primarly

controlled by the speed of transfer o f the active ions in the solution to the dissolving

211 Appendix B

namely an initial oxidation followed by dissolution o f the oxide, the effectiveness o f the

oxidation will depend on the ability o f the surface to adsorb the oxidising ions in the

solution. This will undoubtedly be influenced, among other things ,by the atomic nature of

the surface, such as crystallographic orientation.

B) Kinematic description.

The kinematic aspects of crystal etching is generally considered to proceed through the

retreat o f monomolecular steps across the surface of the crystal[ 1], The active sites are

taken to be the places along the steps where single molecular rows end. These places,

called "kinks", are where individual molecules may be removed. When a perfect crystal

face is exposed to an etchant, dissolution begins by the nucleation of unit pits of one

molecule depth. These units pits grow as steps retreat across the crystal through the

action of the kinks. On such a perfect crystal face the nucleation of unit pits is random.

On a real crystal, defect such as dislocations may be preferential sites for nucleation o f

unit pits and repeated nucleation at a dislocation leads to the formation o f an etch pit. The

formation of visible dislocation etch pits depends on the nucleation rate for unit pits at a

dislocation and the rate of motion o f the steps across the crystal surface. These two

quantities are reflected in the step velocity normal to the surface at a dislocation Vn and

parallel to the surface V, ( see Fig. 1).

212 Appendix B

Figure 1 - Schematic showing the step velocities near a dislocation.

C) Nucleation Process

The driving force for the nucleation o f an etch pit is the energy around the dislocation.

This 'localized' energy consists o f the core energy plus a fraction of the total elastic strain

energy. A model to determine the general features and important parameters of such a

nucleation process was proposed by Cabrera et al. [2], In their model, they assumed that

the nucleus lies along the core o f the dislocation and that a cross-section o f the nucleus

perpendicular to the dislocation is circular.

The change of free enthalpy due to the formation o f a two-dimensional nucleus is given

by the equation[3]:

AGr - AGb - AG/r - AGz (B l)

213 Appendix B

The term AGB describes the work required for the formation of the (circular) periphery

of the pit and is given by the expression:

A Gb = 27trp (B2)

where r is the radius of the pit and p the specific free boundary tension. AGF is the

work gained by the transition from the solid to the solute,

with Q = atomic volume h = height of step

Ap = chemical potential difference between crystal and surrounding crystal.

Finally, AGZ describes the gain in strain energy and depends largerly on the type of

dislocation, the distance from the dislocation line, r, and the radius of the dislocation core

AGz = A h In (^) + B (r> r0) B4)

For a pure edge dislocation, A, is given by:

(B5)

and for a pure screw dislocation is:

(B6)

The term B in eq. B4 is the core energy and it must be considered because the driving

force for the formation of an etch pit is actually the release of the core energy

214 Appendix B

immediately at the point of emergence of a dislocation. The relationship between AGR

and r is shown graphically in Fig. 2.

AG

Figure 2 - Free enthalpy o f formation o f a p it near a dislocation site (AGR ) and free enthalpy offormation o f a p it in a perfect surface (A G ^J .

Rewriting Eq. I, we obtain for a screw dislocation:

AG = 2nrp -A esita fc L )£2 4n V/V (B7)

The first d e r i v a t i v e , y i e l d s two solutions when equated to zero ( the two equilibrium

points):

p • £2 i------= 2 A ^ ( ‘ + m

and:

(B9)

215 Appendix B

K Gb2h 2 Ap where: * =

For £, = 1, we obtain:

p * H rr = r . — ----- = — (BIO)

max mm 2 A \ x h 2 v }

The critical radius of the nucleus, rc, is derived from the treatment o f a dislocation-free

surface as follows:

AG ideal ~ AG B ~ AG/r=27irp - — ( Bl l )

(d ^ G )n=rc ~ o provides: dr

p£2rc -

A\ih

Eq. ( Bl l ) yields with rc , the following expression:

AG, = ^ 2 (BI2)Ap/7

For £,< 1, the critical free enthalpy for nucleation at the site of a screw dislocation is:

AGJ = AGrmax - AGrmm (B13)

and with Eqs. (B8) and (B9) we obtain:

AG* = Kprc j T J + f \ ) (B14)471 l + j l +Z ,

for r > r0 and < 1.

216 Appendix B

Equation (B14) yields the decrease of the work of nucleation at a dislocation site

compared with a perfect surface when setting £<1. Then:

AG'R = AGueoi / l D (B15)

The numerical value of £ is a function of the undersaturation.Thus etch pits occur when

the undersaturation in the immediate vicinity of the surface is smaller than a critical

undersaturation which causes spontaneous dissolution at a dislocation site. The presence

of inhibitors decrease the numerical value of £ , thus, decreasing the free enthalpy

required for nucleation.

2. Silicon etchants.

Several preferential etchants can be used to delineate crystallographic defects in silicon (

see table B l). The dissolution process of some of them are briefly discussed below.

A) The CKX-ELO-HF and K X r,O z-ELO-HF systems.

The dissolution process in CrC^-E^O-HF and K^CqO^H jO-HF etchants is based on the

oxidation of the silicon by the oxidizing agent ( C r03 or K2Cr20 7) and the subsequent

dissolution o f the oxide by the HF acid. For the CrC^-HF-HjO system (

Sirlt,Shimmel,Yang etchs) the behaviour of the etchant in terms of preferential attack and

formation of well defined etch pits, will depend on the concentration ratio m (given in

term o f %/M )[3], If m is too high or even too low, the etchant will lose both its

capability o f preferential etching and to form well defined etch pits, respectively. This can

be explained by the fact that the formation of a dislocation etch pit arises mainly from the

217 Appendix B

strain field associated with a dislocation ( see heading 1C). The strain field produces a

surface energy difference, AGr ,between the site of the dislocation and the surrounding

perfect crystal.If the C r03 concentration is too high the strong oxidising power of the

solution tends to minimise the surface potential to a negligible extent. As a result

preferential etching does not occur. Instead, if the concentration o f C r0 3 is too low,

etching due to HF dissolution is the dominant effect and the etch pits become ill defined.

The same principle applies to the K2Cr20 7 system ( Secco etch). However, due to the

low solubility of K2Cr20 7 in water, the concentration of the oxidizing agent can not rise to

a value high enough to give well defined etch pits. This is one of the reasons why the

Secco etch gives rise only to isotropic (circular) etch pits.

B) The HNQ3-HF-H2Q system

The dissolution mechanism o f silicon in HF-HN03 -H20 occurs mainly through an

electrolytic mechanism that allows the corrosion of the silicon by the formation o f local

anodic and cathodic sites on the surface o f the semicoductor[4].In addition to the

electrolytic attack, a chemical reaction also takes place on the silicon surface . The

electrolitic reactions on the cathodic and anodic sites may be written as:

4 e+ + Si + 6F —» SiF6“

4 e + 8 FT + 4 NOa-> N20 + 4 Hfi

The local chemical attack is a reduction-oxidation reaction followed by the dissolution of

the silicon dioxide formed. These reactions can be written a s :

218 Appendix B

Si + 4 HN03 —> S i O ^ N O ^ H ^ O

Si02 + 6 HF f t HjSiFg + 2 H20

The formation o f well defined etch pits will depend on the nature and quantity of the

inhibitors in the solution. The role of impurities is to decrease the shift velocity vt in order

to obtain a very small ratio v /vn ( see Fig. 1) giving rise to well defined etch pits ( see

Fig. IB).

Silicon Etchant Formula Characateristics

Secco [5]2 H F (49% ): 1 K2Cr20 7 (0.15 M)

Preferential etching in all Si planes.Etch pits not well defined.

Schimmel( [6] 2 H F(49% ): 1 Cr0 3 (1 M) Preferential etching in all Si planes. Etch pits not well defined.

Sirtt [7]4 H F (49% ): 5 Cr03 solution ( 50 g Cr0 3 for 100 g D.l. H20 )

Referential etching in (111) and ( 110) planes.Etch pits well defined.

Yang [3]1 H F(49%):1 CrO a solution (1.5 M)

Preferential etching in all planes.Etch pits well defined.

Wright [8]60 ml H F (49%):30 ml H N O 3:30 ml CfO3:60 ml HAc: 60 ml H20

Preferential etching in (111) and ( 110).Etch pits well defined.

Dash [9]1 H F (49% ): 3 HNO3(70% ): 10 HAc (glacial)

Preferential etching in all planes.Etch pits not well defined.

M EM C [10] 36 H F (49%):25 H N O 3(70%):18 HAc(glacial):21 H20 plus 1 g of Cu (N03)2:3 H20 per 100 ml of mixed acid.

Preferential etching in all planes.Etch pits well defined.

Table B1 - Preferential etchants for silicon.

219 Appendix B

References

[1J - J J . Gilman, W.G. Johnston, W. Sears, J. Appl. Phys. 29(5), 774 (1978).

[2] - N. Cabrera, M. Levine, J.S. Plashett, Phys. Rev., 96,1153 (1954).[3]- K.H. Yang, J. Electrochem. Soc. 131,1140, (1984).

[ 4 ] - H. Robins and B. Schwartz, /. Electrochem. Soc. 106(6), 505 (1959).

[5]- F. Secco d'Aragona, J. Electrochem. Soc., 119(7) 458, (1973).

[6]- D.G. Schimmel, J. Electrochem. Soc. 126(3) 479, (1979).

[7] - E. Sirlt, A. Adler, Z. Metallk, 52 , 529 (1961).

[8] - Wright. Jenkins, J. Electrochem. Soc., 124(5). 757, (1977).

[9J- W.C. Dash, J. Appl. Phys., 27, 1193 (1956)[10]- T.C. Chandler, J. Electrochem. Soc., 137(3), 947 (1990).

220 Appendix B

A p p e n d ix C

Dislocations and plastic flow in the Diamond Cubic structure

1. Introduction.

The first observation of the plastic flow of silicon and germanium crystals was made by

Gallagher [1] in 1952, They observed that although these crystals were completely brittle

at room temperature, they become increasingly ductile above 60% of their absolute

melting temperatures, that is about 450°C for Ge and 750°C for Si. Since the plastic glide

o f crystals operates by the movement o f dislocations, they attributed the low-temperature

brittleness o f diamond structure crystals to the high frictional resistance in shearing the

directional covalent bond. This frictional force against the movement of dislocations is

generally known as the Pierls-Nabarro force [2].

2.Lattice defects in the Diamond structure.

A.Geometry

The diamond structure (D.S.) [3] can be derived from the fee structure by doubling every

atom of the fee and shifting the second lattice by a/4[l 11] (see fig. 1 a). Thus, the

close-packed {111} planes o f the fee lattice become pairs o f {111} planes in the diamond

structure as is shown in Fig. 1 b , or in a two-dimensional projection, in Fig. Ic.

221 Appendix C

[ t i l ]

Fig. 1 (a)- The cubic unit cell o f the D.S. (b) Stacking o f (111) planes in the D.S.

c) projection o f fig. lb on the (110) plane.

It is observed, that if ABCABC is the stacking order of the {111} planes in the first fee

sublattice of the D.S, and abcabc is the stacking order of {111} planes in the second

produced by the a/4[l 11] shift from the fee, then aB, bC, cA, are closely spaced, double

planes connected by three times as many bonds as are between the three times wider

spaced Aa,Bb, Cc pair o f planes. Since the Bravais lattice of the D.S. still is the fee, the

slip system of the D.S, is expected to be similar to that of the fee. Therefore, one expects

the D.S. to glide on {111} planes in <110> directions. Indeed, experimental results have

222 Appendix C

shown that the {111} planes are the slip planes in silicon[lJ and germanium[l] and that

the slip direction is <110>.

.B. Perfect dislocations in the D.S.

Perfect dislocations in D.S. have b= | - < 1 1 0 > Burgers vectors and {111} glide

planes[4]. The structure of perfect dislocations in the D.S. structure was first considered

by Honstra [5] in 1958. He described various types of dislocations such as the 60° and

the screw dislocation, among others. Fig. 2 a) shows the representation

made by Honstra of the 60° dislocation (60° is the angle between the dislocation line £

and the Burgers vector b). It is observed that a dense row of "dangling" bonds are

present along the edge o f the extra half plane. Fig. 2 b) shows the representation made by

Honstra of a 'screw dislocation. It is observed that the screw dislocation, contrary to the

60° dislocation, has no "dangling" bonds .

Fig. 2 a- continued

223 Appendix C

Fig. 2 a)-60° dislocation and b) Screw dislocation in D.S. Heavy lines indicate the extra half-plane. (According to Honstra[5])

More recently, Hirth and Lothe[4] proposed that, due to the double occupancy o f the fee

bravais lattice, there are two different sets of dislocations in the diamond cubic lattice,

with one member of each set having a Burgers vector identical to one dislocation in the

other set.

In order to see the distinction between these two sets of dislocations let us consider the

simple case of a 60° dislocation ( see Fig. 4 a). From' Fig. 4 a, it is observed that the extra

plane terminates between layers of the same letter index, i.e. B and b. Therefore, glide of

this dislocation will occur between layers B and b ( or equivalent pairs). However, it is

also possible that the extra plane, instead o f terminating between layers of the same letter

could terminate between layers of different index, for example between layers a and B

(see Fig. 3). In this case, glide of this dislocation will occur between layers a and B.

Hirth and Lothe, named these two different set of dislocations as being the "glide"

(dislocations which glide between layers a and B) and the "shuffle" ( dislocations which

glide between layers B and b) set. According to Hirth and Lothe, perfect dislocations of

224 Appendix C

the "glide" set can dissociate into glissible Shockley partials[6] whilst perfect dislocations

of the "shuffle"set can not dissociate directly into partials, because such dissociation

would produce a high-energy fault of the type:

CcAaB 1 ciBbCc

F i g . 3 - 6 0 ° d i s l o c a t i o n o f t h e " g l i d e " s e t ( A c c o r d i n g t o H i r t h a n d L o t h e [ 4 ] )

C. Partial dislocations

Frank[6] suggested that the stability of a dislocation depended on the strain energy

associated with it and that, in a first approximation, the energy associated with a

dislocation was proportional to the square o f its Burgers vector. He, therefore,

proposed that a dislocation with Burgers vector b2 could dissociate into two other

dislocations b2 and b3 if:

b\ > b \ + b \ (C l)

Appendix C225

The dissociation o f perfect dislocations into partial ( imperfect) dislocations occurs as a

method to reduce the energy of the dislocation according to the Frank criterion. The

dissociation process always creates a narrow stacking fault between the two partials. This

configuration is generally known as an extended dislocation. ( see Fig. 4)

Eq. (C l) is generally known as the Frank energy criterionf 6],

Fig. 4 - Dissociation o f a perfect dislocation into Shockley partials.

In the D.S. structure, Shockley partials[7] can be created by the dissociation of a perfect

(b < 110 >) dislocation o f the 'glide' set (see heading B).

The dissociation reaction can be written as:

i [ i i o ] ^ i [ 2 n ] + i [ i 2 J ] (C2)

Perfect Shockley Shockley

Another possible dissociation reaction, is the formation of a Frank sessile[8] and a

Shockley partial. This reaction can be written as:

^ < 110 >—> | < 111 > + | < 2 1 1 > (C3)

Perfect Frank Shockley

226 Appendix C

Experimental evidence o f the existence of extended dislocations in silicon [9] and

germanium [10] has been widely reported, confirming that dissociation of perfect

dislocations occurs in D.S. crystals.

Thompson tetrahedron

In fee and similar structures (such as the D.S)., dissociation reactions can be easily written

using the notation proposed by Thompson[ 11].

Thompson suggested that by joining the atoms in a one-eighth unit cell by straight lines, a

imaginary tetrahedron ( see Fig. 5) containing the four possible {111} glide planes could

be formed.

Fig. 5 - A one-eigth unit cell o f edge length l/2a0 o f an fee lattice, showing the Thompson tetrahedron ABCD.

From Fig. 5, it is easily observed that the edges of the tetrahedron correspond to the six

<110> glide directions of the fee structure. The atom at the origin is labelled D and the

others are labelled ABC in the clockwise order indicated in Fig. 5. The midpoints o f the

faces opposite to A,B, C and D are labelled a,p ,y227 Appendix C

and 8 respectively. If the tetrahedron is open up at D, it can be folded out into the planar

arrangement displayed in Fig. 6.[11]

As it is clear in Fig. 6, the letters a,b,c and d represent the {111} planes; the perfect

dislocations \ < 110 > are represented by pairs of Roman letters- for example y[011]

becomes BD; and Shockley partials are represented by Greek-Roman pairs, for example

|[211] becomes D(3. Finally, the Frank partials are represented also by Greek-Roman letters, for example j [ l 11] becomes 5D.

D

Fig 6- A Thompson tetrahedron opened up at a com er D.

228 Appendix C

Formation o f a SFT from a Frank loon.

Silcox and Hirsch [12] proposed that the stacking fault tetrahedron could be formed by

the expansion of a triangular Frank dislocation loop ( 5D) ( see Fig. 7). They proposed

that the <110> sides o f the triangular Frank loop could dissociate according to the

reaction:

6 D - + 5 P + PD (C4)

Frank stair-rod Shockley

where 5p (b= ^[110]) is a low energy stair-rod dislocation[12] and pD is a Shockley

partial. This dissociation reaction can take place at the three sides o f the triangular loop,

yielding the configuration of Fig. 7 b. According to Silcox and Hirsch the Shocldey

partials bows out in their slip plane as they are repelled by the stair rods. Taking into

account the fact that opposite ends o f the loops are o f opposite signs , the Shockley

partials attract each other in pairs to form stair-rods along DA, BA and CA according to

the reaction:

.pD + y D- >yp (C5)

Shockley Shocldey stair-rod

The attraction forces lead to the development o f configurations depicted in Fig. 7 c and

Fig. 7 d . Therefore, the final result is a tetrahedron bounded completely by stacldng

faults and stair-rod dislocations.

229 Appendix C

D

Fig. 7 - Various stages (a-d), in the formation o f a stacking-fault tetrahedron from a Frank dislocation loop (according to Silcox and Hirsch[12] )

230 Appendix C.

R eferences

[1] - C.G. Gallagher, Phys. Rev., 88, 721, (1952)

[2] - R .E. Peierls, Proc. Phys. Soc. 52, 23, (1940).

[3] - H. A lexander and P. H aasen, S o lid S ta te Physics Research, 28, page 2 2 (1968)•

[4] - J.P. H irth a n d J. L o th e in " Theory o f D islocations" second edition,

Ed. Wiley & So n s (1982 )•

[ 5 J -J . H onstra, J. Phys. Chem. Solids, 5 ,1 2 9 (1958).

[6 ]- F.C. F rank, Physica, 15 ,131 , (1949).

[7J- R.D. H eindenreich a n d W. Shockley in "report o f a Conference on Strength o f

So lids" Physical Society, L ondon , p a g e 57 (1948).

[8] - K. V Ravi in " Im perfections a n d Im purities in Sem iconductor S ilicon " ( Wiley,

N ew York, 1981). page 101.

[9] - E. Aerts, P. Delavignette, R. S iem s a n d S. A m elinckx, J. A p p l Phys. 33,

page 3078 (1962).

[10] - A . Art, E. Aerts, P. D elavignette and S. Am elinclix, Appl. Phys. Lett. 2, page 40,

(1963).

[11] - N . Thom pson - Proc. Phys. Soc. 66B, 481 (1953).

[12] - J. S ilcox a n d P.B. H irsch, Phil. M ag. 4, 72 (1959).

231 Appendix C

A p p e n d i x D

P r e p a r a tio n o f th in s a m p le s f o r T E M o b s e r v a tio n s .

1. Preparation o f silicon sam ples fo r plan vieiv observations.

The thin film silcon samples analysed by plan view TEM were prepared by the "float o ff1

methodfl]. Briefly the following sample preparation procedure was used:

1. Cleave SIM O X wafers into sm all samples ( typically 5x5 mm).

2. Immerse the S IM O X samples in HF(40% ) to dissolve the B O X layer (typically 8 hrs.)

3. R inse in D I water.

4. The silicon thin film flo a ts to the surface a n d is scooped up using a 3 mm Cu grid.

5. Remove the excess water using fil te r paper.

6. D ry in air and place a second g rid on top o f the support g rid and glue them with a

sm all spot o f silver dag.

232 Appendix D

The samples prepared for XTEM analyses were thinned to electron transparency using ion

beam milling[2]. Briefly, the procedure was as follows:

1. Cleave S IM O X wafers into sm all samples ( typically 3x10 mm2).

2. Glue two samples together ( top surfaces in contact) using an epoxy resin.

3. Cut the g lued sample into 500 p m thin sections using a diam ond saw.

4. G rind and polish the 500 pm sections down to a thickness o f about 50 pm.

5. M ount the 50 pm thin section onto a 3 m m Cu g rid using silver dag .

6. Load the sample into the ion beam miller ( M odel: Gatan 600).

7. B om bard the sample using a dua l A r+ beam accelerated to 5 k e V with an angle o f

incidence o f 15° until electron tt'ansparency o f the sample is obtained.

References

[1] -P.J. Goodhew in "Electron Microscopy and Analysis", page 66. Wykeham Publications (1975).

[2] - P.J. Goodhew, in " Practical Methods in Electron Microscopy: Thin Foil Preparation for Electron Microscopy" Editor : A.M. Glauert, Elsevier Science Publishers (1985).

2. Preparation o f sam vles fo r cross section observations .

233 Appendix D

A p p e n d ix E

D e n s i ty a n d le n g th o f th e O I S F in th e s a c r i f ic ia l o x id i s e d S I M O X s a m p le s .

A list of all the sacrificial oxidised SIMOX together with the length and density of OISF is

presented in tables 1 to 8. We also list the thermal oxide thicknesses, the estimated

number of silicon atoms consumed by the oxidation (Nox), and the estimated number of

interstitials captured by the OISF(NsiOISF, see section 8.2.2 for more details). In order to

facilitate the interpretation of the da ta , we have grouped the sacrificial oxidised samples

in different tables corresponding to different SIMOX wafers ( table 1 to 5). Moreover, in

table 6, 7 and 8, we group the sacrificial oxidised SIMOX samples (1100°C for 4 hours)

pre-amorphised with BF+2, Si+ and Ge+ ions, respectively. From table 1 to 5, it is

observed that the NSl0ISF values increase with temperature and vary significantly for

different wafers ( see section 8.2.2 for more details).

234 Appendix E

g£o°S o

% X0-3

1!

a25.

......

..10.9

40.5

50.4

50.4

10.2

4 |

0.41

:0.6

20.8

30.5 - 0.5

10.8

52.7

82.2 1.5

4

CN 2.65

(1.3

4

So* 0O __j

2 x

3.25

8.25

3.75 ft ft 00 8.5 9.2 CO rt- 4.5

|

OO CN 2.2 4.25

5.87

6.25

6.7 7.5 00

OIS

Fle

ngth

(urn

)

+!CN rttr cd 10±2 CNrt

ftCN-Hox 10

±3 CNrtO rtrt- rtXOrtvqxd

CNrt00VOvS

ftrtVO

CNrtvoCOrtXOCN

CNrtXDCNco-HoxCN

CO-HrX

ftrt»r.

OISF

de

nsity

xlO

2(c

m'2)

rtV-VCNrt CNrtOX 5

CNrtcd

rtrO CN 4±1

5±1 CNrtvx

CNrtCO 4±1 CN

COCNrtvo

rpft 4±

2 COrtCOCNCNrtrt

CNrtW~i 4±1

Si ov

erla

yer

afte

rox

idat

ion

(A)

1530

665

1440 o0000

OOO00 700 OCNXO 530

1580

1405

|13

20 710

1750

1730

J13

60

1 0801 10

10 930

800

490

Ther

mal

Oxi

deth

ickn

ess

(A)

; 13

0033

0015

0028

00 oo00CN 3200

3400

3600

1200

1600

I 0081 32

00 ooooOvooo 17

0023

5025

0027

0030

0037

00

Oxi

datio

ntim

e(h

rs.)

! 28

.5 09 xd 00 VDCO vxCNCN 24 27 CN CO xO XO 0.5 0.6 xq 2.5 2.9 3.5 rr vx

Oxi

datio

nte

mpe

ratu

re(°C

)

ooo

006 950

950

950

950

950

950

1000

IOOO

1000

1000

00110011 11

0011

0011

0011

00

0011 1100

Waf

er 5

Sam

ple

lBl/1

lBl/2

lBl/3

lBl/4

lBl/5

lBl/6

IB 1/

7B

l/8B

l/9lB

l/10

Ibi/

iilB

l/12

[Bl/1

3lB

l/14

lBl/1

5B

l/16

Bl/1

7B

l/18

B1/

19B

l/20

235 Appendix E

Tabl

e 1

-Lis

t of

sacr

ifici

ally

ox

idis

ed

SIM

OX

prep

ared

fro

m wa

fer

B1

(ini

tial

thic

knes

s:

Ti =2

100A

).

fc2°©

f e X

43 156

r 22

234

232

01

562

|44

4[

406

| 77

384

6703

|

540

| 226

0 j

O

00 1545

422 00

00 1020

118

2332

4728

4232

70

|

fe*u v1>CN

"eo

O 2Z X

1.25

2.5 3 .4 4.26

| 8.2

5

C n r - r - 00

1 8‘5

. 1

9.2 rO fe 4.55 00 UV

CSco 5.75

;

CSc s 4.4

0000uv 7.5 oo

OIS

Fle

ngth

(u

m)

0.2

(S©-H

uv©41uv 41CS nuv ±100

uvo

CS-H00

CS-HOn

UVo41f eoo

CS43O

c s

3 41oo i4100

uvo41fecO 12

±0.6

c s

to? 18±3

uy4?uyuvc s

c o4100c s

c s41UVCO

CO-Hv oCO

OIS

Fde

nsity

xlO

5(c

m'2)

-Hw 41fe- 41fe 41uv 41co 541uvCS 2±

1 h3fe 5±0.7 2±1 41fe

feO41uvfe

UV©41NOCS

uvo

4

CS©41feCO

UVo41uvCS

nuvCOCS41CO

uyo41uvfe 5 41CO41uvCO

Si ov

erla

yer

thic

knes

s(A

) 2200

, 20

00j

1900

| 17

50j

1280 900

1300

1300

1100

1020

190

019

70 oo00 1720

1100

I | 0C6I 150

0 J

2130

1174

0 I

1450

I13

0012

0011

00

Ther

mal

Oxi

deth

ickn

ess

(A)

500

1000 Ouvcn 1700

3300

3600

2800

2800

3200

3400

;36

0012

00160

0 i

oooo 3200

1300

2300 850

1750

2350

:27

00300

0 ;

3200

Oxi

datio

ntim

e(h

rs.)

cs o 24)

09

! 74 OO

uvoo 22.5 24 27 CS co V© VC CS 4.5 0.6

9'I 2.5 3.5 fe UV

Oxi

datio

nte

mpe

ratu

re(°

C) 90

090

0 ooCN

! 90

090

0 006 950

950

950

950

950

1000

1000

1000

1000

I10

20 oUVo 110

0 I

1100

1100

1100

1100

1100

Waf

er

CS

Sam

ple

B2/1

B2/2

B2/3

B2/4

IB2/

5B2

/6 cs

B2/8

B2/9

B2/

10B2

/11

B2/

12B2

/13

B2/1

4IB

2/15

B2/

16B

2/17

IB2/

18IB

2/19

B2/20

i

B2/2

1IB

2/22

B2/2

3

236 Appendix

Tabl

e 2-

List

of

sacr

ifici

ally

ox

idise

d sa

mpl

es p

repa

red

from

wafe

r B2

( i

nitia

l th

ickn

ess:

Ti

=250

0 A

).

eftSu. Owo

.- o o Z x

2.6 :

00

11.12

voz 25.4 44 11.9

30.15

[25

.2127

.23 20.3

j13

.5641.

32 j

CScswowo 13.82

42.16

)21 33

.6 O'00 72.06

]

O;b00 98.4

87.6

!61

.32

ot-* o

2 X

1.25

2.5 3.4 4.26

8.25 OX 3.75

7.25

9.2 CO 3.25 00 3.1

3 ;

5.75

2.2 ;

2-2

COcqO' 6.3 J

6.7 7.5 00 WXCSOx

pH ^£3 r | o 4a 3 |

0.3

cso-HWOb+1wq 41cs 5±

1 41wo 41coCO41xqv£>

CS41wxr-*CS41O 41wo

co

xob41vqCO41CS 2cs00

b41cqCO41CS 5x

1 ft3

cs41woCS 26±2

|

CS41XOCS

CS4100csCO41co

00CO41cqwx*CO

r A£3 « ® *E0 - 0 * 3

41CS acs ncs 3±1 41CS 41wx

VIb41

b41°ooob4)

wqb41wowqb41wo

cs41OXb

3csCOb41CO

cqb41wqb-HCS

wob41wqb41CS

cob$bCSb4100b

wqb41CS

o-b41CSCOo41CS

COb41o

Si ov

erla

yer

thic

knes

s(A

)

j 22

0020

0019

0017

5013

00 900

1850

1300

1230 900

1980

1930

1800 wo0\

1950

|150

0 j

2150

|21

5017

3014

20132

0 1

1200

1100

I89

0

Ther

mal

Oxi

deth

ickn

ess

lA)_

____

_50

0 0001 1350

1700

3300

3600

1500

2800

2900

3600

1200

1300

1600 oooco 1250

123

00 850

j85

017

5025

0027

00300

0 I

3200

3500

Oxi

datio

ntim

e(h

rs.) CS O 24 36 60 74 6.5 00 WOOO* 27 CS 2.2

5 xo

2.25

4.5 :

wqb 0.6

1

xq

2.5 |

3.5 |

WO

Oxi

datio

nte

mpe

ratu

re(°

C) 90

090

0 006 900

900

900

950

950

950

950

1000

:10

0010

00100

0 I

ocso 1050

1100

j110

0 j

1100

! OOII 11

0011

0011

0011

10

Waf

er B3

Sam

ple

|B3/

1B3

/2B3

/3B3

/4B3

/5B3

/6B

3/7

IB3/

8IB

3/9

B3/1

0IB

3/11

B3/

12|B

3/13

IB3/1

4 I

IB3/

15IB

3/16

[IB

3/17

|IB

3/18

|IB

3/19

1IB

3/20

IB3/

21IB

3/22

B3/2

3B3

/24

237 Appendix E

Tabl

e 3-

List

of sa

crifi

cial

ly

oxid

ised

sam

ples

pre

pare

d fro

m wa

fer

B3

J o fe X r

34 i

9.8 VO ____

__J

cncs 18

.6 CO 11.6

'1

23.8 30 25.8

j33

.222

.629

.628.

7 j

fe00*cs 32 46 cnfe 89 104.4

|52

[75

.493

.6 fec-

ClSoc-

£ X 1 3.2

5 UV<N00 o r- 00

1 .

8‘5 ..

.9.2 2.7 CO 3.1

2 fe 4.25

4.5 4.55

i

00 00 3.13 fe cs UVCSfe 5.9 6.25

6-7 1

7.5 _

]

00

OIS

Fle

ngth

(gm

)

vo©A

4©uv

cs3uv

3K

1 10

±2|

11±2 CS41CS

UV©41COcs

CS41cofe

uv41feuv

CS41COVO 7±

2 CS41COs

fe©41fevd

CO41csvo

vq©41CSoo’41uv 41VO

cs©4!S

UVCS410000cn4VDcnCS

CS4VOcsCS400cs 30±

3 ,

vqcs4VOrV

OIS

Fde

nsity

(cm

'2) 1

uvr--Huv

CSoto?

cso4100o

uv©41CS

CSo2

41uvcs

cso41vouvo4100

fe©4100r-o’41fe

UVO4100vqo41cs

4100COo4CO

feo41ooUV©41cs

4100cs

UN©41fe

r-©4oo

VDO4

CS

fe©41fe©41fe

feo4©4fe

Si ov

erla

yer

thic

knes

s(A

)

, 15

30 665

J 90

0 006 !

700

620

530

1620

1580

1550

1400

1350

1320

1300

700

|700

[

1560

1140

0 [

1750

j135

0 I

©00© 1020

|92

080

070

0

Ther

mal

Oxi

deth

ickn

ess

(A)_

____

__13

001

3300

2800

1 28

00 ©©CScn©ofem 36

0011

0012

0012

5016

0017

00 ©©00 18

25320

0 |

3200

1250

1

0091 o©00 1700

|235

0 I

2500

1270

0 1

3000

I32

00

Oxi

datio

ntim

e(h

rs.)

1 28

.5 60 00 18.5

L 22.

5 I

24

LZ 1.45 CS 2.2

5 j

fe UV 5.75 vo

UVfeuv vo cs m 0.5 vq 2.5 2.9 3.5 fe UV

Oxi

datio

nte

mpe

ratu

re(°

C) 85

0j

900

; 95

095

095

095

095

010

00100

0 1

1000

1000

0001 1000

I 0001 100

0 I

1000

I102

0 1

1020

1100

111

00110

0 1

1100

I O

OU 11

0011

00

Waf

er B4

Sam

ple

IB4/

1|B

4/2

[B4/

3(B

4/4

IB4/

5IB

4/6

IB4/

7IB

4/8

B4/9

IB4/

10|B

4/11

(B4/

121B

4/13

|IB

4/14

IB4/

15[B

4/16

IB4/

17 00r-HP2 B

4/19

|B4/

20B4

/21

B4/

221B

4/23

IB4

/24

|B4

/25

238 Appendix E

Tabl

e 4-

List

of sa

crifi

cial

ly

oxid

ised

sam

ples

pre

pare

d fro

m wa

fer

B4

(ini

tial

thic

knes

s,

Ti =2

100

A).

&. OVi oo O

% X5.2

0000

7.23

4.72

10.93

|10

.97.2

310

.311.

5 ;

XOCNft 11.5

\ 11

.37 15.6

j8.5 6.6

9!3

.5 CNONCN 33.2

|

ftCNm 42.16 37.6 52 43.7

"gor-g o

8.25

3.7 6.62 ft 00 00 vxod

[ 9.2 2.8

8 cn 3.25 rt- 00 5.75 CN 2.2 4.2 4.25 vx 5.88

6.25

6.7 00

OIS

Fle

ngth

(pm

)

VXo-Hpvx

00ortvxcdrtvxXO

CNrtvxvxrto

00o4ON

ftOrtcnodCN41CN

vxOrtcnCN 4±0.5

VXrtvxvx

3vxrt*rt00ft

rtCNvxCNrtO nrt*

r-.3 16

.2±2 VXCNrt00

CNrtvxONCN-HVXCN 27±

4 |

cn41VX00CNCN41VXm

OIS

Fde

nsity

xlO

3cm

'2

CNrt00COrtCN CNrtXO

(OrtvxCNrtOO

CNrtCNxortCNft

CNrtOX00 16±3 rtVO

vxrtft

CNrt00cn3xd

rtxoftCNrtrt-

cn41OCN4100xd

CNrtftCNrtft rt00

CN41ftcnrto rt00

Si ov

erla

yer

thic

knes

s(A

)

665

1 14

50 OvxON

088 700

700

620

530

1 16

0015

5015

3014

00 700

;11

00175

0 ;

ocnft 1360

1350

1230

|108

0 1

1020

j93

0 oo00

Ther

mal

Oxi

deth

ickn

ess

(A)_

____ ooCOCO

1 14

751

2650

| 28

001

3200

3200

jI

3400 ooxocn 1150

J12

0013

00160

0 I

3200

1230

0 ! '

008 ovxOO 1700

1700

2000

j23

50250

0 |

2700

3000

Oxi

datio

ntim

e(h

rs.)

____

____

_

09

] 6.5 17

.5 VXCO 22.5

| 22

.6 24 27 1.75 CN 2.25 rt-

vxftvx in■rt 0.5 0.6 vx xo CN 2.5 j

2.9 3;5 rt*

Oxi

datio

nte

mpe

ratu

re(°C

)

006 ovxo\ 950

950

i 95

095

0|

950

950

1000

1000

1000

1000

1000

|105

0 1

1100

1110

0 j

1100

|11

00110

0 I

1100

111

0011

0011

00

Waf

er

vxCQ

Sam

ple

B5/1

B5/

2B5

/3IB

5/4

IB5/

5B5

/6B

5/7

B5/

8B5

/9 !

B5/

10B5

/11

B5/

12B5

/13

B5/

14B5

/15

B5/

16B

5/17

B5/

18B

5/19

B5/

20B5

/21

iB5

/22

|B5

/23

239 Appendix E

Table

5-

List

of sa

crifi

cial

oxid

ised

sam

ples

pre

pare

d fro

m wa

fer B

5 (i

nitia

l th

ickn

ess:

Ti

-210

0 A)

.

csaft oMO

- S o" ■—t£ x

L'ZZ'Z

5.45

43.3

940

3045

81.5 OoorH 408

4650

+To 1— 1 X cn

vnO«—HXONONr-H

OvO 82

oONr—i 273

•rfol“H£ooi—i

N-or-HXf t

r f

**

IN

g

J ^

NOrf

OISF

de

nsity

xl

03cm

"2

i—io'-Hino’

C N

o'

o'o' + i—H

H'-Hoo

(Nor—4X ✓—\ VOo'-Hd

COoi—iX /—\ in-Hcoi—i

ONHHor -H

CO-HONONh*r-H-HOm

OXoXino'-Hr-H

O!— 1Xino'-Hvooo

toor-HX ✓—■n-Hinm

in41oONh-+1mr -H

CO-HONON

oON+1Oin

o(H_X_i—i-Hoo

nvO--\cn -H o ON v_'

**

Oxide

tim

e (h

rs)

f t -

Oxid

ation

tem

pera

ture

(°C)

oor—I r-H

csgs-

<D Ot/1O X Q w

00o' - CN <n 00 oi—i 00o - ON •n oo or H

00o - 04 in 00 oH o

O N

Ener

gy(k

eV)

oftON

Ion

impl

anted

pq

SIM

OXw

afer

r-HPQ - * = = = CLcoPQ= = = = B oi

PQ= = = = = =

Sam

ple

rbtinear-H

pq

1— 1§

G9t—(PQ

r—i COts§S

>—i4

ear—tPQ

r-H

n"'cs£-tG3i—iPQ

i—H

VO

eai—iPQ

1—I r-H

eacnCQ

r-H

tfteaCOPQ

critfteacnCQ

tTeacnPQ

nMtneacoPQ

3S ’69cnPQ

r-H

§PQ

r-H

ON

heaH*PQ

r-H

co

§PQ

hi§PQ

r-H

<ri

eaH*PQ

<-(

tT§PQ

r-H

f t

tfteaPQ

240 Appendix E

Tabl

e 6

- Li

st

of sa

crif

icia

l ox

idis

ed

sam

ples

im

plan

ted

with

B

F*2

ions

.

CN6

s o■US r <X >4

13.6

LZ

L

8.75

601

601

rt-o'■—i 11

.5

8.2

12.6

14.7

tsor—1XN©

CNot-HXO'Nf

*-* **

CN'Boft

X X

4.6

\n § V •o gfe 2 O x

NO0 -HI©01

c©o ’-Hc©c©

n©O-Hv°.

c©O-H001

•©o'5001

c©O-HON

i©c©0-H( I01

rto-Hi©

NOo ’-Hr©oi

c©0 -H o-01

tsO51o '+1oI©,

tsoX/•—"N01 1-Hopi,

** *

Oxi

datio

n tim

e (h

rs).

Oxi

datio

nte

mpe

ratu

re(°C

)11

00

cs"*"'So

to 1Q S

i—< CN '© 7.5 © I—H 20 30 50

09 ooo oo 250

300

500

Eenr

gy(k

eV) oON

Ion

impl

ante

d

+c7>

SIM

OX

waf

er B4(

2)

Sam

ple

B4/

Si7l

/1

B4/

Si+/2

/l r-HCOf

« B4/

Si73

/1 rHrt?fiHCO©-pq

r—l

00rt?PQ B4

/S17

6/1 o+c©

4PQ B4/

Si78

/1

B4/

Si79

/1

B4/

Si7l

0/1

B4/

Si7l

l/1 CN+Pc©7PQ B4

/ST/

13/1

241 Appendix E

Tabl

e 7-

List

of sa

crifi

cial

oxi

dise

d sa

mpl

es p

revi

ously

im

plan

ted

with

Si i

ons.

'aoo§ o

1<25 X

roVDt—tINoor-H

voDfr—<oCDl—l

ooCDi—<CDVOi-H

INn-i—H

VO >— 1oo

Clor-HXINVO

cVor—1XinCD

** vo4in

vo4>n

CN00 N- vq4in

OnOr—HOn O !—<

VDCNp-H

CDtNCN

(NO«—HXDfo’CD

-X-*

CSSo

x O o£ X

vo4 *

•I? — § s-a <->fe 2 o &

VOaCN

-HCDCN“HCO -Hvo

<kD-H«Nr—<

cn+oCNino'-Hoor—t

ino’-Hi—i

C©or—(X /—> in N* O4inNf»—i

toOr-'MX>n-Hor-H

** in-HoCN-Ho -Hin

CN+1OO

■—ia

in-HoCNCN41oCN

r-H-HCDCN

i—io’-Hino

or-HXCDo’-HCDr-H

**

Oxida

tion

time

(hrs.

) re-

Oxida

tion

tempe

ratu

re

(°C

)___ oo

"gO0 <D TU to v—'& s

>Dcn

uo VIN O >DCN CD i> oCN 'nCN oID •nCN ID <DN o IDni *D N oCN incN oCD

W w

ocncn

Ion

impl

anted

4<DO

SIMOX

wa

fer sCDPPCNNPQ

4k&reoo

r-H

+0>0CDPQ

r-H

CN+<DoCDPQ

r-HCO+<DoCDPQ

r*HN+<D0CDPQ

in+<POCDPQ

rHvo+<uOCDPQ

N+<DOCDPQ

00+<uOCDPQ

i—IOn+<uOCDPQ

r-Hor—H

UOCDPQ

r—H

+<D0CDPQ

+<DO4PQ

i-HCN\>o4PQ

i-HCD+04PQ

»—i4-V04PQ

>n+<u04PQ

©+<D04PQ

\>04PQ

r-H

oo\>0NPQ

I—1ON+<D04PQ

Or-HU04PQ

\>0

PQ

242 Appendix E

Tabl

e 8

- List

of

sacr

ifici

al o

xidi

sed

SIM

OX

sam

ples

pre

\nou

sly

impl

ante

d wi

th G

e+ io

ns.

P u b lica tio n s

Part of this thesis has been published in several conferences and journals:

1. L.F. Giles, T.W. Fan, A. Nejim and P.L.F. Hemment in " Delineation of defects in SIMOX structures using a chemical etching technique." Vaccum 43(4) 297 (1992).

2. L.F. Giles, A. Nejim and P.L.F. Hemment in " New etchant for crystallographic defect studies in thin SOI materials (< 1000 A)", Electronics Letters 29(9) 788 (1993).

3. L.F. Giles, A. Nejim and P.L.F. Hemment in " New etchant for very thin SIMOX structures", Materials Chemistry and Physics, 35 129 (1993).

4. L.F. Giles, C.D. Marsh, A. Nejim, P.L.F. Hemment and G.R. Booker in " Crystallographic defect studies in SIMOX material thinned by sacrificial oxidation", Nucl. Inst. Methods in Phys. Researchs B84 242 (1994).

5. L.F. Giles, A. Nejim, C.D. Marsh, P.L.F. Hemment and G.R. Booker in " Formation of oxidation induced stacking faults during sacrificial thinning of SIMOX materials", Proceedings of the 1993 IEEE International SOI Conference, page 54 (1993).

6. L.F. Giles, A. Nejim, F. Cristiano and P.L.F. Hemment in " Nucleation of OISF in SIMOX materials", Proceedings of the 1994 Eletrochemical Society Conference, San Francisco, USA.

7. L.F. Giles, N. Meyyappan, A. Nejim, F. Cristiano and P.L.F. Hemment in "Delineation of crystallographic defects in low energy low dose SIMOX materials", Proceedings of the 1994 Electrochemical Society Conference, San Francisco, USA.

8. L.F. Giles, F. Cristiano, A. Nejim, G. Curello and P.L.F. Hemment in "Annihilation of SFT by ion implantation, Proc. IIT 94 conference, May 1994 (to be published in Nucl. Instr. & Methods).

9 A. Nejim, C.D. Marsh, L.F. Giles, P.L.F. Hemment, Y. Li, R.J. Chater, J.A. Kilner and G.R. Boker in " An investigation of the role of the time averaged ion beam current density upon the defect densities in thin film SIMOX" in Nucl. Inst. Methods in Phys. Researchs B84 248 (1994).

10. A. Nejim, Y. Li, C.D. Marsh, P.L.F. Hemment, R.J. Kilner, L.F. Giles and G.R. Booker in " Process optimisation for direct formation of device worthy thin film SIMOX structures using 90 KeV oxygen implantation", Nuclear Inbstruments and Methods B74 170 (1994).

243 Publications