euv source for high volume manufacturing: performance at ... · 2017 source workshop, dublin,...

63
2017 Source Workshop, Dublin, Ireland, November 7 th EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling Igor Fomenkov ASML Fellow

Upload: phungthuan

Post on 01-Jul-2018

221 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 Source Workshop, Dublin, Ireland, November 7th

EUV Source for High Volume Manufacturing:

Performance at 250 W and

Key Technologies for Power Scaling

Igor Fomenkov

ASML Fellow

Page 2: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

• Background and History

• EUV Imaging

• Principles of EUV Generation

• EUV Source: Architecture

• EUV Sources in the Field

• Source Power Outlook

• Summary

Outline

2017 SW

Public

Slide 2

Page 3: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Background and History

2017 SW

Slide 3

Public

Page 4: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Why EUV? - Resolution in Optical Lithography

2017 SW

Public

Slide 4

Critical Dimension:

𝐶𝐷 = 𝑘1 ×

𝑁𝐴

Depth of focus:

𝐷𝑂𝐹 = 𝑘2 ×

𝑁𝐴2

k: process parameterNA: numerical aperture: wavelength of light

KrF-Laser: 248nm

ArF-Laser: 193 nmArF-Laser (immersion): 193 nm

EUV sources: 13.5 nm

EUV sourceWafer stage

Reticle stage

theoretical limit (air): NA=1

practical limit: NA=0.9

theoretical limit (immersion):NA ≈ n (~1.7)

k1 is process parameter

traditionally: >0.75

typically: 0.3 – 0.4

theoretical limit: 0.25

Page 5: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 5

Public

EUV development has progressed over 30 yearsfrom NGL to HVM insertion

’84

1st lithography

(LLNL, Bell Labs,

Japan)

USA

40 nm hp

70 nm

L&S

Japan

80 nm

160 nm

NL

28 nm Lines and spaces

USA

5 mm

ASML starts

EUVL research

program

ASML ships 1st

pre-production NA

0.25 system

NXE:3100

ASML ships 1st

NA 0.33 system

NXE:3300B

NL

13 nm L/S

ASML ships 1st

HVM NA0.33 system

NXE:3400B

NL

7 nm and 5 nm

node structures

’85 ’86 ’87 ’88 ’89 ’90 ’91 ’92 ’93 ’94 ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08 ’09 ’10 ’11 ’12 ‘13 ’14 ’15 ’16 ’17 ’18

NL

19 nm Lines and spaces

ASML ships

2 alpha demo tools:

IMEC (Belgium) and

CNSE (USA)

USANL NL NL

Page 6: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

0

5

10

15

20

25

30

35

40

45

50

2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017

CD

(n

m)

EUV resist: 4x resolution improvement in ten years 12nm half pitch resolved with non-CAR resist on 0.33 NA EUV

ADT, NXE:3100, NXE:33x0, NXE:3400B as measured by ASML/ IMEC,

Exposure Latitude > 10% and / or Line Width Roughness < 20%, Dose ≤ 35mJ/cm2

ADT NXE:3100 NXE:33x0 NXE:3400B

Non-CAR resist

Half Pitch: 12nm

Slide 6

2017 SW

Public

Page 7: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

High-NA EUV targets <8nm resolutionRelative improvement:5X over ArFi, 40% over 0.33 NA EUV

2005 20151995 201019900.01

0.10

1.00

20202000

Year of introduction

Major technology step

(e.g. source, mirror)

EUV 0.33EUV >0.5

EUV 0.25

Engineering optimization of numerical

aperture resulting in a resolution step

comparable to historical wavelength

transitions

Slide 7

2017 SW

Public

i-Line 365 nm

PAS 2500/40

0.4 NA 700nm

PAS 5500/300

0.57 NA 250nm

KrF 248 nm

ArF 193 nm

AT:1100

0.75 NA 90nm

ArFi 193 nm

XT:1700i

1.2 NA 45nm

EUV 13.5 nm

NXE:3400

0.33 NA 13nm

Page 8: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 8

Public

EUV roadmapSupporting customer roadmaps well into the next decade

Overlay [nm]55 WPH 125 WPH 185 WPH145 WPH

NXE:3300B

NXE:3350B

NXE:next

High NA

NXE:3400B2017

2015

2013

Introduction

products under study

7

3.5

3

<3

<2At customer upgradable

New platform

Page 9: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

High-NA Field and Mask Size productivityThroughput >185wph with anamorphic Half Fields

Fast stages enable

high throughput

despite half fields

Thro

ugh

pu

t [3

00

mm

/hr]

Source Power/Dose [W/(mJ/cm2]

Throughput for various source powers and doses

0

20

40

60

80

100

120

140

160

180

200

0 5 10 15 20 25 30 35

500 Watt

30 mJ/cm2

0.33NAWS, RS current performance

WS 2x, RS 4x

HF

High-NA anamorphic

FF

2017 SW

Slide 9

Public

250 Watt

20 mJ/cm2

Page 10: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

EUV Imaging – NXE:3400B

2017 SW

Slide 10

Public

Page 11: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Overlay

Imaging/Focus

Productivity

NXE:3400B: 13 nm resolution at full productivitySupporting 5 nm logic, <15nm DRAM requirements

Resolution 13 nm

Full wafer CDU < 1.1 nm

DCO < 1.4 nm

MMO < 2.0 nm

Focus control < 60 nm

Productivity ≥ 125 WPH

Overlay set up

Set-up and modeling

improvements

Reticle Stage

Improved clamp flatness

for focus and overlay Projection Optics

Continuously Improved

aberration performance

New Flex-illuminator

Sigma 1.0 outer sigma,

reduced PFR (0.20)

Leveling (Optional)

Next generation UV LS

reduced process dependency Wafer Stage

Flatter clamps, improved

dynamics and stability

SMASH-X prepared

Metro frame prepared

for Smash-x

Slide 11

2017 SW

Public

Page 12: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

0

200,000

400,000

600,000

800,000

1,000,000

1,200,000

1,400,000

1,600,000

20

14

05

20

14

10

201

415

20

14

20

201

425

20

14

30

20

14

35

201

440

20

14

45

20

14

50

201

503

20

15

08

201

513

20

15

18

20

15

23

201

528

20

15

33

20

15

38

201

543

20

15

48

201

553

201

605

20

16

10

201

615

20

16

20

20

16

25

201

630

20

16

35

20

16

40

201

645

20

16

50

201

703

20

17

08

20

17

13

201

718

20

17

23

20

17

28

201

733

20

17

38

To

tal n

um

be

r o

f w

afe

rs e

xp

os

ed

Week

2017 SW

Slide 12

Public

>1.4M wafers exposed on NXE:3xx0B at customer sitesCurrently 15 systems running in the field. First system was shipped Q1 2013

>1.4 Million EUV Wafers at Customers

AL 2015

AL 2016

AL 2017

Page 13: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 13

Public

Significant progress in system availability is recognized

by our customers

Source: Intel and TSMC presentations

at EUVL Symposium 2016, and SPIE 2017.

Page 14: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Slide 14

13nm LS and 16nm IS: full-wafer CDU 0.3 nmmeets 5 nm logic requirements, with excellent process windows

13

nm

LS

lea

fsh

ap

ed

ipo

le16 n

m I

Ss

ma

ll-c

on

ve

nti

on

al

(s_

ou

t=

0.5

)

non-CAR resist

CAR resist

2017 SW

Public

Page 15: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

NXE:3400B illuminator: increased pupil flexibility at full throughput

Field Facet Mirror

Pupil Facet MirrorIntermediate

Focus

2017 SW

Public

Slide 15

Page 16: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

New flex illuminator on NXE:3400B13nm resolution without light loss at 20% pupil fill ratio

First illuminators qualified and

currently being integrated in a system

The animation shows the 22 standard

illumination settings. They are measured in the

illuminator work center, using visible light and a

camera on top of the illuminator

2017 SW

Public

Slide 16

Page 17: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Slide 17

Two-fold approach to eliminate reticle front-side defects

2017 SW

Public

1. Clean scanner

Reflected

illumination

EUV Reticle (13.5nm)

Reticle

2. EUV pellicle

particle

Pellicle

Without Pellicle With Pellicle

Page 18: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Slide 18

Pellicle film produced without defects that print

2017 SW

Public

Improvement from Q3 2016 to now

# o

f defe

cts

Defect size in um

Zero defects

450

400

350

300

250

200

150

100

50

0

> 40

> 25-40

> 10-25

Page 19: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 19

Public

ASML pellicle confirmed for use in NXE:3400B to at least 140W

Y-nozzle cooling can extend pellicle to >205W

NXE:3400B @ 140W

Power ramp in 4 steps: 95W, 115W, 125W, 140W

22nm PRP-i reticle with pellicle

0

20

40

60

80

100

120

140

160

0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000

So

urc

e p

ow

er

@ i

f (

W)

Wafer number

Pellicle removed from

scanner for analysis

Page 20: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Slide 20

DGL membrane as spectral filterlocated at Dynamic Gas Lock (DGL)

suppresses DUV and IR, plus removes outgassing risk to POB2017 SW

Public

DGL membrane (~ 50 x 25 mm)

>100x DUV suppression

>4x IR suppression

Effective DUV and IR suppression

Page 21: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

EUV: Principles of Generation

2017 SW

Slide 21

Public

Page 22: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Laser Produced Plasma Density and TemperatureNishihara et al. (2008)

EUV

LPP

Ion density ~ 1017 – 1018 #/cm3

Temperature ~ 30 -100 eV

Slide 22

2017 SW

Public

Page 23: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Fundamentals: EUV Generation in LPPLaser produced plasma (LPP) as an EUV emitter Slide 23

2017 SW

Public

30 micron diameter tin droplet

Focused

Laser light

electrons

tin ions

“ejecta”microparticles

tin vapor

1. High power laser interacts with liquid tin producing a plasma.

2. Plasma is heated to high temperatures creating EUV radiation.

3. Radiation is collected and used to pattern wafers.

Tin Laser Produced Plasma

Image

EUV

EUV

EUV

EUV

Dense hot

Plasma

Page 24: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Plasma simulation capabilitiesMain-pulse modeling using HYDRA

Main-pulse

shape

1D simulations are fast and useful

for problems that require rapid

feedback and less accuracy

3D:

+ real asymmetric

profile

2D and 3D

simulations are run

for the full duration

of the Main pulse.

Results include

temperature,

electron density,

spectral emission,

etc.

Target

Sn target using a real

irradiance distribution

1D:

real pulse shape

2D:

+ symmeterized

beam profile

Electron density (top half) with laser light (bottom half)

500μm500μm500μm

500μ

m

Po

we

r (a

rb. U

nits)

Time

2017 SW

Public

Slide 24

Page 25: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Simulation of the EUV source

The plasma code’s outputs

were processed to produce

synthetic source data. The

comparison to experiments

helps to validate the code and

understand it’s accuracy.

Measured Shadowgrams

Simulated Shadowgrams

Simulated EUV spectra

Emission anisotropy

Collector rim

Reflected laser modelingC

onve

rsio

n E

ffic

iency (

%)

Target Diameter (µm)

Flu

en

ce

(J/c

m2

/S/m

m)

Wavelength (nm)

Simulation

Conversion Efficiency

Slide 25

2017 SW

Public

Page 26: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

EUV Source: Architecture

and Operation Principles

2017 SW

Slide 26

Public

Page 27: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

• Key factors for high source power are:High input CO2 laser power

High conversion efficiency (CO2 to EUV energy)

High collection efficiency (reflectivity and lifetime)

Advanced controls to minimize dose overhead

Vessel

With Collector, Droplet

Generator and Metrology

Focusing Optics

Ele

ctr

on

ics

Ele

ctr

on

icsControllers for Dose

and Pre-pulse

*∝EUV power

(source/scanner interface, [W]) CO2 power [W]

Conversion

Efficiency [%]1 – Dose

Overhead [%] *

Pre-PulseIs

ola

tor

PreAmp PASeed

op

tics

op

tics PAo

pti

cs

op

tics PAo

pti

cs

Power Amplifier

Beam Transport SystemFab Floor

Sub-Fab FloorMaster Oscillator

PA op

tics

Pre-pulse

requires seed

laser trigger

control

2017 SW

Slide 27

Public

LPP: Master Oscillator Power Amplifier (MOPA)

Pre-Pulse Source Architecture

Page 28: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

NXE:3XY0 EUV Source: Main modulesPopulated vacuum vessel with tin droplet generator and collector Slide 28

2017 SW

Public

Droplet GeneratorDroplet Catcher

EUV Collector

Intermediate Focus

Page 29: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 29

Public

EUV Source: MOPA + Pre-Pulse

Pre-pulse transforms tin droplet into

“pancake/mist” that matches

CO2 main pulse beam profile

MOPA = Master Oscillator Power Amplifier

PP = Pre-Pulse

MP = Main Pulse

Droplet (<30um)CO2 beam

Pre-pulse

CO2 beam

Main pulseExpanded target matched to main pulse

>5% conversion efficiency achieved

Pre-Pulse

Droplet stream

~80 m/s

Dropletz (mm)

Pre-pulse laser

Expands the droplet and prepares the Sn target

Main-pulse laser

Heats and ionizes the Sn target to produce EUV light

Movie: Backlight shadowgrams

from a 3300 MOPA+PP source

Main Pulse

Page 30: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 30

Public

Forces on Droplets during EUV Generation

High EUV power at high repetition rates drives requirements for higher speed droplets with large space between droplets

Page 31: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 31

Public

Droplet Generator: Principle of Operation

• Tin is loaded in a vessel & heated above melting point

• Pressure applied by an inert gas

• Tin flows through a filter prior to the nozzle

• Tin jet is modulated by mechanical vibrations

Nozzle

Filter

ModulatorGas

Sn

0 5 10 15 20 25 30-10

-5

0

5

10

Dro

ple

t p

ositio

n, mm

Time, sec

140 mm 50 mm 30 mmPressure: 1005 psi Frequency: 30 kHz Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s

Pressure: 1025 psi Frequency: 50 kHz Diameter: 31 µm Distance: 821 µm Velocity: 41.1 m/s

Pressure: 1025 psi Frequency: 500 kHz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s

Pressure: 1005 psi Frequency: 1706 kHz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s

Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in

frequency modulation regime; the image on the right – with a simple sine wave signal. The images

were taken at 300 mm distance from the nozzle.

Short term droplet position stability σ~1mm

16 mm

Page 32: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 32

Public

Droplet Generator: Principle of Operation

Multiple small droplets coalesce together to form larger droplets at larger separation distance

Large separation between the droplets by special modulation

Page 33: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 33

Public

Droplet Generator: Principle of OperationLarge separation between the droplets by special modulation

Tin droplets at 80 kHz and at different applied pressures.

Images taken at a distance of 200 mm from the nozzle

1.5 mm

Increasing

Droplet Generator

Pressure

Page 34: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 34

Public

Collector Protection by Hydrogen Flow

Sn droplet / plasma

H2 flow

Reaction of H radicals with Sn to form SnH4, which can be pumped away.Sn (s) + 4H (g) SnH4 (g)

• Hydrogen buffer gas (pressure

~100Pa) causes deceleration of ions

• Hydrogen flow away from collector

reduces atomic tin deposition rate

Laser beam

IF

Sn catcher

DG

EUV collectorTemperature controlled

• Vessel with vacuum pumping to

remove hot gas and tin vapor

• Internal hardware to collect micro

particles

Page 35: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 35

Public

EUV Collector: Normal Incidence

• Ellipsoidal design

• Plasma at first focus

• Power delivered to exposure tool at second focus (intermediate focus)

• Wavelength matching across the entire collection area

Normal Incidence GradedMultilayer Coated Collector

Page 36: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Slide 36

Public

Productivity increases via source availabilitySecured EUV power is matched with increasing availability

Productivity = Throughput(EUV Power) Availability

Source power

from 10 W to > 250 W

Drive laser power from 20 to 40 kW

Conversion efficiency (CE) from 2 to 6% (Sn droplet)

Dose overhead from 50 to 10%

Optical transmission

Source availability

Drive laser reliability

Droplet generator reliability & lifetime

Automation

Collector protection

2017 SW

EUV Power= (CO2 laser power CE transmission)*(1-dose overhead)

Raw EUV power

Page 37: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

EUV Sources in the Field

2017 SW

Slide 37

Public

Page 38: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 38

Public

Productivity targets for HVMSource contribution to productivity

Conversion

efficiency

Dose

margin

Drive laser

power

Laser to

droplet

control

Optical

transmission

Overhead

optimization

Exposure

dose

Stage accuracy

at high speed

Automation

Collector

maintenance

Droplet

generator

maintenance

Drive laser

reliability

>1500 WPD

in 2016

Productivity

Page 39: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 39

Public

EUV Source operation at 250W with 99.90% fields meeting dose spec

Operation Parameters

Repetition Rate 50kHz

MP power on droplet 21.5kW

Conversion Efficiency 6.0%

Collector Reflectivity 41%

Dose Margin 10%

EUV Power 250 W

Open Loop Performance

BaselineImproved Isolation

Page 40: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

NXE scanner productivity above 125 wafers per hourNXE:3400B at 207W, 126 WPH

NXE:3400B ATP test: 26x33mm2, 96 fields, 20mJ/cm2

Slide 40

2017 SW

PublicT

hro

ug

hp

ut

[Wa

fers

pe

r h

ou

r]

110

100

90

80

70

60

50

40

30

20

10

02014Q1

2014Q2

2014Q3

2014Q4

2015Q3

2015Q4

2016Q2

2016Q4

2017Q1

NXE:3300Bat customers

NXE:3350BASML factory

NXE:3350Bat customers

130

120

2017Q3

NXE:3400BASML factory

2017Q3

NXE:3400Bat customers

NXE:3400BASML factory

(proto)

Page 41: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

0

20

40

60

80

100

120

140

2014 2015 2016 2017target

2018target

Thro

ugh

pu

t [W

PH

]at

20

mJ/

cm2

Productivity roadmap towards >125 WPH in placeSlide 41

Public

Source power increase Source power increase

Transmission improvement

Faster

wafer swap

Transmission

improvement

Source power scaling

continues to support

productivity roadmap

Slide 41

2017 SW

Public

resulting in

~8 wph gain

Page 42: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

>250W is now demonstrated,

shipping planned end of 2017

Progress for 2017: 250W demonstrated

∝EUV power

(source/scanner interface, [W]) CO2 power [W]

Conversion

Efficiency [%]*1 – Dose

Overhead [%] *

Increase average and peak laser power

Enhanced isolation technology

Advanced target formation technology

Improved dose-control technique

2017 SW

Public

Slide 42

System

Integration

08 09 10 11 12 13 14 15 16 17 18

Year

Dose c

ontr

olle

d E

UV

pow

er

(W)

0

25

50

75

100

125

150

175

200

225

250

Research

Shipped

Shipment in 2017

Page 43: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 43

Public

Power Amplifier Chain Increases CO2 Power Good beam quality for gain extraction and EUV generation

Key technologies:1. Drive laser with higher power capacity 2. Gain distribution inside amplification chain3. Mode-matching during beam propagation4. Isolation between amplifiers5. Metrology, control, and automation

0

5000

10000

15000

20000

25000

30000

35000

40000

0 50 100 150 200 250 300 350

PA

s o

utp

ut

(W)

Seed power (W)

Power Amplifiers

PA1

PA2

PA3

Page 44: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 20180

25

50

75

100

125

150

175

200

225

250

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

3400 MOPA+PP (research)

3400 MOPA+PP (Shipping 2017)

Do

se

co

ntr

oll

ed

EU

V p

ow

er

(W)

Year

0

0.5

1

1.5

2

2.5

Laser Gain (ratio to 3300 source)

3400

laser gain3300

laser gain

MOPA + Pre-pulse

Seed System with high

power pre-amplification

Scaling laser power requires laser isolation advances

From NXE:3300 to NXE:3400, enhanced isolation gives stable >2x increase laser gain

Unstable laser onset without enhanced isolation

MOPA + Pre-pulse

Seed System

with pre-amplification

Beam Transport &

Final Focus

VesselNXE:3300B Drive Laser

4-stage power amplificationImproved thermal

management

NXE:3400 Drive Laser

High-power 4-stage power amplification

+Enhanced

isolation

2017 SW

Public

Slide 44

Page 45: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

0

1

2

3

4

5

6

7

Conversion Efficiency vs target type

Convers

ion e

ffic

iency (

%)

2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 20180

1

2

3

4

5

6

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

3400 MOPA+PP (research)

3400 MOPA+PP (Shipping 2017)

Convers

ion E

ffic

iency (

%)

Year

Introduction

of prepulse

Advanced target

formation with

enhanced

isolation

Enhanced isolation leads to >205W EUV powervia advanced target formation for high CE

2017 SW

Public

Slide 45

Page 46: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Open Loop Performance >30%With enhanced

isolation

Before enhanced

isolation

Reduced

Sigma

3.9mJ5.1mJ

Benefits of enhanced isolation:

• Higher, stable CO2 laser power lower dose overhead

• High conversion efficiency operation higher pulse energy

Dose

ove

rhe

ad

requ

ire

d

to m

ee

t d

ose

sp

ec

Without

enhanced

isolation

30%

20%

10%<10.5%

~30%

With

enhanced

isolation

His

togra

m

His

togra

m

Enhanced isolation improves EUV performance

2017 SW

Public

Slide 46

Page 47: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Comparing two dose-control techniques at 210W:higher in-spec power with improved dose-control technique

0 500 1000 1500 2000 2500 3000 3500 40000

2

4

Time [s]

Dose E

rror

[%]

0 500 1000 1500 2000 2500 3000 3500 4000200

210

220

Time [s]

Pow

er

(M

ean)

[W]

0 200 400 600 800 1000 1200200

210

220

Time [s]

Pow

er

(M

ean)

[W]

0 200 400 600 800 1000 12000

2

4

Time [s]

Dose E

rror

[%]

Previous dose-control technique Improved dose-control technique

One Hour In Spec

209W, 61.6% die yield 210W in-spec

On the same EUV source, back-to-back performance comparing

previous and improved dose-control techniques demonstrates

higher in-spec power can be delivered with reduced overhead

2017 SW

Public

Slide 47

Page 48: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 48

Public

Productivity targets for HVMSource contribution to productivity

Conversion

efficiency

Dose

margin

Drive laser

power

Laser to

droplet

control

Optical

transmission

Overhead

optimization

Exposure

dose

Stage accuracy

at high speed

Automation

Collector

maintenance

Droplet

generator

maintenance

Drive laser

reliability

>1500 WPD

in 2016

Productivity

Page 49: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Third generation Droplet Generators: average lifetime increased

Run time ~ 2700 hours

Long runtime and high reliability70% reduction in average maintenance time

2017 SW

Public

Slide 49

Performance

parameterGen III

Key Features Restart & Refill capable

Run-time~780 hrs (Ave)

(2700 hrs max)

Start-up yield >95%

Availability 95%

Droplet

diameter27 µm

Runtime of the Droplet Generator

5

10

20

0

500

1000

1500

2000

2500

3000

2016 Q2 2016 Q3 2016 Q4 2017 Q1 2017 Q2 2017 Q3

Serv

ice

tim

e in

hrs

(in

clu

de

s B

tim

e)

Ru

nti

me

s in

Ho

urs

BIII (Field Best)

BIII Average

Service Time(Btime Included)

Page 50: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 50

Public

Hydrogen gas central to tin management strategy

Hydrogen performs well

for all these tasks!

Requirements for buffer gas:

➢ Stopping fast ions (with high

EUV transparency)

➢Heat transport

➢ Sn etching capability

High heat capacity High thermal conductivity

High EUV transparency

Page 51: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 51

Public

Primary debris

Primary debris – directly from plasma and before

collision with any surface:

➢ Heat and momentum transfer into surrounding gas

o Kinetic energy and momentum of stopped ions

o Absorbed plasma radiation

➢ Sn flux onto collector

o Diffusion of stopped ions

o Sn vapor

o Sn micro-particles

Sn

Sn

Sn vapor (diffusion debris)

Sn particles

Sn+ Fast Sn ions (line of sight debris)

Main

Pre

Droplets

Page 52: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 52

Public

3D measurement of fast tin ion distributions Faraday cups measure tin ion distributions

LASER

Ion measurements inform H2 flow requirements for source

Target direction

Laser direction

FC direction

Faraday cup

Red = moreBlue = less

Page 53: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 53

Public

Tin ion distributions

Data are used for optimization of H2 flow in the source

Page 54: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 54

Public

Microparticle debris from plasmaDark-field scattergraph imaging

dropletz (mm)

dropletx (mm

) and/or droplety (2 mm

)

2025

3035

242628303234363840

droplets

MP+PP

0

0.2

0.4

0.6

0.8

1

1.2

Fraction of pulses without microparticle debris

Research 3100

Page 55: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 55

Public

Plasma-generated self-cleaning

Elemental hydrogen (H*) reacts with tin (Sn) to form Stannane (SnH4) which is gaseous and is pumped out of the vessel. Sn (s) + 4H (g) SnH4 (g)

Page 56: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 56

Public

Collector Lifetime Continues to Improve>100 Gpulse to 50% EUVR

Collector reflectivity loss over time reduced to <0.4%/Gp

0 20 40 60 80 100 120 140 160 180 2000

10

20

30

40

50

60

70

80

90

100

110

Co

llecto

r R

efle

ctivity, %

No

rm.

Gigapulses

EUVL 2016

EUVL 2017

Page 57: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

EUV Source Power Outlook

2017 SW

Public

Slide 57

Page 58: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

2017 SW

Slide 58

Public

Collector protection secured up to 250 WCollector protection demonstrated on research tool

Page 59: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

0

1

2

3

4

5

6

7

8

9

EU

V a

t IF

(m

J)

Main pulse peak power at plasma (MW)

2017 SW

Slide 59

Public

Research progress in EUV sourceDemonstrated EUV pulse energy of 7.5mJ

Short burst EUV

demonstration

on research

platform

400W research platform

Average of 800

sequential bursts

3400 EUV

source

discussed in this

presentation

375W in-burst at 50kHz

Page 60: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Slide 60

Public

Summary: EUV readiness for volume manufacturing15 NXE:3XY0B systems operational at customers

Significant progress in EUV power scaling for HVM

- Dose-controlled power of 250W

- EUV CE of 6%

CO2 development supports EUV power scaling

- Clean (spatial and temporal) amplification of short CO2 laser pulse

- High power seed system enables CO2 laser power scaling

Droplet Generator with improved lifetime and reliability

- >700 hour average runtime in the field

- >3X reduction of maintenance time

Path towards 400W EUV demonstrated in research

- CE is up to 6 %

- In-burst EUV power is up to 375W

2017 SW

Page 61: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Acknowledgements:Slide 61

Public

Alex Schafgans, Slava Rokitski, Michael Kats, Jayson Stewart

Andrew LaForge, Alex Ershov, Michael Purvis, Yezheng Tao, Mike Vargas, Jonathan Grava

Palash Das, Lukasz Urbanski, Rob Rafac Joshua Lukens, Chirag Rajyaguru

Georgiy Vaschenko, Mathew Abraham, David Brandt, Daniel Brown

Cymer LLC, an ASML company, 17075 Thornmint Ct. San Diego, CA 92127-2413, USA

Mark van de Kerkhof, Jan van Schoot, Rudy Peeters, Leon Levasier, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur

Minnaert, Martijn van Noordenburg, Joerg Mallmann, David Ockwell, Henk Meijer, Judon Stoeldraijer, Christian

Wagner, Carmen Zoldesi, Eelco van Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger

Huang, Roderik van Es, Marcel Beckers, Niclas Mika, Hans Meiling, Jos Benschop, Vadim Banine and many others.

ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands

2017 SW

Page 62: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W

Acknowledgements:Slide 62

Public

2017 SW

Page 63: EUV Source for High Volume Manufacturing: Performance at ... · 2017 Source Workshop, Dublin, Ireland, November 7th EUV Source for High Volume Manufacturing: Performance at 250 W