public - photon factorypf · 2018-01-12 · public research progress in euv source demonstrated euv...

42
Michael Lercel December 2017, Tokyo Director, Strategic Marketing EUV lithography industrialization for HVM Public

Upload: others

Post on 28-May-2020

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Michael Lercel

December 2017, Tokyo

Director, Strategic Marketing

EUV lithography industrialization for HVM

Public

Page 2: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Outline

• NXE Roadmap

• NXE:3400B performance

• Reticle front-side defectivity

• EUV source roadmap

• EUV extendibility

December 2017

Public

Slide 2

Page 3: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

EUV development has progressed over 30 yearsfrom NGL to HVM insertion

’84

1st lithography

(LLNL, Bell Labs,

Japan)

USA

40 nm hp

70 nm

L&S

Japan

80 nm

160 nm

NL

28 nm Lines and spaces

USA

5 mm

ASML starts

EUVL research

program

ASML ships 1st

pre-production NA

0.25 system

NXE:3100

ASML ships 1st

NA 0.33 system

NXE:3300B

NL

13 nm L/S

ASML ships 1st

HVM NA0.33 system

NXE:3400B

NL

7 nm and 5 nm

node structures

’85 ’86 ’87 ’88 ’89 ’90 ’91 ’92 ’93 ’94 ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08 ’09 ’10 ’11 ’12 ‘13 ’14 ’15 ’16 ’17 ’18

NL

19 nm Lines and spaces

ASML ships

2 alpha demo tools:

IMEC (Belgium) and

CNSE (USA)

USANL NL NL

December 2017

Public

Slide 3

Page 4: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

~1.6M wafers exposed on NXE:3xy0B at customer sitesCurrently 15 systems running in the field. First system was shipped Q1 2013

2015

2016

2017

December 2017

Public

Slide 4

2018

Page 5: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Overlay [nm]55 WPH 125 WPH 185 WPH145 WPH

NXE:3300B

NXE:3350B

NXE:next

High NA

EUV extension roadmap

NXE:3400B2017

2015

2013

introduction

products under study

7

3.5

3

<3

<2

December 2017

Public

Slide 5

Page 6: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Outline

• NXE Roadmap

• NXE:3400B performance

• Reticle front-side defectivity

• EUV source roadmap

• EUV extendibility

December 2017

Public

Slide 6

Page 7: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

NXE:3400B: 13 nm resolution at full productivitySupporting 5 nm logic, <15 nm DRAM requirements

New Flex-illuminator

Sigma 1.0 outer sigma,

reduced PFR (0.20)

Overlay set up

Set-up and modeling

improvements

Reticle Stage

Improved clamp flatness

for focus and overlay

Projection Optics

Continuously Improved

aberration performance

Wafer Stage

Flatter clamps, improved

dynamics and stability

125WPH

Reduced overhead

Improved source powerOverlay

Imaging/Focus

Productivity

Resolution 13 nm

Full wafer CDU < 1.1 nm

DCO < 1.4 nm

MMO < 2.0 nm

Focus control < 60 nm

Productivity ≥ 125 WPH

December 2017

Public

Slide 7

Page 8: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Slide 8

Public

3400B illuminator: increased pupil flexibility at full throughput

Pupil filling improvement from 3300 to 3400 with approximately 20% higher

transmission or 10% higher throughput

NXE: 3300 NXE: 3400Tachyon

Page 9: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

lens distortion NCE (Z2/3)

Evolutionary improvements in EUV opticsenabling 7 nm and 5 nm nodes for imaging, focus and overlay

Entire 3xy0 population shows wavefront improvements

wavefront rms

systems

0.4

0.2

0.0

rms

[nm

]

systems

1.0

0.5

0.0

NC

E [

nm

]

NXE:3300B NXE:3350B NXE:3400B NXE:3300B NXE:3350B NXE:3400B

Source: Carl Zeiss SMT AG

December 2017

Public

Slide 9

Page 10: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

13 nm LS and 16 nm IS: full-wafer CDU 0.3 nmmeets 5 nm logic requirements, with excellent process windows

13

nm

LS

lea

fsh

ap

ed

ipo

le

16

nm

IS

sm

all

-co

nve

nti

on

al

0.0

0.2

0.4

0.6

0.8

1.0

A B C D E F G

Intrafield CDU

Full wafer CDU

Inpria YA seriesDose 34 mJ, LWR 3.8 nmDOF 160 nm, EL 20.7%

0.0

0.2

0.4

0.6

0.8

1.0

A B C D E F G

Intrafield CDU

Full wafer CDU

CAR EUVJ-4051Dose 58 mJ, LWR 3.2 nmDOF 140 nm, EL 18.7%

December 2017

Public

Slide 10

Page 11: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Proximity matching 3400-3350 well within specificationTool-to-tool matching is precondition to HVM

Higher flexibility of 3400 illuminator can be used to mimic all NXE:3350 settings

• Plus, throughput loss on NXE:3350 will be recovered for aggressive illumination modes

• Minor differences in lens optics are not significant factors in matching

December 2017

Public

Slide 11

Test item Unit Actual

16 nm

PBR

Proximity

bias range,

5 pitches,

uniformity in slit,

16 nm spaces

nm 0.4

16 nm

PBA

Proximity

bias average,

5 pitches,

16 nm spaces

nm 0.3

0.4

Delta P

BA

[nm

]

0.3

0.2

0.1

0

-0.1

-0.2

-0.3

-0.4

-0.5

P42-HP32-H P37-H P64-H P112-H P48-VP42-V P64-V P112-VP48-H

Pitch & orientation

3350-3350

3350-3400

3400-3400

Page 12: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

(1.9,1.9) nm

OVL (X,Y)

NXT:2000 MMO 1.8,1.6 nm

NXE:3400 MMO 1.2,1.3 nm

NXT to NXE matching 1.9,1.9 nm

• Setup done with new reference

wafers (4.2)

• NXT2000i layer exposed with

pellicle

• NXT (average population) lens

fingerprint correction via Reticle

Writing Correction simulated

• NXE at max scan speed (300mm/s)

• Overlay measured to reference

(MMO)

Results per wafer

NXT:2000i

NXE:3400B full wafer

1.9 nm Matched overlay NXT:2000i to NXE:3400BChampion data including lens fingerprint correction on NXT

December 2017

Public

Slide 12

NXT:2000 NXE:3400B

Page 13: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

0.0

2.0

4.0

6.0

8.0

10.0

12.0

A B C D E F G H

Multiple machines show < 6 nm focus uniformity

Specification uniformity and stability

Focus Uniformity

Focus stability 3 day

Fo

cu

s U

nif

orm

ity a

nd

fo

cu

s s

tab

ilit

y [

nm

]

NXE:3400B

Focus uniformityFocus Uniformity6 nm across wafer focus variation

December 2017

Public

Slide 13

Page 14: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Outline

• NXE Roadmap

• NXE:3400B performance

• Reticle front-side defectivity

• EUV source roadmap

• EUV extendibility

December 2017

Public

Slide 14

Page 15: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

1. Clean system (without pellicle)

Reflected

illumination

EUV Reticle (13.5nm)

Reticle

2. EUV pellicle

particle

pellicle

Two-fold approach to eliminate reticle front-side defects

Reticle

December 2017

Public

Slide 15

Reticle with pellicle

Page 16: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Slide 16

Public

1. Clean systemReticle front-side defectivity - Improvement categories

Avoid particle

generation in scanner

Clean environment

and reticle handling

Avoid that particles in scanner

reach critical areas

Page 17: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Slide 17

Public

1. Clean system4x improvement for reticle defectivity

Defe

cts

a.u

.

HVM

Flushing, POD

improvements

and shielded

reticle clamp

Scanner in-situ

cleaning and

hydrogen

curtains provide

~4x improvement

Page 18: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Improvement from Q3 2016 to now

# o

f defe

cts

Defect size in um

December 2017

Slide 18

Public

2. EUV pellicleToday: Pellicle film produced without defects that print

Zero defects

> 40

> 25-40

> 10-25

Page 19: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Slide 19

Public

2. EUV pellicleASML pellicle capability confirmed to at least 140W

22 nm Patterned Defect reticle exposed on NXE:3400B

0

20

40

60

80

100

120

140

160

0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000

So

urc

e p

ow

er

@ if

(W

)

Wafer number

Pellicle removed intact

from scanner for analysis

Defectivity wafers analyzed:

zero defect adders!

Enhanced cooling

enables >200W

usage

Defects analyzed

at seven instances

during marathon run:

zero adders

Page 20: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

EUV: Principles of Generation

2017 SW

Slide 20

Public

Page 21: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Laser Produced Plasma Density and TemperatureNishihara et al. (2008)

EUV

LPP

Ion density ~ 1017 – 1018 #/cm3

Temperature ~ 30 -100 eV

Slide 21

2017 SW

Public

Page 22: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Fundamentals: EUV Generation in LPPLaser produced plasma (LPP) as an EUV emitter Slide 22

2017 SW

Public

30 micron diameter tin droplet

Focused

Laser light

electrons

tin ions

“ejecta”microparticles

tin vapor

1. High power laser interacts with liquid tin producing a plasma.

2. Plasma is heated to high temperatures creating EUV radiation.

3. Radiation is collected and used to pattern wafers.

Tin Laser Produced Plasma

Image

EUV

EUV

EUV

EUV

Dense hot

Plasma

Page 23: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Plasma simulation capabilitiesMain-pulse modeling using HYDRA

Main-pulse

shape

1D simulations are fast and useful

for problems that require rapid

feedback and less accuracy

3D:

+ real asymmetric

profile

2D and 3D

simulations are run

for the full duration

of the Main pulse.

Results include

temperature,

electron density,

spectral emission,

etc.

Target

Sn target using a real

irradiance distribution

1D:

real pulse shape

2D:

+ symmeterized

beam profile

Electron density (top half) with laser light (bottom half)

500μm500μm500μm

500μ

m

Po

we

r (a

rb. U

nits)

Time

2017 SW

Public

Slide 23

Page 24: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Simulation of the EUV source

The plasma code’s outputs

were processed to produce

synthetic source data. The

comparison to experiments

helps to validate the code and

understand it’s accuracy.

Measured Shadowgrams

Simulated Shadowgrams

Simulated EUV spectra

Emission and debris anisotropy

Collector rim

Reflected laser modelingC

onve

rsio

n E

ffic

iency (

%)

Target Diameter (µm)

Flu

en

ce

(J/c

m2

/S/m

m)

Wavelength (nm)

Simulation

Conversion Efficiency

Slide 24

2017 SW

Public

Page 25: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Summary: Path from Technology development with

PPIM/MPIM to Industrialized module

Technology Development

System

Integration

Public

SD Proto: Manufactured and

stand-alone test in San Diego

250W achieved08 09 10 11 12 13 14 15 16 17 18

Year

Dose c

ontr

olle

d E

UV

pow

er

(W)

0

25

50

75

100

125

150

175

200

225

250

Research

Shipped

Data: Wk1720EUV Power History

Shipment in 2017

December 2017

Slide 25

250W with 99% die yield measured

Page 26: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

>250W is now demonstrated,

shipping planned end of 2017

Progress for 2017: 250W demonstrated

∝EUV power

(source/scanner interface, [W]) CO2 power [W]

Conversion

Efficiency [%]*1 – Dose

Overhead [%] *

Increase average and peak laser power

Enhanced isolation technology

Advanced target formation technology

Improved dose-control technique

2017 SW

Public

Slide 26

System

Integration

08 09 10 11 12 13 14 15 16 17 18

Year

Dose c

ontr

olle

d E

UV

pow

er

(W)

0

25

50

75

100

125

150

175

200

225

250

Research

Shipped

Shipment in 2017

Page 27: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

2017 SW

Slide 27

Public

EUV Source operation at 250W with 99.9% fields meeting dose spec

Operation Parameters

Repetition Rate 50kHz

MP power on droplet 21.5kW

Conversion Efficiency 6.0%

Collector Reflectivity 41%

Dose Margin 10%

EUV Power 250 W

Open Loop Performance

BaselineImproved Isolation

Page 28: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

NXE productivity above 125 wafers per hourNXE:3400B, 126 WPH at 207W using proto version Seed table Isolation Module

NXE:3400B ATP test: 26x33mm2, 96 fields, 20mJ/cm2

Th

rou

gh

pu

t [W

afe

rs p

er

ho

ur]

110

100

90

80

70

60

50

40

30

20

10

02014Q1

2014Q2

2014Q3

2014Q4

2015Q3

2015Q4

2016Q2

2016Q4

2017Q1

NXE:3300Bat customers

NXE:3350BASML factory

NXE:3350Bat customers

130

120

2017Q3

NXE:3400BASML factory

2017Q3

NXE:3400Bat customers

NXE:3400BASML factory

(proto)

December 2017

Public

Slide 28

Page 29: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Slide 29

Public

Productivity increases via source availabilitySecured EUV power is matched with increasing availability

Productivity = Throughput(EUV Power) Availability

Source power

from 10 W to > 250 W

Drive laser power from 20 to >20kW

Conversion efficiency (CE) from 2 to 6% (Sn droplet)

Dose overhead from 50 to 10%

Optical transmission

Source availability

Drive laser reliability

Droplet generator reliability & lifetime

Automation

Collector protection

2017 SW

EUV Power= (CO2 laser power CE transmission)*(1-dose overhead)

Raw EUV power

Page 30: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

0

1

2

3

4

5

6

7

Conversion Efficiency vs target type

Convers

ion e

ffic

iency (

%)

2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 20180

1

2

3

4

5

6

3100 NOMO (shipped)

3100 MOPA (research)

3100 MOPA+PP (research)

3300 MOPA+PP (shipped)

3400 MOPA+PP (research)

3400 MOPA+PP (Shipping 2017)

Convers

ion E

ffic

iency (

%)

Year

Introduction

of prepulse

Advanced target

formation with

enhanced

isolation

Enhanced isolation leads to >205W EUV powervia advanced target formation for high CE

2017 SW

Public

Slide 30

Page 31: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Open Loop Performance >30%With enhanced

isolation

Before enhanced

isolation

Reduced

Sigma

3.9mJ5.1mJ

Benefits of enhanced isolation:

• Higher, stable CO2 laser power lower dose overhead

• High conversion efficiency operation higher pulse energy

Dose

ove

rhe

ad

requ

ire

d

to m

ee

t d

ose

sp

ec

Without

enhanced

isolation

30%

20%

10%<10.5%

~30%

With

enhanced

isolation

His

togra

m

His

togra

m

Enhanced isolation improves EUV performance

2017 SW

Public

Slide 31

Page 32: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

2017 SW

Slide 32

Public

Collector protection secured up to 250 WCollector protection demonstrated on research tool

Page 33: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

0

1

2

3

4

5

6

7

8

9

EU

V a

t IF

(m

J)

Main pulse peak power at plasma (MW)

2017 SW

Slide 33

Public

Research progress in EUV sourceDemonstrated EUV pulse energy of 7.5mJ

Short burst EUV

demonstration

on research

platform

400W research platform

Average of 800

sequential bursts

3400 EUV

source

discussed in this

presentation

375W in-burst at 50kHz

Page 34: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Outline

• NXE Roadmap

• NXE:3400B performance

• Reticle front-side defectivity

• EUV source roadmap

• EUV extendibility

December 2017

Public

Slide 34

Page 35: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Source: Zeiss, “EUV lithography optics for sub-9 nm resolution,” Proc. SPIE 9422, (2015).

Public

High-NA optics design availableLarger elements with tighter specifications

NA 0.25 NA 0.33 NA >0.5 Design examples

Extreme aspheres enabling

further improved wavefront /

imaging performance

Big last mirror driven by

High NA

Tight surface specifications enabling

low straylight / high contrast imaging

Obscuration enables

higher optics transmission

Reticle level

Wafer level

December 2017

Slide 35

Page 36: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Public

Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6” masks

132 mm

x

y

Reticle layout compatible with

today 6” mask production

10

4 m

m

26 mm

33 mm

Reticle

Wafer

6” mask

x

y

Projection with 0.33 NA

Mag X: 4x

Mag Y: 4x

Source: Jan van Schoot, ASML, “EUV roadmap extension by higher NA”, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima

Slide 36

Page 37: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Public

Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6” masks

132 mm

x

Reticle layout compatible with

today 6” mask production

10

4 m

m

26 mm

33 mm

Reticle

Wafer

6” mask

Projection with >0.5 NA

Mag X: 4x

Mag Y: 4x

x

y

y

Large angles

result in low contrast

Source: Jan van Schoot, ASML, “EUV roadmap extension by higher NA”, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima

Slide 37

Page 38: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017

Public

Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6” masks

132 mm

x

Reticle layout compatible with

today 6” mask production

10

4 m

m

26 mm

16,5 mm

Reticle

6” mask

Projection with >0.5 NA

Mag X: 4x

Mag Y: 8x

x

y

Wafer

y

Source: Jan van Schoot, ASML, “EUV roadmap extension by higher NA”, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima

Slide 38

Page 39: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Anamorphic magnification solves the problem at the mask

Y0.33NA – Mag 4x

X

Y - 4x

0.55NA – Mag 4x

X

Y - 8x

0.55NA – Mag 4x/8x

Multilayer Reflectivity

0%

10%

20%

30%

40%

50%

60%

70%

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18

Angle of incidence on the mask [deg]

Multilayer

Re

fle

cti

vit

y [

%]

December 2017

Public

Slide 39

Page 40: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

December 2017>

Slide 40

Public

New CAR Resists: towards 16nm resolution at full throughput

21 mJ/cm2 achieved with good performance; Z-factor improved by 25%

Reference CAR1 CAR2 CAR3

SEM image

@BE/BF

Dose2Size

[mJ/cm2]42.2 26.3 20.9 20.1

LWR [nm] 4.3 4.8 5.5 5.5

ELLQD [%] 16.5 14.3 14.1 9.1

DOF [nm] 140 115 90 50

Z-factor

[mJ/cm2 * nm3]1.6E-08 1.2E-08 1.3E-08 1.3E-08

* Exposures performed on NXE:3xy0 with Dip90Y illumination

Page 41: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research

Conclusion

• Significant progress has been made in

all key areas towards insertion in HVM

• >125 WPH demonstrated on

NXE:3400B

• EUV lithographic performance results

confirmed:

• Imaging CDU 0.4 nm

• NXT to NXE overlay

matching 1.9 nm

• Roadmap exists to continue to scale

productivity

December 2017

Public

Slide 41

Page 42: Public - Photon Factorypf · 2018-01-12 · Public Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ Short burst EUV demonstration on research platform 400W research