wolstenholme chpt 1

50
AUGER ELECTRON SPECTROSCOPY

Upload: charlenekronstedt

Post on 16-Dec-2015

80 views

Category:

Documents


1 download

TRANSCRIPT

  • AUGER ELECTRON SPECTROSCOPY

  • AUGER ELECTRON SPECTROSCOPY

    Practical aPPlication to Materials analysis and characterization of

    surfaces, interfaces, and thin filMs

    JOHN WOLSTENHOLME

    MOMENTUM PRESS, LLC, NEW YORK

  • Auger Electron Spectroscopy: Practical Application to Materials Analysis and Characterization of Surfaces, Interfaces, and Thin Films

    Copyright Momentum Press, LLC, 2015.

    All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means electronic, mechanical, photocopy, recording, or any otherexcept for brief quotations, not to exceed 400 words, without the prior permission of the publisher.

    First published by Momentum Press, LLC222 East 46th Street, New York, NY 10017www.momentumpress.net

    ISBN-13: 978-1-60650-681-3 (print)ISBN-13: 978-1-60650-682-0 (e-book)

    Momentum Press Materials Characterization and Analysis Collection

    Cover and interior design by Exeter Premedia Services Private Ltd., Chennai, India

    10 9 8 7 6 5 4 3 2 1

    Printed in the United States of America

  • abstract

    Auger electron spectroscopy (AES) is capable of providing elemental composition and, in some restricted cases, chemical bonding information for the elements present near the surface of solid materials. The surface specificity of this technique is such that only atoms in the top 5 to 10 nm are detected. The great strength of AES is its ability to provide this infor-mation with excellent spatial resolution (down to

  • contents

    List of figures ix

    List of tabLes xxi

    foreword xxiii

    Preface xxv

    acknowLedgments xxvii

    chaPter 1 introduction 1

    chaPter 2 the interaction of eLectrons with soLid materiaLs 13

    chaPter 3 aes methodoLogies 65

    chaPter 4 instrumentation for auger anaLysis 89

    chaPter 5 auger eLectron sPectroscoPy in materiaLs anaLysis 127

    chaPter 6 anaLyticaL methods for the characterization of materiaLs 167

    aPPendix 1 abbreviations and acronyms 193

    aPPendix 2 Quantum numbers 197

    aPPendix 3 comParison of surface and thin fiLm anaLysis techniQues 201

    aPPendix 4 standardization in surface anaLysis 207

    aPPendix 5 sources of the figures 209

    further reading 211

    index 215

  • list of figures

    Figure 2.1. Types of electron and photon emission from a thin solid sample as a result of being bombarded by a beam of primary electrons. 14

    Figure 2.2. A schematic representation of the primary excitation volume at the surface of a solid irradiated by a beam of high-energy electrons. 17

    Figure 2.3. The effect of beam energy and the atomic number (Z ) of the sample on the shape and size of the primary excitation volume. 17

    Figure 2.4. The kinetic energy spectrum of electrons emitted from an aluminum sample during bombardment with a 3-keV primary electron beam. 18

    Figure 2.5. The proportion of electrons emitted from greater than a given depth (solid line) and the proportion of electrons emitted from less than a given depth (dashed line). The depth scale has been divided by the AL to make these curves independent of energy and material properties. This figure applies to those electrons that are emitted parallel to the surface normal. 21

    Figure 2.6. The variation of the AL with electron kinetic energy according to the Seah and Dench equation (dashed curve) and according to the more recent Seah equation for carbon (upper solid curve) and tungsten (lower solid curve). 22

    Figure 2.7. The origin of each type of radiation shown in relation to the primary excitation volume. 24

    Figure 2.8. The mechanism by which an Auger electron or an X-ray photon is emitted. Note that this is a schematic and simplified diagram, and the atom having the electronic configuration shown would be neon. Electrons occupying at least some of the M states should be assumed. 25

  • x LiSt Of figuRES

    Figure 2.9. Part of the Auger spectrum from copper showing the LMM region with the peaks annotated. 27

    Figure 2.10. The kinetic energy of Auger transitions as a function of atomic number. The dots indicate the kinetic energy of the most intense peak in the group and the lines show the minimum and maximum kinetic energy of transitions in the group. 29

    Figure 2.11. Auger spectra from a selection of elements showing the trends in the energy of the peaks as the atomic number increases. Spectra displayed in the direct mode. 30

    Figure 2.12. Auger spectra from a selection of elements showing the trends in the energy of the peaks as the atomic number increases. Spectra displayed in the differential mode. 31

    Figure 2.13. The four most common ways to display an Auger spectrum, in the case of as-received copper. 32

    Figure 2.14. The ionization cross-section, a generalized curve using the overvoltage, which is the ratio of the primary beam energy and the critical excitation voltage. 33

    Figure 2.15. The relative yield of Auger electrons (KLL, LMM and MNN) and X-rays (K, L and M) from an ionized, excited atom. 34

    Figure 2.16. The Cu LMM Auger signal following subtraction of a background. 35

    Figure 2.17. An example of a path of a primary electron that undergoes a series of inelastic collisions with the atoms in a solid. In this instance, an SE is emitted at each collision. An SE1 electron is emitted from a site close to the original point of impact, while an SE2 electron is emitted from a point some distance from the point at which the primary beam strikes the surface. The BSE is an electron from the original electron beam that has undergone one or more inelastic collisions and is emitted from the surface of the sample. 39

    Figure 2.18. Secondary electron current density distribution as a function of the distance of electron emission from the point at which the primary electron beam strikes the surface. 40

  • LiSt Of figuRES xi

    Figure 2.19. An example of the secondary electron yield as a function of the primary beam energy at a number of emission angles. The detailed shapes of these curves depend on the nature of the sample. 41

    Figure 2.20. The effect of tilting the sample on the volume from which SE can be emitted from the sample surface. 42

    Figure 2.21. The effect of the atomic number of the atoms in the sample on the yield of SE and BSE. 43

    Figure 2.22. The radius of BSE emission in comparison with the radius of electron penetration. 45

    Figure 2.23. Comparison of an SEM image (a) with a BSE image with all four quadrants positive (b) and with a BSE image with all four quadrants negative (c). 45

    Figure 2.24. Elastic scattering from an atom in the solid and the definition of the scattering angle, q. 46

    Figure 2.25. The energy loss occurring to electrons that strike atoms at a kinetic energy of 2 keV and are scattered through an angle of 120. 47

    Figure 2.26. (a) Synthetic electron scattering spectra showing peak positions and intensities expected from a sample of Al(OH)3. (b) Expanded version of (a) showing only the total spectrum and the hydrogen spectrum. Primary beam energy = 1.0 keV and scattering angle = 120 48

    Figure 2.27. Electron energy loss spectra from Al(OH)3, AlO(OH) and Al2O3, obtained using a primary beam energy of 1 keV. 49

    Figure 2.28. The energy loss from a primary electron as it causes an atom in the solid to become ionized. 51

    Figure 2.29. A REELS spectrum showing the energy loss associated with plasmon excitation in aluminum. 52

    Figure 2.30. REELS measurements from a silicon sample that has a layer of oxide at its surface. Spectra were acquired at a series of primary beam energies. 53

    Figure 2.31. REELS measurements taken with 1 keV primary electrons from a series of polymers. 53

    Figure 2.32. An illustration of how bremsstrahlung is produced. 54

  • xii LiSt Of figuRES

    Figure 2.33. Bremsstrahlung at a series of beam energies as a function of wavelength. The dotted line shows the position of the maximum in the spectrum as the beam energy is changed. 55

    Figure 2.34. X-ray spectra from a steel showing the characteristic peaks superimposed on the background bremsstrahlung radiation at beam energies between 5 and 25 keV. 58

    Figure 2.35. An illustration of the experimental method for obtaining XPS data from proximal emission of X-rays. 59

    Figure 2.36. Data produced from proximal emission of X-rays. The SEM image shows the copper-containing particle under investigation on top of an aluminum substrate. The wide range spectrum shows the presence of copper Auger lines as well as the Auger lines from the aluminum substrate. The spectrum on the right is a magnified area of the spectrum showing the Cu 2p XPS spectral lines. 60

    Figure 3.1. A means for storing or transporting a sample that avoids the surface to be analyzed coming into contact with the container. 68

    Figure 3.2. A spectrum of a steel acquired in its as-received state and following a brief etch using an argon ion beam. The upper pair of spectra are direct spectra and the lower spectra are differentiated spectra. Only the more major of the LMM and MNN peaks have been labeled. 71

    Figure 3.3. An illustration of the methods for obtaining signal intensities from (left) a direct spectrum and from (right) a differential spectrum. 74

    Figure 3.4. An illustration of the effect of resolution on the spectrum and the ability to resolve differing chemical states. This example is from Al foil with a thin oxide at the surface. (a) Scaling and offset applied to each spectrum so that the effect of analyzer resolution on peak shape can be seen clearly. (b) The same data as shown in (a) but without the application of scaling or offset to show the effect of analyzer resolution on relative signal intensity. 76

    Figure 3.5. The effect of emission angle on relative peak intensities for an aluminum sample that has a thin layer of oxide at its surface. 77

  • LiSt Of figuRES xiii

    Figure 3.6. An SEM image showing a small particle at the surface of a hard disk. The spectra are from the particle (upper) and the disk (lower). The spectra indicate that the particle is largely composed of silicon dioxide. Elemental images are shown to the right. 79

    Figure 3.7. Analysis of a small (

  • xiv LiSt Of figuRES

    Figure 3.16. Depth profile from an X-ray mirror, which consists of alternating layers of silicon and tungsten. The data were collected under conditions optimized for depth resolution. 88

    Figure 4.1. An example of a scanning Auger spectrometer. This one is based on a HSA. 90

    Figure 4.2. An example of a scanning Auger electron spectrometer based on a CMA and coaxial electron gun. 91

    Figure 4.3. A schematic energy versus distance diagram for electronic states near the solidvacuum interface of a metal. Ew is the work function of the metal. Two energy distributions are shown representing the energy distributions of electrons above the Fermi level. The darker distribution represents the situation at room temperature and the lighter one represents the distribution when the metal is heated to a high temperature. 94

    Figure 4.4. Emission current density as a function of temperature for a tungsten emitter and for a thoria-coated tungsten emitter, (a) on a logarithmic scale and (b) on a linear scale. 95

    Figure 4.5. Schematic diagram of an electron emitter module based on a tungsten filament. The photograph to the right is that of a typical filament module. The white ceramic filament support in this case is 12 mm in diameter. 96

    Figure 4.6 . The structure of a LaB6 emitter. 97Figure 4.7. The potentials near the tip of a field emission source. 98

    Figure 4.8. The arrangement of the electrodes and the electron trajectories for a field emission source, showing the relationship between the tip and the virtual source that is formed. 98

    Figure 4.9. The structure of a Schottky emitter. 99Figure 4.10. Illustration of the way in which an image potential

    is generated. 100Figure 4.11. The potentials near the tip of a Schottky

    emission source. 101Figure 4.12. Electron-beam spot size as a function of sample

    current for each type of source shown in Table 4.3. 103

  • LiSt Of figuRES xv

    Figure 4.13. The overall design of an electron optical column suitable for use in the electron source of an Auger spectrometer. In this diagram, a light-optics analogy is used, in which the lenses are represented as glass lenses. 104

    Figure 4.14. A simple, two-cylinder electrostatic lens shown in cross-section. 105

    Figure 4.15. (a) A simple magnetic lens shown in cross-section and (b) an illustration of the way in which the image plane is rotated with respect to the object plane. 106

    Figure 4.16. Designs for two types of magnetic lens: (a) is a suitable shape for a condenser lens and (b) is suitable for an objective lens. 107

    Figure 4.17. An illustration of the origin of spherical aberration and how this can be controlled using the lens aperture. 109

    Figure 4.18. (a) Astigmatism in a lens causing the position of the focal point to depend on the plane of the electron trajectories and (b) a common form of octopole stigmator. 110

    Figure 4.19. (a) The arrangement of deflector electrodes and the beam trajectory in an electron column that uses electrostatic deflection. (b) The beamscan or raster pattern used when acquiring an Auger image or an SEM image. (c) The arrangement used for scanning and deflection when a single set of deflectors is used ahead of the objective lens. (d) The arrangement used for scanning and deflection when two sets of deflectors are used ahead of the objective lens. Note that in (c) and (d) only the xz plane is shown, but there would be similar arrangements in the yz plane. 111

    Figure 4.20. Trajectories of electrons passing through a CMA. 113Figure 4.21. (a) Trajectories of electrons having kinetic energies

    of 550 eV, 500 eV and 450 eV passing through the CMA tuned for 500 eV electrons and (b) the effect of sample position on the energy of the detected electrons. 114

    Figure 4.22. CMA with a coaxial electron gun. 115Figure 4.23. A schematic diagram illustrating an arrangement

    that allows the CMA to be operated with improved energy resolution. 116

  • xvi LiSt Of figuRES

    Figure 4.24. Trajectories of electrons passing through an HSA in the dispersive plane. 117

    Figure 4.25. Trajectories of electrons passing through an HSA in the nondispersive plane and viewed from the detector side of the analyzer. 118

    Figure 4.26. HSA with multiple detectors, each detecting electrons of a different energy. 118

    Figure 4.27. Potentials applied to the hemispheres as a function of electron kinetic energy when using the CRR mode of operation. 119

    Figure 4.28. Arrangement of the components of a typical AES instrument based on an HSA. 120

    Figure 4.29. Auger instrument in which the vacuum system is surrounded by an acoustic enclosure. 123

    Figure 4.30. An ion source of the type commonly used on an AES instrument. 124

    Figure 4.31. The plan view of a CMA-based instrument showing the components required for Auger analysis as well as some of the optional equipment that can be fitted. 125

    Figure 5.1. (a) SEM image from a bond pad structure and (b) a silicon map of the same bond pad on which the three areas from which spectra were extracted are marked. Electron beam energy: 10 keV and current: 10 nA. 129

    Figure 5.2. (a) The average Si KLL spectrum from the whole area of the image shown in Figure 5.1b. (b) The spectra extracted from the three areas marked in Figure 5.1b. Area 1 is silicon oxynitride, Area 2 is elemental silicon, and Area 3 is silicon in the form of a silicide. Data are acquired using the analyzer set to an energy resolution of 0.1%. 130

    Figure 5.3. Chemical state images extracted from the spectra acquired at each pixel of the image. The images are: (a) silicon oxynitride, (b) elemental silicon, and (c) a silicide. 131

    Figure 5.4. Secondary electron images of a semiconductor structure following the deposition of poly-silicon. Images are shown

  • LiSt Of figuRES xvii

    at both low (left) and high magnification. A cross-section through the device and a contaminating particle at its surface has been cut using a FIB. 132

    Figure 5.5. Scanning Auger maps of the cross-section shown in Figure 5.4. 132

    Figure 5.6. Depth profiles from a superlattice structure obtained using two different ion energies for sputtering (500 eV for the profile on the left and 100 eV for the profile on the right). 133

    Figure 5.7. Images from a cross-section of a GaAs/AlAs superlattice structure. The image on the left shows the secondary electron image, where layers of three different thicknesses are clearly visible, the white rectangle shows the area imaged in the middle secondary electron image, and the gallium Auger image is on the right. 134

    Figure 5.8. A line scan that plots the intensity of the gallium signal as a function of distance across the 10-nm layers in the superlattice structure. 134

    Figure 5.9. A depth profile through a nickel layer on a silicon substrate (left) and spectra of silicon (middle) and nickel (right) acquired over a large area in the nickel layer and in the substrate. 135

    Figure 5.10. A secondary electron image of the nickel on a silicon sample following the depth profile acquisition and a pair of spectra taken from the particle visible in the image (point 1) and the surrounding area (point 2). 136

    Figure 5.11. Spectra from TiN and Ti (left), and the depth profiles constructed using the energy regions indicated on the spectra. 137

    Figure 5.12. Left: the basis spectra acquired from the sample during the profile. Right: the depth profile of the titanium chemical states constructed from the data using LLS fitting. 138

    Figure 5.13. Full depth profile constructed using the LLS fitting procedure. 139

    Figure 5.14. Secondary electron image showing the grain structure in a duplex steel and the positions of two points from which the spectra on the right were acquired. 140

  • xviii LiSt Of figuRES

    Figure 5.15. Elemental Auger maps from chromium and iron taken from the duplex steel. 141

    Figure 5.16. SEM and elemental Auger images acquired from the fractured surface of the iron. 142

    Figure 5.17. Spectrum taken from the tin-coated crater visible in Figure 5.16. 143

    Figure 5.18. SEM and elemental Auger images acquired at high magnification from the fractured surface of the iron. 144

    Figure 5.19. Auger maps of Fe, Cr, and Sb from the fractured surface of the failed rotor blade. 146

    Figure 5.20. Auger spectra from the four labeled points shown in Figure 5.19. 146

    Figure 5.21. An Auger electron spectrometer fitted with a fracture stage mounted on an UHV preparation chamber (left) and an EDS spectrometer mounted on the analysis chamber (right). 147

    Figure 5.22. Auger (left) and EDS (right) spectra from a stainless steel weldment. The upper spectra are from the hot-cracked region of the sample and the lower spectra are from the brittle fractured surface. 148

    Figure 5.23. (a) Cross-section of a ball-milled crater in an oxide layer on a metal. (b) Cross-section through a similar sample following angle lapping. 151

    Figure 5.24. Secondary electron image of a steel following immersion in an electrolyte for sufficient time for its corrosion to become visible. Auger spectra are taken from the areas marked as anodic and cathodic on the image. 153

    Figure 5.25. Auger depth profile through DLC coating on a silicon substrate clearly showing the composition of the intermediate layers. 155

    Figure 5.26. Secondary electron images from a 40 nm chromium oxide layer on a cobalt substrate, which has been scratched at increasing loads. The thin horizontal lines on these images and those in Figure 5.27 are from a layer of Al2O3 insulating material, which acts as a dielectric gap between the poles. Each image is collected from an area measuring 3 m 4 m. 156

    Figure 5.27. Secondary electron image and Auger images of a scratched coating. 156

  • LiSt Of figuRES xix

    Figure 5.28. A secondary electron image of a carbon nanotube on which an Auger line scan of the C KLL peak has been superimposed. 160

    Figure 5.29. A secondary electron image of a SNW (left). A spectrum taken from the point labeled 1 on the image (middle) and a sputter depth profile of the phosphorus contained in the nanowire (right). 161

    Figure 5.30. The concentration of phosphorus in the nanowire as a function of position along the wire and depth within the wire. 162

    Figure 5.31. Images from a nanocone. Left: a secondary electron image. Middle: a nitrogen image. Right: an iron image. 163

    Figure 5.32. Si KLL spectrum from the cone wall compared with standard spectra of silicon oxide and silicon nitride. These spectra were collected using an analyzer resolution of 0.1%. 163

    Figure 5.33. Images from the smaller nanocones. Left: a secondary electron image. Middle: a nitrogen image. Right: an oxidized silicon image. 164

    Figure 6.1. Photoelectron emission caused by an X-ray photon. 172Figure 6.2. An atomic force microscope. 189

  • list of tables

    Table 1.1. Components that are fitted to an Auger electron spectrometer 8

    Table 2.1. Some of the analytical techniques that are based on the interaction of electrons with a solid surface 14

    Table 2.2. The relationship between the quantum numbers and the notation used in XPS and AES 26

    Table 2.3. The temperature rise at the analysis position that can be expected during Auger analysis of a series of samples under a range of analysis conditions 61

    Table 3.1. A quantification table for the direct and differential spectra of the etched sample shown in Figure 3.2 75

    Table 4.1. Manufacturers of specialist Auger spectrometers. The model names, websites, and analyzer types are correct at the time of writing 89

    Table 4.2. Manufacturers of XPS spectrometers to which an AES capability may be added as an option. The model names, websites, and analyzer types are correct at the time of writing 90

    Table 4.3. Operating parameters and characteristics of electron sources 102

    Table 4.4. Advantages of electrostatic and magnetic lenses 108Table 5.1. Some of the general types of analysis that

    are commonly undertaken by AES 128Table 5.2. Labeling of Auger peaks in this example 137Table 5.3. The concentration of each of the elements observed

    in the spectrum (Figure 5.17) 143Table 5.4. Bulk composition of the material recovered from

    the failed rotor 145

  • xxii LiSt Of tabLES

    Table 5.5. Changes in the Auger spectrum of a Fe20Cr steel as it is heated in the Auger spectrometer 150

    Table 6.1. Analytical techniques having some degree of surface or thin-film specificity shown in relation to the input and output radiation associated with the technique 168

    Table 6.2. The types of ion-scattering measurements 180Table A.1. The shaded cells show some of the atomic orbitals

    that exist. The darker shading shows those orbitals that are occupied in the ground-state atom of at least one element up to lawrencium (atomic number: 102) 200

  • foreword

    The goal of the Collection is to provide a set of definitive texts of moderate length on the techniques available for the analysis and characterization of materials, particularly technological and industrial materials. The empha-sis, at least for the initial volumes, will be on techniques used extensively for thin films, interfaces, and surfaces, or just very small amounts of material, or very low concentrations of a species in a host matrix. Many of the techniques will be spectroscopic in nature. There will be a wide variety of abilities in terms of spatial resolution, ranging from the sub-nanometer to millimeters, and in the depth probed, ranging from the top atomic layer to essentially bulk material. There are literally hundreds of techniques that could be included in such a materials analysis collection, but the aim here is primarily to cover those that currently have significant practical usage. Suitable techniques are those that tend to be available in commercial analytical laboratories, national facilities, university laboratories serving the materials user community, and in the research, development, and quality control laboratories of technological industry companies.

    The texts will include a summary of the capabilities and main uses of the technique; an explanation of the physical basis of the technique in terms a non-specialist science or engineering major can easily follow; a section on instrumentation; a discussion of the range of information available and the range of materials to which it is applicable, and, equally important, the limitations of the technique; some typical examples in various technology areas; likely future developments; and finally, a com-parison with the capabilities of other techniques, some of which will also be in the Collection. The style of the volumes will inevitably vary some-what, ranging from the more academic textbook style at one end through to a how to hands on manual at the other, but the emphasis should always be on practicality, that is how is the technique actually used today.

    The audience the Collection should appeal to includes college instruc-tors for whom the collection, or volumes in it, might serve as material

  • xxiv fOREWORD

    for graduate courses; graduate students in the areas of materials science, chemical engineering, catalysis, nanoscience and nanotechnology, surface physics or chemistry; and, in industry, technologists, process engineers and managers in these areas. It should also be of interest to scientists and engineers in National Laboratories and in the materials analysis laborato-ries of the technology industries.

    C. Richard BrundleCollection Editor

    July 2015

  • Preface

    This book was written as part of the series on Materials Characterization and Analysis. Such a series, which includes dynamic and static SIMS, TEM and XPS, would be incomplete if it did not include a volume on Auger electron spectroscopy (AES). AES is a major contributor to the field of surface characterization and analysis and is complementary to the techniques that are the basis for the other books in the field.

    This book provides an introduction to the Auger technique for ana-lysts or those who may need to use or commission surface analysis. It attempts to answer a number of key questions that someone new to AES will ask. Such people will need to know the capabilities of this tech-nique. Will Auger provide the information I seek? They will also need to understand what the results of an Auger analysis are telling them. How are Auger data interpreted? To answer these questions and to understand the answers, it is essential to have a good understanding of the physical basis of the technique. What is the mechanism by which Auger electrons are emitted? A good analyst will have an understanding of the instrumen-tation. How does my instrument work? That way the analytical conditions can be set to provide optimum results. The analyst should also know the range of analytical options available and understand when to use each option. Would a spectrum be sufficient for this analysis or would an image be more appropriate? Some AES instruments provide the opportunity for additional types of analysis. Should these techniques be used to enhance the data coming from AES? It may be that AES will not provide all of the answers to a given analytical problem. Which additional analytical method should I use? The final chapter outlines the capabilities and physi-cal basis of other methods that might provide additional or complementary analytical data.

    A largely qualitative approach to the physics underlying the Auger emission process and the theoretical aspects associated with Auger instru-mentation has been taken. The practical side of the subject is covered from

  • xxvi PREfacE

    sample handling and preparation to quantification of the Auger spectra. There is a major chapter providing examples of AES analysis from a wide range of technologies (e.g. semiconductor, metals, nanotechnology etc.).

    Input to this book was provided by a number of experts in the field of AES (see the Acknowledgments). When experts allowed the use of their data, these have been attributed in Appendix 5.

    John WolstenholmeJuly 2015

  • acknowledgMents

    Without the help I received from a number of people, it would not have been possible for me to produce this book; these people deserve my sincere thanks.

    First, I should thank Dr. C.R. Brundle. As commissioning editor for this series of books, he offered me the opportunity to write this book. He has also provided a great deal of help and many useful suggestions.

    A book of this sort cannot be written without the inclusion of a large amount of illustrative data. I would like to thank the following people for allowing me to use their data and, in some cases, for useful discussions regarding data interpretation: Prof. J.E. Castle, Dr. C.F. Mallinson, and Prof. J.F Watts of Department of Mechanical Engineering Sciences, University of Surrey, UK; Dr. C. Crawford of Kimball Physics Inc., USA; Prof. J. Sullivan of Midlands Surface Analysis Ltd., Aston University, UK; Dr. M.P. Seah of The National Physical Laboratory, UK; Dr. J. Hammond of Physical Electronics Inc, USA; Dr. T. Nunney, Dr. K.S. Robinson, and Dr. A. Wright of Thermo Fisher Scientific, UK.

    Finally, I would like to thank my wife, Pat, for her patience and under-standing during the preparation of this volume and for checking that the draft manuscript made sense.

  • cHaPtER 1

    introduction

    1.1 SuRfacE aNaLYSiS

    Auger electron spectroscopy (AES)1 is used to analyze the surfaces of solids. More precisely, it is used to analyze the solidvacuum or possibly the solidgas interface. Therefore, in this book, reference to surfaces will usually mean solid surfaces.

    Solid materials interact with their surroundings via their surfaces. A surface is an abrupt boundary between a material and its environment and so the atoms at the surface of a solid will have a smaller number of nearest neighbors compared with those in the bulk of the material. This difference leads to a different electronic structure which, in turn, leads to higher chemical reactivity. For example, elements that do not naturally oxidize in air often have a layer of oxide or suboxide at the surface, the oxygen atoms replacing the missing nearest neighbor metal atoms. Similarly, supposedly clean surfaces very frequently have a thin layer of hydrocarbon contaminant (often called adventitious carbon).

    The nature of the chemical interactions with surfaces will depend on the chemical structure, composition, and topography of the surface. To get a reasonably comprehensive understanding of a given surface the following properties will need to be determined, measured, and understood:

    The chemical elements at the surface. The relative amount of each element at the surface. The chemical states of those elements. The uniformity of the elements and their chemical states to a very

    fine scale. The variation with depth of the composition in the near-surface region.

    1The abbreviation AES can be used to mean either Auger electron spectroscopy or Auger electron spectrometer. It is normally clear from the context which of these is meant. If not, it will be made explicit in the text.

  • 2 augER ELEctRON SPEctROScOPY

    The physical topography of the surface. The presence, nature, and chemical composition of impurities or

    contaminants.

    There is no single analytical technique that can provide a complete understanding of the surface but AES can be used to determine many of these properties with varying degrees of proficiency.

    Some of the areas in which AES can provide a valuable contribution include:

    Microelectronics Catalysis Corrosion Adhesion Lubrication Grain boundary segregation Particulate contamination Delamination

    From this short list alone it can be seen that AES is an important tool in many areas of both industry and research. Examples of the application of the technique in most of the above areas are provided in Chapter 5.

    1.2 WHat iS MEaNt bY SURFACE ANALYSIS?

    AES is used for surface analysis but it is necessary to understand what that term means because the definition of the word surface can depend on the context in which it is used. In the context of AES the term surface refers to the top few atomic layers of a solid, up to about 10 nm.

    Consider a silicon sphere having a radius of 1 cm. The proportion of atoms forming the top layer is approximately 1 in 3 107 and an elemental impurity at the surface at a concentration of 1 percent (a concentration that can normally be detected with AES) is therefore only present at a concentra-tion of about 0.3 ppb (parts per billion) of the sphere as a whole. It is there-fore essential that there is some form of filter that efficiently removes signal from the bulk material so that it does not swamp the signal coming from the near-surface region. It turns out that, for AES, this filter is the material itself.

    As is shown in more detail in Chapter 2, when an energetic electron beam interacts with a solid surface it will penetrate to a depth of several micrometers,2 depending on its energy. It will interact with the solid over the

    21 m is equal to 1,000 nm.

  • iNtRODuctiON 3

    whole length of its path exciting the atoms with which it comes into contact. However, the Auger electrons which will be detected will have a range of energies which is much lower than the energy of the primary beam and so the distance they travel without experiencing a collision which changes their kinetic energy (their inelastic mean free path, IMFP) is small. It depends on the energy of the electron and the material through which it is traveling but, typically, it will be in the range 1 to 3 nm. More than 95 percent of the measured Auger signal will originate from a depth less than three times the IMFP. It is the small IMFP which provides the filter allowing only the signal from the near surface of the material to be detected. Signal is detected from more than a single monolayer but the proportion of the signal coming from the top monolayer is now much larger (~10 to ~30 percent).

    1.3 WHat iS aES?

    AES is a technique which is widely used for the chemical analysis of solid surfaces. In simple terms, an Auger electron spectrometer consists of a source of electrons whose energy is usually within the range 3 to 30 keV (although it is not limited to this range) and an electron energy analyzer. The analyzer measures the kinetic energy of electrons emitted from the surface under investigation as a consequence of the bombardment of the high-energy electrons. Measuring the kinetic energy of the emitted elec-trons allows the identity of the elements in the sample to be determined. The area of the surface analyzed using this technique is dependent pri-marily on the diameter of the electron beam as it strikes the surface. Since electron beams can be focused to spot sizes down to

  • 4 augER ELEctRON SPEctROScOPY

    referred to as a scanning Auger microscope by analogy with the scanning electron microscope.

    Stand-alone Auger spectrometers are commonplace, but many have one or more additional analytical techniques added such as X-ray spectroscopy or even, by adding an X-ray source, X-ray photoelectron spectroscopy (XPS). Similarly, an electron source can be added to an X-ray photoelectron spectrometer to allow it to make AES measurements.

    Emission of Auger electrons can result from irradiation of a surface with electrons, X-rays or high-energy ions. Typically, AES is performed using high-energy electrons. Auger peaks appear in XPS and observing these has value in the analysis but X-rays cannot be focused to spot sizes less than a few micrometers and cannot therefore provide the lateral resolution which is available using electrons. High-energy ions are seldom if ever used as a primary source in AES.

    When an electron from an inner electron shell, close to the nucleus, is removed from an atom using high-energy radiation, an ion in an excited state is produced. It will then relax to a lower energy state by one of a number of processes (described in Chapter 2). The Auger process is one of those. According to the International Standard (ISO 18115) the Auger process is the relaxation, by electron emission, of an atom (or ion) with a vacancy in an inner electron shell. This process is referred to as a radia-tionless process since no photons are emitted. The energy of the emitted electron is determined by the element from which it is emitted and is inde-pendent of the energy of the ionizing radiation. In this respect AES differs from XPS in which the kinetic energy of the emitted electron is dependent on the energy of the incident X-ray photon.

    It follows, therefore, that the elemental composition of a surface can be determined by measuring the kinetic energy of the electrons emitted by the Auger process.

    AES is a process which requires that the atom has a minimum of three electrons and so this technique cannot be used to analyze for hydrogen and helium but it is a method that can be used for all other elements. Details of the Auger emission process will be provided in a later chapter.

    1.4 cHEMicaL StatE iNfORMatiON

    The position of a peak in an Auger spectrum is dependent on the ele-ment from which the electron is emitted and the electron energy levels involved in the Auger emission. In general, AES is not used for distin-guishing between the chemical states of the elements present at the surface because the chemical shifts in the peaks are small compared with the peak

  • iNtRODuctiON 5

    widths as they appear in the spectrum. An exception to this is the ability of the technique to distinguish between the elemental state and the oxidized state of certain materials (e.g., elemental silicon and SiO2). AES would, of course, be able to detect the presence of both Si and O as elements in the spectrum. XPS is far better at providing much more subtle chemical state information than AES.

    1.5 RaNgE Of REQuiRED iNfORMatiON

    As has been mentioned previously, the depth from which AES provides chemical information is typically less than 3 nm, it is a highly surface- specific form of analysis. However, if the spectrometer is equipped with a source of ions (usually having an energy in the range of a few hundred electron volts to about 5 keV) it is possible to construct depth profiles of the near surface region of the solid. This is accomplished by analyzing the surface, using the ion beam to erode the sample by sputtering, repeating the analysis and continuing with this alternation of analysis and erosion until the required depth is reached. In principle, this depth profiling tech-nique can be used to analyze the solid upto any depth but, in practice, analysts do not use it for depths greater than a few microns because of the time required to measure a profile to greater depths plus complicating artifacts that get worse as a function of depth (see later).

    For the analysis of deeper features, techniques such as in situ fractur-ing, surface lapping or ball cratering are often used in combination with AES analysis.

    1.6 HiStORY Of aES

    AES has its origins in the 1920s. Although the effect is named after Pierre Auger, it was first observed and reported by Elise (Lise) Meitner in 1922.

    The Austrian, Lise Meitner, was a gifted, atomic physicist (Sime 1996). She was a leading figure in the early days of nuclear fission research. Her

    AES is named after Pierre Auger, who was a French physicist. For people who are not French speakers it may not be obvious how his name should be pronounced. According to the International Phonetic Alphabet, the pronunciation is oe which approximates to o as in hotel, as in measure and e as in stay. It is not pronounced in the same way as the tool used for making holes.

  • 6 augER ELEctRON SPEctROScOPY

    work formed a major contribution to the development of both peaceful and not-so-peaceful applications of nuclear fission. One of her major achievements, working with Otto Hahn, was her discovery of a relatively long-lived isotope of protactinium (231Pa). She received several scientific awards and many people hold the view that she should have received a Nobel Prize for her work but she was overlooked. She observed and reported what we now call Auger emission during the course of her work, so it may be argued that the topic which is the subject of this book should be called Meitner electron spectroscopy. Fortunately, she has received some degree of permanent recognition because the synthetic element 109 (Meitnerium, Mt), which was discovered in 1982, has been named after her. It was named in 1997 nearly 30 years after her death. So far, this is the only element to be named after a nonmythological woman.

    Pierre Victor Auger, a French physicist, reported the phenomenon of what is now known as Auger electron emission in 1925. He had been studying the X-ray photoelectron emission from gaseous atoms using a cloud chamber. He noted that some of the electrons emitted, following ionization by X-rays, originated from the ionized atom, not from the neu-tral species. This he interpreted as being due to a radiationless relaxation of the ion. The observation was reported in the French scientific journal Comptes Rendus (Auger and Perrin 1925) as a note entitled On second-ary beta-rays produced in a gas by X-rays. Later, Auger became inter-ested in cosmic rays and, with his co-workers, observed the effect of the earths magnetic field on these rays. He was later instrumental in the estab-lishment of the European Organization for Nuclear Research (CERN) in Geneva. Professor Auger was responsible for the establishment or promo-tion of nine national or international organizations.

    He died in 1993 but his name lives on, not only with AES but also in the naming of what is currently the worlds largest cosmic ray observatory located in Argentina. He was nominated for a Nobel Prize but never received one. A short biography of Pierre Auger has been published (Persson 1996).

    Although the phenomenon was observed independently by both Auger and Meitner in the 1920s, neither suggested its use as an analytical technique in surface analysis. This had to wait until 1953 when J.J. Lander, a scientist working for Bell Telephone Laboratories in New Jersey, recog-nized it as an interesting technique for surface analysis.

    The technique began to be used for surface analysis in 1968 when L.A. Harris, working for General Electric in New York, used low-energy electron diffraction (LEED) optics to obtain Auger spectra from metal sur-faces. His breakthrough was in realizing the importance of differentiating the kinetic energy distribution in order to achieve sufficient sensitivity when using LEED optics for a viable analytical technique.

  • iNtRODuctiON 7

    In 1969, Palmberg, Bohn, and Tracy invented the cylindrical mirror analyzer (CMA) which led to the development and eventual commercial-ization of dedicated Auger electron spectrometers.

    Today commercial, stand-alone, Auger electron spectrometers are available and generally use either a CMA or a hemispherical sector ana-lyzer (HSA). LEED instruments are often capable of AES although their performance in AES is more limited than the other types of analyzers.

    1.7 iNStRuMENtatiON fOR augER aNaLYSiS

    A later chapter will deal with the details of the instrumentation but an outline of the required components of an Auger spectrometer will help in the understanding of what follows. AES can be performed either in an instrument primarily designed for the purpose or as an add-on technique in an instrument designed primarily for another technique, XPS, for example. As will be discussed later, it is essential that the Auger spectrometer be housed in an ultra-high vacuum (UHV) enclosure, this is true whether Auger is a primary or a secondary technique.

    Loosely, the components of an AES instrument fall into three cate-gories: essential, desirable, and optional (as shown in Table 1.1). Essen-tial items are those without which it would not be possible to produce an

    AUGER ELECTRON EMISSION FOR CANCER THERAPY?

    Therapies which rely on Auger electron emission are being developed for the treatment of a number of cancers, including prostate cancer. For this type of therapy, a radiolabeled targeting reagent would be injected into the patient. This reagent then binds to a specific receptor in the targeted cell. If, for example, the radionuclide attached to the targeting reagent is 125I (half-life = 59.4 days) then it will decay by electron capture to an excited state of 125Te which further decays with the emission of 35 keV gamma rays. The emitted gamma rays cause the ionization of the molecule which then relaxes by the emission of a total of 21 Auger electrons in the energy range 50 to 500 eV. As with other forms of radiation therapy, Auger electrons damage the targeted cancer cells, including the DNA, in order to stop cell division and tumor growth. The mean free path of electrons having an energy in this range is so short that there is little or no damage to the cells surrounding the targeted cell. The gamma radiation is also of sufficiently low energy to ensure that cell damage remains very localized.

  • 8 augER ELEctRON SPEctROScOPYTa

    ble

    1.1.

    Com

    pone

    nts

    that

    are

    fitt

    ed to

    an

    Aug

    er e

    lect

    ron

    spec

    trom

    eter

    Cat

    egor

    yC

    ompo

    nent

    Com

    men

    t

    Esse

    ntia

    lEl

    ectro

    n so

    urce

    Prov

    ides

    ele

    ctro

    ns h

    avin

    g th

    e ne

    cess

    ary

    ener

    gy so

    that

    Aug

    er e

    lect

    rons

    ca

    n be

    em

    itted

    Elec

    tron

    ener

    gy a

    naly

    zer a

    nd

    elec

    tron

    dete

    ctor

    Mea

    sure

    s the

    ene

    rgy

    spec

    trum

    of t

    he e

    mitt

    ed e

    lect

    rons

    to a

    llow

    the

    iden

    tifi

    cati

    on o

    f el

    emen

    ts in

    the

    sam

    ple

    Sam

    ple

    stag

    eSu

    ppor

    ts a

    nd m

    anip

    ulat

    es th

    e sa

    mpl

    e un

    der i

    nves

    tigat

    ion.

    Idea

    lly, t

    his

    wil

    l hav

    e fi

    ve a

    xes

    of m

    ovem

    ent;

    x, y

    , z,

    (ti

    lt),

    and

    rot

    atio

    n. T

    he

    prec

    isio

    n an

    d st

    abili

    ty o

    f the

    stag

    e m

    ust b

    e co

    nsis

    tent

    with

    the

    spot

    size

    of

    the

    elec

    tron

    beam

    del

    iver

    ed b

    y th

    e el

    ectro

    n so

    urce

    .D

    esira

    ble

    Seco

    ndar

    y el

    ectro

    n de

    tect

    or (S

    ED)

    If th

    e pr

    imar

    y be

    am c

    an b

    e sc

    anne

    d ov

    er th

    e su

    rfac

    e of

    the

    sam

    ple

    this

    ty

    pe o

    f det

    ecto

    r allo

    ws t

    he in

    stru

    men

    t to

    acqu

    ire se

    cond

    ary

    elec

    tron

    (SE)

    imag

    es w

    hich

    can

    be

    extre

    mel

    y he

    lpfu

    l in

    loca

    ting

    smal

    l fea

    ture

    s on

    the

    sam

    ple

    for a

    naly

    sis.

    In a

    scan

    ning

    Aug

    er sp

    ectro

    met

    er, t

    his c

    ould

    be

    cla

    ssifi

    ed a

    s an

    ess

    enti

    al p

    iece

    of

    equi

    pmen

    t.

    Ion

    gun

    An

    ion

    gun

    serv

    es th

    ree

    usef

    ul p

    urpo

    ses o

    n an

    AES

    inst

    rum

    ent.

    1.

    It ca

    n be

    use

    d to

    rem

    ove

    cont

    amin

    atio

    n fr

    om th

    e sa

    mpl

    e to

    exp

    ose

    the

    surf

    ace

    requ

    iring

    ana

    lysi

    s2.

    It

    can

    be

    used

    to a

    cqui

    re c

    once

    ntra

    tion

    dep

    th p

    rofi

    les

    3.

    It ca

    n he

    lp e

    limin

    ate

    surf

    ace

    char

    ging

    if it

    is c

    apab

    le o

    f del

    iver

    ing

    a be

    am o

    f io

    ns w

    ith

    suffi

    cien

    tly

    low

    ene

    rgy

  • iNtRODuctiON 9

    Opt

    iona

    lX

    -ray

    sour

    ceTh

    is c

    an b

    e ad

    ded

    to in

    stru

    men

    ts h

    avin

    g a

    sphe

    rical

    sect

    or ty

    pe o

    f ene

    rgy

    anal

    yzer

    . Its

    use

    allo

    ws X

    PS sp

    ectra

    to b

    e co

    llect

    ed.

    X-r

    ay sp

    ectro

    met

    erTh

    e pr

    imar

    y el

    ectro

    n be

    am c

    ause

    s X-r

    ays t

    o be

    em

    itted

    as w

    ell a

    s Aug

    er

    elec

    trons

    . An

    X-r

    ay sp

    ectro

    met

    er p

    rovi

    des t

    he a

    naly

    st w

    ith a

    noth

    er

    anal

    ytic

    al te

    chni

    que.

    Bac

    ksca

    ttere

    d el

    ectro

    n de

    tect

    orA

    s a c

    ompl

    emen

    tary

    met

    hod

    to th

    e SE

    , the

    BSE

    det

    ecto

    r pro

    vide

    s im

    ages

    w

    hich

    hav

    e at

    omic

    num

    ber c

    ontra

    st a

    nd c

    an p

    rovi

    de im

    ages

    whi

    ch a

    re

    help

    ful i

    n di

    rect

    ing

    subs

    eque

    nt A

    uger

    ana

    lysi

    s.Pr

    epar

    atio

    n ch

    ambe

    r and

    pr

    epar

    atio

    n de

    vice

    sM

    any

    inst

    rum

    ents

    hav

    e a

    UH

    V p

    repa

    rati

    on c

    ham

    ber.

    Dev

    ices

    fitt

    ed to

    th

    ese

    incl

    ude

    a fr

    actu

    re st

    age,

    gas

    dos

    ing,

    hea

    ting

    and

    cool

    ing,

    pee

    ling

    stag

    e, a

    nd so

    on.

    Thi

    s allo

    ws f

    resh

    surf

    aces

    to b

    e pr

    epar

    ed u

    nder

    UH

    V

    cond

    ition

    s and

    ther

    efor

    e av

    oids

    con

    tam

    inat

    ion

    betw

    een

    prep

    arat

    ion

    and

    anal

    ysis

    .

  • 10 augER ELEctRON SPEctROScOPY

    Auger spectrum. Desirable items are those which extend the capabilities of the spectrometer but are usually supplied with an instrument that is pri-marily designed for AES. Optional items are those which can extend the capabilities further but are not so commonly included with the instrument.

    If the primary technique of the instrument is XPS, it is possible to col-lect Auger spectra by adding an electron gun to the instrument. This can be a simple gun which cannot be scanned and so spectra can be obtained, probably from a relatively large area, but imaging is not possible and depth profiling may not be possible with any precision. If the electron beam in such a gun can be scanned these problems can be overcome and, by add-ing a SED as well, SE images can be collected along with SAM images. SE images can be the eyes of an Auger spectrometer because they can identify the area to be analyzed and direct the analysis to the right place. The lateral resolution obtainable with Auger using this type of instrument is likely to be worse than that obtainable from a purpose-built Auger spec-trometer by about a factor of 10 at best.

    1.8 SuMMaRY Of tHE caPabiLitiES Of aES

    Elements: All elements heavier than helium.Sample Types: Solid, able to survive in and not con-

    taminate, a UHV. Conducting and semi-conducting samples routinely analyzed, insulating samples are more challenging and there may be limitations.

    Sensitivity: 0.1 to 1 atomic percent, not a trace analy-sis technique.

    Elemental Analysis: Yes, semiquantitative without standards, quantitative with standards.

    Analysis Types: Spectroscopy with element identifica-tion, element mapping (imaging), and depth profiling. Angle resolved measure-ments possible on some spectrometers.

    Chemical State Information: Limited, in favorable cases if sufficient energy resolution is available from the analyzer.

    Destructive: Generally not for inorganic materials, unless sputter depth profile analysis is used. Some sensitive samples may be damaged by the electron beam, organic

  • iNtRODuctiON 11

    materials and polymers are particularly susceptible to damage during analysis.

    Depth Resolution: 0.5 to 5 nm depending on the sample. With some types of analyzer this can be controlled by tilting the sample.

    Lateral Resolution:

  • index

    AAbbreviations, 193195Aberrations. See Lens aberrationsAcronyms, 193195Adhesion, 2, 70, 128, 152, 154,

    156,186Adventitious carbon, 1, 69, 72,

    152AES. See Auger electron

    spectroscopyAL. See Attenuation lengthAlloy

    catalysis, 157passivation, 149phase boundaries, 141144phase characterization, 139141

    Analyzer, 3, 8, 18cylindrical mirror analyzer, 7,

    76, 90, 112116, 171hemispherical sector analyzer, 7,

    8990, 116120retarding field analyzer, 112transfer function, 36

    Ancillary equipmentdescription, 122123ion gun, 123125secondary electron detector, 123

    Angleazimuthal, 116, 174emission, 20, 23, 41, 7678, 113,

    120, 173, 176, 178grazing, 87, 177, 184

    incidence, 36, 41, 42, 44, 46, 81lapping, 68, 151scattering, 43, 46, 48, 54, 180

    Angle-resolved ultraviolet photoelectron spectroscopy (ARUPS), 173174

    Angle-resolved X-ray photoelectron spectroscopy (ARXPS), 173

    Anode, 59, 60, 95, 96, 98, 171, 172Aqueous corrosion, 151153Area of emission, 93ARUPS. See Angle-resolved

    ultraviolet photoelectron spectroscopy

    ARXPS. See Angle-resolved X-ray photoelectron spectroscopy

    Atomic orbitals, 197198Atomic number, 9, 16, 17, 18, 23,

    26, 28, 29, 34, 42, Attenuation length (AL), 1924,

    34, 36, 38, 40, 72, 77, 78Auger electron emissioncancer therapy, 7differential/direct display

    method, 3033energy of, 2728mechanism of, 2425notation, 2527quantification, 3438trends in Auger energies, 2829yield, 3334

  • 216 iNDEX

    Auger electron spectroscopy (AES)

    capabilities of, 1011chemical state information, 45components of, 89definition, 3history of, 57instrumentation, 7, 10pronunciation, 5range of, 5types of analysis, 65

    Auger, Pierre 56Auger process, 4, 25, 26Axial astigmatism, 109110Azimuthal rotation, 86

    BBackscattered electron (BSE)

    elastic scattering, 14, 24, 4549imaging with, 4445inelastic scattering, 14, 24, 39,

    5054types of measurement, 43

    Backscattered electron detection (BSD), 14, 125.See also EBSD

    Ball milled crater, 151Beam deflection and scanning,

    110112Bias, 115, 116, 123Bias supply, 95BIS. See Bremsstrahlung

    isochromat spectroscopyBohn, G. K., 7Bremsstrahlung, 14, 5456, 58Bremsstrahlung isochromat

    spectroscopy (BIS), 175Bright field illumination, 185, 188Brightness, 9293, 102BSD. See Backscattered electron

    detectionBSE. See Backscattered electron

    CCancer therapy, Auger electron

    emission, 7Capacitance, 190

    Catalyst, 126, 127, 156160, 162, 189

    Catalysismodel catalysts, 159160poisoning and deactivation,

    158159surface composition, 157158

    Cathodoluminescencecharacteristics, 6061definition, 15

    CERN. See European Organization for Nuclear Research

    Chamberanalysis, 69, 70, 123, 147, 181preparation, 9, 70, 126, 141, 145,

    147Chemical force interaction, 190Chemical state(s), 1, 4 29, 57, 60,

    65, 73, 83, 130, 131, 136, 155, 160, 170, 173, 202

    Chemical state determination, 7576

    Chemical state information, 45, 10

    Chromatic aberration, 93, 109CMA. See Cylindrical mirror

    analyzerCoating(s), 136, 152, 154156,

    182, 184Cold field emission, 99102, 109Collision(s), 3, 15, 16, 19, 20, 23,

    34, 39, 45, 50, 54, 180Complementary analysis

    techniques, 147148ComptesRendus, 6Concentric hemispherical analyzer

    (CHA). See Hemispherical sector analyzer (HSA)

    Condenser lens, 103104, 107Contamination

    atmospheric, 79, 126, 141particulate, 2, 67, 79, 131132,

    153, 186surface, 8, 9, 31, 49, 66, 67, 72,

    73, 84, 127, 129

  • iNDEX 217

    Corrosionaqueous, 151153due to contamination, 153154oxide layers, 149151passivation, 149stress, 140

    Cross-sectionanalyzer, 112Auger emission, 19, 34electron interaction, 16, 19ionization, 33, 36, 37, 58, 59, 72,

    116lens, 105, 106scattering, 37, 47sample, 132, 133, 151, 186X-ray emission, 59

    Crossover, 42, 96, 98, 108Cylindrical mirror analyzer

    (CMA), 7, 76, 90, 112116, 171

    DDark field illumination, 185Data recording systems, 155156Depth profile(s), 5, 8, 10, 11, 65,

    8388, 123, 133, 135, 137, 151, 154, 161, 173, 175, 1812, 184

    Depth resolution, 86Detection limit, 56, 73, 145, 167,

    179, 181, 182, 183, 205Diamond-like carbon (DLC),

    154155Differential display method, 3033Direct display method, 3033DLC. See Diamond-like carbonDrift, 93, 102, 103, 121, 122, 161d-SIMS. See Dynamic secondary

    ion mass spectrometryDynamic secondary ion mass

    spectrometry (d-SIMS), 181182

    EEAL. See Effective attenuation

    length

    EDS (EDX), 14, 5658, 125, 147148, 168, 174175, 185, 205

    EELS. See Electron energy loss spectrum

    Effective attenuation length (EAL), 19

    Elastic interaction, 16Elastic peak electron spectroscopy

    (EPES), 50Elastic scattering, 18, 19, 23, 43,

    4549, 114, 180Electrochemical, 153, 186, 190Electron detector(s), 8, 9, 14, 32,

    38, 43, 44, 66, 80, 114, 115, 118, 121, 123, 125

    Electron emitter Auger spectrometers, 101103field emitters, 9799LaB6, 9697properties, 9293Schottky, 92, 97, 99104, 109thermionic emitters, 9397thoria coated, 94, 97

    Electron energy loss spectrum (EELS), 4750, 5254, 176, 202

    Electron guns beam deflection and scanning, 110112

    electron emitter, 92103electron optics, 103110parameters of, 92

    Electron microscopysecondary, 187188transmission, 188189

    Electron opticscondenser lens, 103104electrostatic lens, 105108lens aberrations, 108110magnetic lens, 106108objective lens, 104105

    Electrons as input radiation electron detection, 176178electron-stimulated desorption,

    178

  • 218 iNDEX

    high-resolution electron energy loss spectroscopy, 176177

    inverse photoemission spectroscopy, 175176

    ion detection, 178low-energy electron diffraction,

    177photon detection, 174176reflection high-energy electron diffraction, 177178X-ray spectroscopy, 174175

    Electron spin, 198Electron trajectory, 98, 105, 107,

    110, 113118Electron-stimulated desorption

    (ESD), 178Electron stimulated desorption ion

    angular distribution (ESDIAD), 178

    Electron volt (eV), definition, 3Electrostatic lens, 105108Electrostatic octopole stigmator,

    110Ellipsometry, 170171, 202Emission angle effects, 41, 7678Emitted electrons, 1824Emitted electrons spectrum, 1824Energy spread, 50, 93, 102, 109,

    176EPES. See Elastic peak electron

    spectroscopyESD. See Electron-stimulated

    desorptionESDIAD. See Electron stimulated

    desorption ion angular distribution

    European Organization for Nuclear Research (CERN), 6

    Excitation volume, primary, 1617, 19, 23, 24, 36, 37, 59, 61

    Extraction field, 93, 94, 98, 99, 100, 102

    FFailure analysis, steel, 144148FIB. See Focused ion beam

    Field-assisted thermionic emission, 99

    Field emission, 99Field emitters, 97103Filament, 91, 97Focused ion beam (FIB), 125126,

    132, 179Fracture stage, 9, 66, 70, 126, 141,

    147

    GGrid, 95Gun

    electron, 10, 59, 90, 91, 92112, 114, 115, 120, 122, 157, 161, 172, 175

    ion, 8, 11, 66, 69, 86, 123125, 128, 175, 181, 183

    HHandling techniques, 67Harris, L.A., 6HDA. See Hemispherical

    deflection analyzerHeat, 14, 6162Hemispherical deflection analyzer

    (HDA). See Hemispherical sector analyzer (HSA)

    Hemispherical sector analyzer (HSA), 7, 8990, 116120

    High pressure gas cell (HPGC), 126

    High-resolution electron energy loss spectroscopy (HREELS), 50, 168, 176177, 202

    HPGC. See High pressure gas cellHREELS. See High-resolution

    electron energy loss spectroscopy

    HSA. See Hemispherical sector analyzer

    IImage potential, 100Imaging analysis, 7882

  • iNDEX 219

    IMFP. See Inelastic mean free pathInelastic collisions, 15, 19, 23, 34,

    54Inelastic interaction, 16, 39, 49Inelastic mean free path (IMFP),

    3, 1923Inelastic scattering, 18, 34, 5054In situ sample preparation, 5, 66,

    6970, 126, 147148, 149,160, 178

    Instrumentationanalyzer, 112120ancillary equipment, 122125electron detector, 121electron guns, 92112optional equipment, 125126sample stage, 121122vacuum system, 9092vibration isolation, 122

    Insulator analysis, 8182, 157Interaction of electrons

    analytical techniques, 1415Auger electron emission, 2438backscattered electrons, 4354bremsstrahlung, 5456cathodoluminescence, 6061depth, 1518emitted electrons, 1824heat, 6162secondary electrons, 3843X-ray emission characteristics,

    5660International Standard 18115, 4,

    19, 4950International Standards

    Organization (ISO) TC 201, 207208

    Inverse photoemission spectroscopy (IPES), 168, 175176. 202

    Ions as input radiationdynamic SIMS, 181182electron detection, 179ion detection, 179185low-energy ion scattering, 183

    medium-energy ion scattering, 183184

    particle-induced X-ray emission, 178179

    photon detection, 178179Rutherford backscattering,

    184185static SIMS, 180181

    Ion-scattering spectroscopy (ISS), 46, 168, 179, 180, 183, 203,

    IPES. See Inverse photoemission spectroscopy

    ISO TC 201, 207208ISS. See Ion-scattering

    spectroscopy

    KKimball Physics Inc., 209Kramers formula, 55Kratos Analytical, 90

    LLander, J.J., 6Lanthanumhexaboride (LaB6),

    9697LEED. See Low-energy electron

    diffractionLens, 95, 96, 103112, 115, 116,

    119, 120, 172, 187Lens aberrations

    axial astigmatism, 109110chromatic aberration, 109spherical aberration, 108109

    Lifetime, 93, 95, 102Line scan 8283, 134, 151, 160Low-energy electron diffraction

    (LEED), 6, 7, 13, 15, 112, 159, 168, 177, 203

    Low-energy ion scattering, 168, 180, 183, 203

    MMagnetic induction, 190Magnetic lens, 106108Magnetic octopole stigmator, 110

  • 220 iNDEX

    MALDI. See Matrix-assisted laser desorption/ionization

    Manufacturers, 8990 Materials analysis

    catalysis, 156160metals, 139154nanomaterials, 160164surface coatings, 154156

    Materials characterizationanalytical techniques, 168electrons as input radiation,

    174178ions as input radiation, 178185microscopy, 185191photons as input radiation,

    169174Matrix-assisted laser desorption/

    ionization (MALDI), 168, 174Medium-energy ion scattering,

    183184, 203Meitner, Elise (Lise), 5Metal analysis

    alloy phases characterization, 139141

    complementary analysis techniques, 147148

    corrosion, 148154failure analysis in steel, 144147phase boundary segregation,

    141144in situ sample preparation,

    147148Micro-area profiling, 86Microscopy

    electron, 187189optical, 185187scanning probe, 189191

    Midlands Surface Analysis Ltd., 209

    Model catalysts, 159160Mounting techniques, 6869Multilayer characterization

    digital methods usage, 136139profiling and imaging, 135136superlattice structures, 133135

    NNanocone imaging, 162164Nanomaterials

    description, 160nanocone imaging, 162164phosphorus doping in Si nanowires, 161162

    Near-field scanning optical microscope (NSOM), 190191

    Noise, 56, 73, 93, 102, 103, 112, 138, 142

    NSOM. See Near-field scanning optical microscope

    OObjective lens, 104105, 107, 111,

    187Omicron Nano Technology

    GmbH, 89, 90Optical microscopy, 185187Optional equipment, 125126Orbital orientation, 198Orbital shape, 198Orbital size, 197198Overvoltage, 33, 58Oxide layer(s), 20, 52, 75, 77, 84,

    129, 149151, 158

    PPalmberg, P. W., 7Particle-induced X-ray emission

    (PIXE), 178179, 203Passivation, 149, 153Phase boundary segregation,

    141144Phase contrast technique, 186Phosphorus doping, Si nanowires,

    161162Photons as input radiation

    electron detection, 171174ellipsometry, 170171ion detection, 174Raman spectroscopy, 170reflection absorption infrared spectroscopy, 169170

  • iNDEX 221

    ultraviolet photoelectron spectroscopy, 173174X-ray photoelectron spectroscopy, 171173

    Photon-stimulated desorption (PSD), 174

    Physical Electronics, 86, 89, 90, 209

    PIXE. See Particle-induced X-ray emission

    Plasmons, 15, 16, 52, 204Primary excitation volume, 1617,

    19, 23, 24, 36, 37, 59, 61Proximal excitation of X-rays,

    5960PSD. See Photon-stimulated

    desorption

    QQualitative analysis, 14, 28, 65,

    7073, 128, 171Quantification, 32, 3438, 56, 65,

    7375, 82, 182, 183, 191, 202sensitivity factors, 3738table, 75using formula, 3537using ratios, 37

    Quantitative analysis, 7375Quantum numbers, 26, 197200Quasi-elastic scattering, 16

    RRAIRS. See Reflection absorption

    infrared spectroscopyRaman spectroscopy, 168, 169,

    170Raster(ed), 38, 44, 72, 110, 111,

    124, 132RBS. See Rutherford

    backscatteringREELS. See Reflection electron

    energy loss spectroscopyReflection absorption infrared

    spectroscopy (RAIRS), 169170, 204

    Reflection electron energy loss spectroscopy (REELS), 15, 50, 5253, 168, 176, 204

    Reflection high-energy electron diffraction (RHEED), 177178

    Relative peak intensity, 7678Resolution,

    analyzer,66, 7273, 7576, 114120, 163

    angular, 65, 120depth, 11, 8588, 133energy, 10, 65, 76, 77, 84, 112,

    114120, 130, 135, 139lateral/spatial, 3, 4, 10, 11, 16,

    24, 42, 44, 57, 59, 65, 82, 101, 112118, 121, 122, 127, 129, 136, 157, 171, 202

    Retard ratio, 119Retarding field analyzer (RFA), 112RFA. See Retarding field analyzerRHEED. See Reflection high-

    energy electron diffractionRichardson equation, 94Rotation (of sample), 8688, 121,

    133Roughness, 36, 38, 8587, 170Rutherford backscattering (RBS),

    184185, 204

    SSample

    charging, 8, 8182, 125 handling techniques, 67fracture, 66, 70, 126, 141142,

    145148mounting techniques, 6869parking capability, 126preparation methods, 6768properties, 6667in situ preparation, 6970stage, 121122, 161storage, 68transport, 68

    SCA. See Spherical capacitor analyzer

  • 222 iNDEX

    Scanning Auger microscope, 4, 14, 92

    Scanning Auger spectrometer, 8, 44, 65, 8991

    Scanning Probe Microscope (SPM), 159

    Scanning probe microscopy (SPM), 189191

    Schottky emitters, 92, 97, 99104, 109

    Secondary electron detector (SED), 8, 10, 32, 38, 41, 66, 78, 90, 123, 179, 187

    Secondary Electron Microscope, 38, 44, 92, 103

    Secondary electron microscopy (SEM), 14, 187188

    Secondary electronsdescription, 14, 19, 38energy distribution, 18, 4041origin of, 24, 3940yield, 4143

    Secondary ion mass spectrometry (SIMS)

    dynamic, 181182static, 180181

    Segregationgrain boundary, 2, 66, 70, 128,

    145149phase boundary, 141144surface, 127, 128

    SED. See Secondary electron detector

    SEM. See Secondary electron microscopy

    Semiconductordevice(s), 78, 84, 85, 128132,

    188, 190distribution of chemical species,

    129131materials, 15, 61multilayer characterization,

    133139, 186

    particulate contamination, 131132, 186

    Sensitivity factor(s), quantification, 3738, 59, 7375

    Shell(s), 4, 16, 33, 57, 198199SIMS. See Secondary ion mass

    spectrometrySmall feature(s), 8, 65, 7882, 83,

    84, 128, 163Source

    electron, 3, 4, 8, 38, 56, 92103, 104, 114, 116, 178

    ion, 5, 173, 181, 182virtual, 98, 102, 103, 116X-ray, 4, 9, 56, 59, 66, 123, 125,

    172SPECS GmbH, 90Spectrometer, electron, 310, 13,

    1415, 18, 24, 27, 32, 35, 39, 41, 43, 46, 65, 66, 75, 78, 84, 89126, 202

    Spectroscopy methodologieschemical state determination,

    7576emission angle effects, 7678qualitative analysis, 7073quantitative analysis, 7375

    Spectroscopists notation, 26, 197Spherical aberration, 92, 93,

    108109Spherical capacitor analyzer

    (SCA). See Hemispherical sector analyzer (HSA)

    Spherical sector analyzer (SSA). See Hemispherical sector analyzer (HSA)

    Spin-orbit splitting, 199SPM. See Scanning probe

    microscopySputter/Sputtering, 5, 10, 11, 83,

    86cleaning, 69, 73danger of, 82, 99

  • iNDEX 223

    depth profile, 68, 133135, 151, 161, 169

    yield, 86SSA. See Spherical sector analyzerStage, sample, 121122, 161Standardization, surface analysis,

    207Static secondary ion mass

    spectrometry(s-SIMS), 180181

    Steel analysis, 44, 58, 7072, 74, 139141, 144146, 148153,

    Steel, failure analysis, 144147Storage, 68Subshells, 198199Superlattice structures, 133135Surface analysis

    AES contribution areas, 2chemical interactions, 1definition, 23properties, 12standardization, 207vs. thin film techniques, 202205

    Surface coatingsdata recording systems, 155156diamond-like carbon, 154155

    Surface composition, 14, 127128, 149, 153, 157158

    Surrey, University of, 57, 59, 209

    TTEM. See Transmission electron

    microscopyTemperature, 6162, 9395,

    97, 99, 100, 102, 109, 121, 122, 126, 140, 145, 147151, 158160, 190

    Thermionic emitters, 9397Thermo Fisher Scientific, 90, 209Thin film vs. surface analysis,

    202205

    Tilt (sample), 8, 11, 42, 78, 87, 88, 121, 162

    Tracy, J.C., 7Transmission electron microscope,

    50, 54, 128129, 157, 168Transmission electron microscopy

    (TEM), 15, 188189Transport, sample methodology,

    68

    UUHV. See Ultra-high vacuumUltra-high vacuum (UHV), 7, 9,

    10, 65, 70, 9092, 123, 141, 147, 149, 157, 171

    Ultraviolet photoelectron spectroscopy (UPS), 168, 173174, 205

    Universal curve(s), 21, 23UPS. See Ultraviolet photoelectron

    spectroscopy

    VVacuum system, 9092VELS. See Vibrational energy loss

    spectroscopyVertical interconnect access (VIA),

    85VIA. See Vertical interconnect

    accessVibrational energy loss

    spectroscopy (VELS), 176Vibration isolation, 122Virtual source, 98, 102, 103, 116

    WWDS 14, 56, 168, 174Wehnelt electrode, 96

    XX-ray emission, 25, 55, 168,

    178179, 203

  • 224 iNDEX

    characteristics, 5660proximal excitation, 5960

    X-ray mirror, 88X-ray notation, 2527, 57X-ray photoelectron spectrometer,

    7, 90, 103, 105, 119, 120, 183X-ray photoelectron spectroscopy

    (XPS), 4, 9, 10, 25, 26, 56, 5960, 66, 168, 171173, 205

    X-ray spectroscopy, 14, 5759, 174175, 205. See also EDS, EDX, WDS

    YYield

    Auger electron, 3334, 57, 148backscattered electron, 43, 44ion, 181, 182secondary electron, 4143, 81sputter, 86, 133X-ray, 57, 148

    ZZalar rotation, 86