vlsi final file

103
VLSI FILE SUBMITTED BY:-

Upload: api-3731472

Post on 13-Nov-2014

145 views

Category:

Documents


7 download

DESCRIPTION

vlsi file for ece 04 batch

TRANSCRIPT

Page 1: Vlsi Final File

VLSI FILE

SUBMITTED BY:-

Page 2: Vlsi Final File

INDEX

S.No. Name of experiment Date Remarks

1 Design of gates

a Design of AND gates.

b Design of OR gates.

c Design of XOR gates.

2 Design of Xor gate using other basic gates.

3 Design of 2:1 Mux using other basic gates

4 Design of 2 to 4 decoder

5Design of half-adder, full adder, half subtractor, full subtractor.

6 Design of 3:8 decoder

7 Design of 8:3 priority encoder.

8 Design of 4 bit binary to grey code converter

9Design of 4 bit binary to BCD converter using sequential statements

10Design an 8bit parity generator ( with for loop and generic statements)

11Design of all type of flip-flops using (if-then-else) sequential constructs

12Design of 8-Bit Shift Register with shift Right, Rhisft Left, Load and Synchronous reset.

13 Design of ALU

14Design of Synchronous 8-Bit universal shift register ( parallel-in, parallel-out) with 3-state output ( IC 74299)

15 Design

a. Mod 3 Counter

b. Mod 5 Counter

c. Mod 7 Counter

d. Mod 8 Counter

e. Mod 16 counter

16Design a decimal up/down counter that counts up from 00 to 99 or down from 99 to 00

Page 3: Vlsi Final File
Page 4: Vlsi Final File
Page 5: Vlsi Final File

WAVEFORM:

RESULT: Designed AND gate, observed RTL view and waveform.

Page 6: Vlsi Final File
Page 7: Vlsi Final File
Page 8: Vlsi Final File

WAVEFORMS:

RESULT: Designed OR gate, observed RTl view and waveform.

Page 9: Vlsi Final File
Page 10: Vlsi Final File
Page 11: Vlsi Final File

WAVEFORMS:

RESULT: Designed XOR gate, observed RTl view and waveform.

Page 12: Vlsi Final File
Page 13: Vlsi Final File
Page 14: Vlsi Final File

WAVEFORM:

RESULT: Designed XOR gate using basic gates, observed RTL view and wave form.

Page 15: Vlsi Final File
Page 16: Vlsi Final File
Page 17: Vlsi Final File
Page 18: Vlsi Final File

WAVEFORM:

RESULT: Designed 2:1 mux using basic gates, observed RTL view and waveform.

Page 19: Vlsi Final File
Page 20: Vlsi Final File
Page 21: Vlsi Final File
Page 22: Vlsi Final File
Page 23: Vlsi Final File

WAVEFORM:

RESULT: Designed 2:4 decoder using basic gates, observed RTL view and waveform.

Page 24: Vlsi Final File
Page 25: Vlsi Final File
Page 26: Vlsi Final File

WAVEFORM:

RESULT: Designed half adder using dataflow modeling.

Page 27: Vlsi Final File
Page 28: Vlsi Final File
Page 29: Vlsi Final File
Page 30: Vlsi Final File

WAVEFORM:

RESULT: Designed full adder using dataflow modeling.

Page 31: Vlsi Final File
Page 32: Vlsi Final File
Page 33: Vlsi Final File
Page 34: Vlsi Final File

WAVEFORM:

RESULT: Designed half subtractor using dataflow modeling.

Page 35: Vlsi Final File
Page 36: Vlsi Final File
Page 37: Vlsi Final File
Page 38: Vlsi Final File

WAVEFORM:

RESULT: Designed full subtractor using dataflow modeling.

Page 39: Vlsi Final File
Page 40: Vlsi Final File
Page 41: Vlsi Final File

WAVEFORM:

RESULT: Designed 3:8 decoder and RTL view and logic.

Page 42: Vlsi Final File
Page 43: Vlsi Final File
Page 44: Vlsi Final File

WAVEFORM:

RESULT: Designed 8:3 priority encoder.

Page 45: Vlsi Final File
Page 46: Vlsi Final File
Page 47: Vlsi Final File
Page 48: Vlsi Final File

WAVEFORM:

RESULT: Designed 4 biit binary to grey code converter.

Page 49: Vlsi Final File
Page 50: Vlsi Final File
Page 51: Vlsi Final File
Page 52: Vlsi Final File
Page 53: Vlsi Final File

WAVEFORM:

RESULT: Designed 4bit binart to BCD converter using sequential statements.

Page 54: Vlsi Final File
Page 55: Vlsi Final File
Page 56: Vlsi Final File

WAVEFORM:

RESULT: Designed 8 Bit parity generator, observedRTL view and waveform.

Page 57: Vlsi Final File
Page 58: Vlsi Final File
Page 59: Vlsi Final File
Page 60: Vlsi Final File

WAVEFORM:

RESULT : Designed SR flipflop , absered waveforma nd RTL view.

Page 61: Vlsi Final File
Page 62: Vlsi Final File
Page 63: Vlsi Final File

WAVEFORM:

RESULT: Designed Jk flipflop, Observed RTL view and waveform.

Page 64: Vlsi Final File
Page 65: Vlsi Final File
Page 66: Vlsi Final File

WAVEFORM:

RESULT: Designed D flip flop , observed RTL view and waveform.

Page 67: Vlsi Final File
Page 68: Vlsi Final File
Page 69: Vlsi Final File

WAVEFORM:

RESULT: Designed T flip flop , observed RTL view and waveform.

Page 70: Vlsi Final File
Page 71: Vlsi Final File
Page 72: Vlsi Final File

WAVEFORM:

RESULT : Designed 8 bit shift register, observed RTL view and waveform.

Page 73: Vlsi Final File

Experiment No. 13

AIM: Design of ALU.

SOFTWARE USED: Version: Xilinx 6.3i. Simulator: Model Sim 5.4a.

MODELLING STYLE: Behavioral.

RTL VIEW:

Page 74: Vlsi Final File

Experiment No. 13

AIM: Design of ALU.

SOFTWARE USED: Version: Xilinx 6.3i. Simulator: Model Sim 5.4a.

MODELLING STYLE: Behavioral.

VHDL CODE FOR 8bit ALU

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;

ENTITY alu8bit ISport(a, b : in std_logic_vector(7 downto 0); -- a and b are busses

op : in std_logic_vector(2 downto 0);zero : out std_logic;

f : out std_logic_vector(7 downto 0));END alu8bit;

architecture behavioral of alu8bit isbegin

process(op)variable temp: std_logic_vector(7 downto 0);begincase op is

when "000" =>temp := a and b;

when "100" =>temp := a and b;

when "001" =>temp := a or b;

when "101" =>temp := a or b;

when "010" =>temp := a + b;

when "110" =>temp := a - b;

when "111" =>if a < b thentemp := "11111111";elsetemp := "00000000";end if;

when others =>temp := a - b;

Page 75: Vlsi Final File

end case;if temp="00000000" thenzero <= '1';elsezero <= '0';end if;f <= temp;end process;

end behavioral;

Page 76: Vlsi Final File
Page 77: Vlsi Final File

WAVEFORM:

RESULT: Designed ALU, observed RTL view and waveform.

Page 78: Vlsi Final File
Page 79: Vlsi Final File
Page 80: Vlsi Final File

WAVEFORM:

RESULT: Designed 8 bit universal shift register, observed RTL view and waveform.

Page 81: Vlsi Final File
Page 82: Vlsi Final File
Page 83: Vlsi Final File
Page 84: Vlsi Final File

WAVEFORM:

RESULT: Designed mod3 counter, observed RTL view and output waveform.

Page 85: Vlsi Final File
Page 86: Vlsi Final File
Page 87: Vlsi Final File
Page 88: Vlsi Final File

WAVEFORM:

RESULT: Designed mod 5 counter , observed RTL view and output waveform.

Page 89: Vlsi Final File
Page 90: Vlsi Final File
Page 91: Vlsi Final File

WAVEFORM:

RESULT: Designed mod 7 counter, observed RTL view and waveform.

Page 92: Vlsi Final File
Page 93: Vlsi Final File
Page 94: Vlsi Final File

WAVEFORM:

RESULT : Designed mod 8 counter, observed RTL view and output waveform.

Page 95: Vlsi Final File
Page 96: Vlsi Final File
Page 97: Vlsi Final File

WAVEFORM:

RESULT: Designed mod 16 counter, obsereved RTL view and waveform.

Page 98: Vlsi Final File
Page 99: Vlsi Final File
Page 100: Vlsi Final File
Page 101: Vlsi Final File

WAVEFORM:

RESULT:Designed a decimal up/down counter, observed RTL view and waveform.