vikas maheshwari

Upload: maheshwarivikas1982

Post on 06-Apr-2018

236 views

Category:

Documents


0 download

TRANSCRIPT

  • 8/3/2019 Vikas Maheshwari

    1/44

    Estimation of Delay, Power, and Bandwidth for On-Chip

    VLSI Global Interconnects

    Vikas Maheshwari

    M.Tech-Final Year (Microelectronics & VLSI)

    (08/ECE/455 )

    Under the supervisions of

    Dr. Ashis Kumar Mal Mr. Rajib KarAsst. Professor, ECE Deptt Asst. Professor, ECE Deptt

    N.I.T. Durgapur N.I.T. Durgapur

  • 8/3/2019 Vikas Maheshwari

    2/44

    Content

    Introduction

    Moment Matching and Model Order Reduction

    Interconnect Models Distributed RC Tree Model

    Distributed RLC Tree Model

    Distributed RLCG Tree Model

    Conclusion

    Future Prospects Publications

    References

  • 8/3/2019 Vikas Maheshwari

    3/44

    Introduction

    Interconnection is a medium through which

    signal propagates from point A to reach other points,

    such as B and C.

    In the nanotechnology age, as ultra deep sub-micron effects

    continue to wreak havoc on the integrity of the signal, so efficientand accurate computation of interconnect parameters has becomecritical.

    Due to the large number and complex nature of on-chipinterconnects, the accurate estimation of the propagation delay inthe interconnects is very important for the design of high speedVLSI systems.

    This work presents the analysis and parameters estimation of RC,RLC and RLCG interconnects. Topics covered in this workinclude on-chip interconnect delay , bandwidth, crosstalk andpower modeling for different interconnect models.

  • 8/3/2019 Vikas Maheshwari

    4/44

    Mom ent Matching

    Moments of the impulse response are widely used for interconnectdelay analysis, from the explicit Elmore delay expression, tomoment matching methods which creates reduced order trans-

    impedance and transfer function approximations. If more moments are required for an accurate approximation,

    moment matching or other order reduction schemes can be used togenerate reduced-order dominant pole/zero approximations for the

    interconnect transfer, admittance, and impedance functions. Consider the simple RC ladder circuit shown in Figure 1 We can

    express the transfer function of this circuit as

    Figure 1 Simple RC ladder Circuit

    m

    m

    n

    n

    sbsbsb

    sasasaa

    sVin

    sVoutsH

    ............................1

    ..........................

    )(

    )()(

    2

    21

    2

    210

    where m>n

  • 8/3/2019 Vikas Maheshwari

    5/44

  • 8/3/2019 Vikas Maheshwari

    6/44

    The aim of MOR is to perform the simulation and analysis on thereduced system instead of the original one in order to increasecomputational efficiency.

    MOR is the technique that approximates the original large scale systemwith a smaller scale system without introducing much degradation of

    accuracy in both frequency and time domains.Fig.2 demonstrates the general mechanism of MOR in a single-inputsingle-output where r

  • 8/3/2019 Vikas Maheshwari

    7/44

    Interconnect Models

    On-chip global interconnect lines are modeled in three types as discussed

    bellow

    Distributed RC Interconnect Model

    Distributed RLC Interconnect Model

    Distributed RLCG Transmission line Interconnect Model

    Distributed RC interconnect models are of three types L, T, as shown

    in figure 3.

    L-Type T-Type -Type

  • 8/3/2019 Vikas Maheshwari

    8/44

    Distr ibuted RC Tree Model

    On-chip VLSI interconnect is most often modelled by RC tree. The RC

    model is easy to compute, but relatively inaccurate. Figure 4 shows a

    typical RC tree.

    Figure 4 An Interconnect and its electrical model

    For a uniform structure with a rectangle cross-section the resistance is

    given by

    w

    lRR S

    tRS

    Where

    p, l, t, and w are the resistivity, length,

    thickness, and width of the wire

  • 8/3/2019 Vikas Maheshwari

    9/44

    For capacitance extraction many different techniques can be employed.

    Depending on the desired accuracy, these methods can vary from usingvery simple 2-D analytical models to employing 3-D electrostatic field

    solvers.

    The simplest curve-fitting based model approximates the per-unit-length

    capacitance as

    One conservative estimate for the number of lumped segments (N)

    required to model a URC, based on the maximum signal frequency ofinterest, is obtained by solving

    222.0

    8.215.1h

    t

    h

    wC

    wCCC 10

    N

    N

    RC

    Nf

    2

    12cos1

    2 2

    max

    (4)

    (5)

    (6)

    (4)

    (5)

  • 8/3/2019 Vikas Maheshwari

    10/44

    Venue: N.I.T. Durgapur, W.B.

    RC Delay Model Based on Gamma

    Distribution Elmore assumed and therefore approximated the median (the

    desired delay) by the mean of the impulse response.

    The main idea behind our Delay metrics is to match the mean and

    variance of the impulse response to those of Gamma distribution.

    The Gamma distribution is a two parameter continuous distribution. It

    is well suited to match the impulse response of the generalized RC

    network since both are unimodel and have non-negative skewness.

    The PDF of Gamma distribution is shown in the following figure-5.

    1mk

    Figure 5 The Gamma Distribution Function

  • 8/3/2019 Vikas Maheshwari

    11/44

    The probability density function of gamma distribution g , n (t), is a

    function of one variable t and two parameters and n

    Gammas cumulative distribution function [CDF] as a function of t is

    given by

    CDF must satisfy the following conditions

    Calculation of Parameters of the Gamma Distribution Function

    Mean and Variance of the Gamma function is given by

    0,)(

    )(1

    ,

    tn

    ettg

    tnn

    n

    0,)(

    0

    1

    xdyeyxyx

    Where

    0,1)(

    tetht

    0)(,1)(1)(00

    tFLimtFLimandtFtt

    1mn

    2212 2mmn

    (7)

    (8) (9)

  • 8/3/2019 Vikas Maheshwari

    12/44

    From (8) and (9),

    Calculation of Median (50 % Delay) of the Gamma Distribution Function

    Median of Gamma function is given by

    Mode=3*Median-2* Mean

    From (3.27) and (3.28),

    2

    2

    1

    21

    2

    2

    1

    1

    2

    2 mmmnand

    mmm

    (10)

    3

    13/

    13

    3/21

    3

    2

    nn

    nnMeanModeMedian

    (11)

    1

    21

    1

    2

    2

    11

    3

    2

    3

    4-%)(50Delayor,

    3

    2

    m

    mm

    m

    mmmMedian

    (12)

  • 8/3/2019 Vikas Maheshwari

    13/44

    Experimental Set-Up

    We have implemented the proposed delay estimation method using

    Gamma Distribution and applied it to widely used actual interconnect RC

    networks as shown in Figure6. For each RC network source we put a

    driver, where the driver is a voltage source followed by a resister.

    We compare the delays obtained from SPICE with those found using our

    proposed model. The results for the 50% delay are summarized in Table

    1.

    (2) (4) (5)

    (6) (7)

    (1) (3)

    60 ohm

    1.2pF1pF

    60 ohm

    1.2pF

    60 ohm

    1pF

    60 ohm

    1pF

    60 ohm60 ohm

    1pF

    +

    -

    Vin

    0.5pF

    80 ohm

    Figure 6 An RC Tree Example

  • 8/3/2019 Vikas Maheshwari

    14/44

    Experimental Result

    0.4510.4527

    0.3730.3756

    0.9230.9195

    0.8280.8454

    0.6970.7003

    0.4930.4772

    0.2340.1961

    Proposed Model (ns)SPICE (ns)Node

    Table 1 Comparison of the 50% delays between SPICE and the Proposed

    Delay Metric (time in ns).

  • 8/3/2019 Vikas Maheshwari

    15/44

    Distributed RLC Tree Model

    Importance of On-Chip Inductance

    With advanced technology trends, on-chip inductance effects, suchas delay increase, overshoot, and inductive crosstalk, can no longerbe ignored. Inductance effects have become increasingly significant

    because:

    As the clock frequency increases and the rise time decreases,electrical signals comprise more and more high frequencycomponents, making the inductance effect more significant.

    With the increase of chip size, it is fairly typical that many wires are

    long and run in parallel, which increases the inductive crosstalk.

    Due to the lack of highly conductive ground planes on the chips, themutual coupling between the wires cover very long ranges and

    decrease very slowly with the increase of spacing.

  • 8/3/2019 Vikas Maheshwari

    16/44

    Effect of Inductance

    A key aspect of RLC delay estimation is first controlling the damping,

    then approximating the delay. Excessive settling time increases delay in

    some sense, both under-damping and over-damping adversely impact

    delay. This is evidenced by the responses in Figure 7(b) for the series

    terminated RLC line in Figure 7(a).

    Figure 7 (a) A source terminated RLC Transmission Line. (b) Response of the RLC

    system as RS4>RS3>RS2>RS1.

  • 8/3/2019 Vikas Maheshwari

    17/44

    Power-Estimation using Model

    Order Reduction TechniqueWe consider a distributed RLC line as shown in Figure 8

    Suppose that it is excited by a step input. Then, the Laplace transform of

    v(x, t) for a distributed RLC line of infinite length is given by

    Fig 8 A distributed RLC Interconnect

    BAsxVsxV inout .).,(),(

    trRs

    l

    rs

    Z

    sl

    rsZ

    A

    )(

    /)(

    0

    0

    Where

    )(l

    rsslcx

    eB

  • 8/3/2019 Vikas Maheshwari

    18/44

    For the step input the output equation is (by takingRtr=r)

    The current equation in the time domain is given by

    By applying Laplace transform on both side of

    )(

    )(

    /)(),( l

    rsslcx

    out e

    rs

    l

    rs

    cls

    slrscl

    sxV

    x

    txv

    rtxI

    ),(1),(

    sr

    s

    )l

    rs(lcrs

    e)l

    rs(lc

    ))l

    rs(s(lce

    rs

    )l

    rs(

    cls

    s/)l

    rs(

    cl

    r

    1)s,x(I

    2

    )l

    rs(slcx-

    )l

    rs(slcx

  • 8/3/2019 Vikas Maheshwari

    19/44

    By equating the denominator term to zero, we get the pole of I(x, s) as

    The pole P2 is in the left half of the s-plane, so we can write

    The residue of I(x, s) at pole P2

    is given by

    Thus the energy dissipation at the arbitrary position is given by

    2210

    crl

    rPandP

    2

    2 )2(

    22

    2

    2

    ))2((

    )2(),( crl

    crlcrx

    ecrlcr

    crlcpxI

    2

    2

    2

    22 ),()(limcrl

    rcrx

    psercsxIpsr

    2

    2

    2

    2

    2

    crl

    rc)crl2(cr

    x

    22

    232

    crl

    )crl2(crx

    222

    2

    crl

    rcrx

    2

    2

    e))crl2(cr(

    )crl2(ccr

    e))crl2(cr)(crl(

    )crl2(ce.rcr

    )p,x(Iresiduer)x(E

  • 8/3/2019 Vikas Maheshwari

    20/44

    The obtained expression for the distribution of energy dissipation can be

    plotted as an exponential form as shown in the Figure-9.

    We have implemented the proposed power estimation method using

    Model Order Reduction technique and applied it to widely used actual

    interconnect RLC networks as shown in Figure-10.

    Fig 9 The Distribution of Energy Dissipation for a distributed RLC Interconnect

  • 8/3/2019 Vikas Maheshwari

    21/44

    Table-2 gives the comparative result of the energy dissipation computed

    using SPICE and our method.

    9.57X1051068.8X105106

    9.35X1041058.5X104105

    9.25X1031048.6X103104

    9.2X1021038X102103

    7958X1027008X102

    5906X1025756X102

    8510275100

    101010198888

    1111

    0.20.20.20.2

    Our Model (J)SPICE Model (J)Our Model (J)SPICE Model (J)

    No of Nodes=1500No of Nodes=1000

    Table-2 Comparison of the energy distribution for randomly generated RLC circuit

  • 8/3/2019 Vikas Maheshwari

    22/44

    Figure-11 & Figure-12 show the graphical representation of the result for

    the circuit with 1000 and 1500 nodes respectively.

    Fig-11. Comparison of the energy distribution

    for randomly generated RLC circuit with

    1000 nodesFig-12. Comparison of the energy distribution

    for randomly generated RLC circuit with

    1500 nodes

  • 8/3/2019 Vikas Maheshwari

    23/44

    Distributed RLCG Tree Model

    In case of very high frequency as in Giga scale (GHz), no longer caninterconnects be treated as mere delays or lumped RC networks. Themost common simulation model for interconnects is the distributedRLC and RLCG model.

    In this case, the commonly and generally well-accepted Elmore delaycalculation becomes inapplicable to RLC and RLCG interconnectnetworks due to their non-monotonic characteristics induced byinductances.

    Interconnect lines may be coupled to study the effects of mutualinductive and capacitive coupling.

    Our model considers both lossless components (i.e. L, C) and lossycomponents (i.e. R, G). The SPICE simulation justifies the accuracy

    of our proposed approach.

  • 8/3/2019 Vikas Maheshwari

    24/44

    Transmission Line Model

    An infinitesimal unit length of the transmission line looks like the circuitas shown in Figure 13. The parameters are defined as R, L, C and G is

    Series resistance, Series inductance, Shunt capacitance and Shunt

    conductance per unit length.

    The following is a simple rule of thumb which can be used to determine

    when to use transmission line models.

    Fig 13. RLCG parameters fora segment of a transmission

    line.

    ellinglumpedv

    l

    ellinglumpedorlineontransmissieitherv

    l

    v

    l

    ellinglineontransmissiv

    l

    fallrise

    fallrise

    fallrise

    mod5)(

    mod5)(5.2

    mod5.2)(

  • 8/3/2019 Vikas Maheshwari

    25/44

    Crosstalk

    Crosstalk is undesired energy imparted to a transmission line due to

    signals in adjacent lines. The crosstalk noise between two shielded

    interconnects can produce a peak noise of 15% of VDD in a 0.18 um

    CMOS technology. In the complicated multilayered interconnect system,

    signal coupling and delay strongly affect circuit performances .

    Major impacts of cross talk are:

    (I) Crosstalk induces delays, which change the signal propagation time,

    and thus may lead to setup or hold time failures.

    (II) Crosstalk induces glitches, which may cause voltage spikes on wire,

    resulting in false logic behaviour. Crosstalk affects mutual inductance as

    well as inter-wire capacitance.(III) crosstalk will induce noise onto other lines, which may further

    degrade the signal integrity and reduce noise margins

    (IV) crosstalk will change the performance of the transmission lines in a

    bus by modifying the effective characteristic impedance and propagation

    velocity .

  • 8/3/2019 Vikas Maheshwari

    26/44

    Difference Model Approximation

    The time-domain difference approximation procedure should be

    employed only if transient characteristics are available .

    It can directly handle lines with arbitrary frequency-dependent

    parameters or lines characterized by data measured in frequency-domain

    For a single RLCG line, the analytical expressions are obtained for the

    transient characteristics and limiting values for all the modules of the

    system and device models.

    The difference approximation procedure involves an approximation of

    the dynamic part of the system transfer function, with the complex rational

    part of the transient characteristic with the real exponential series.

  • 8/3/2019 Vikas Maheshwari

    27/44

    Modeling of Bandwidth Using

    Difference Model ApproximationWe first consider the interconnect system consisting of single uniform line

    and ground as shown in Figure 14, and assume the length of the line is d.

    The electrical parameters of each section are RX, LX, CX and GX,respectively, where R, L, C and G are per-unit length resistance,

    inductance, capacitance and conductance of the line.

    Fig. 14 Equivalent circuit of each uniform section

  • 8/3/2019 Vikas Maheshwari

    28/44

    Using Kirchoffs Law, we can write

    Simplifying the above two equations and applying Laplace

    transformation, we get

    Differentiating equations (3) and (4) with respect to x, and after

    simplifying we get,

    ),(),(),(),( txxvdt

    txdiLRtxitxv xx

    ),(),(

    ),(),( txxidt

    txxdvctxxvGtxi xx

    )()()(

    xIsLRx

    xV

    )()()(

    xVsCGx

    xI

    )()( 2

    2

    2

    xVx

    xV

    )()( 2

    2

    2

    xIx

    xI

    (1)

    (2)

    (3)

    (4)

    (5)

    (6)

  • 8/3/2019 Vikas Maheshwari

    29/44

    The general solution of equation (5) is given by

    Where A1 and A2 are the constants determined by the boundary

    conditions. From equations (5) and (7 )

    Assuming at x=d, the termination voltage and current are V (d) =V2 and

    I (d) =I2, respectively, then we get,

    From equation (9) and (10) we get

    xx

    eAeAxV

    21)(

    (7)

    )()(21 xIsLReAeAx

    xx

    xx eAeAZ

    xI 21

    0

    1)(

    (8)

    ddeAeAV

    212

    ][1

    21

    0

    2

    dd eAeA

    Z

    I

    deZIVA 02212

    1 deZIVA 0222

    2

    1

    (9)

    (10)

  • 8/3/2019 Vikas Maheshwari

    30/44

    Substituting these values of A1 and A2 in equation (7)

    Similarly we calculate for I (x) as

    Let at x=0, V(x) =V1 and I(x) =I1 then from equation (9) and (11), we can

    write

    So we can write ABCD matrix from equation (13) and (14)

    )(022)(022

    22)( dxxd eZIVeZIVxV

    )(022)(022

    0 22

    1)( dxxd e

    ZIVe

    ZIV

    ZxI

    (11)

    (12)

    2021 )sinh()cosh( IdZVdV

    22

    0

    1 )cosh()sinh(1

    IdVdZ

    I

    (13)

    (14)

    2

    2

    0

    0

    1

    1

    )cosh()sinh(1

    )sinh()cosh(

    I

    V

    ddZ

    dZd

    I

    V(15)

  • 8/3/2019 Vikas Maheshwari

    31/44

    From equation (15), we can write the equation for the transfer function of

    the system

    After simplification, we get from equation (16)

    Substitute s=j in equation (17) and after simplification

    Apply modulus on both side and equate to ,we get

    )cosh(

    1

    )(

    )()(

    1

    2

    dsV

    sVsH

    (16)

    ))((

    1

    )(

    )()(

    1

    2

    C

    G

    sL

    R

    s

    sV

    sVsH

    (17)

    C

    G

    L

    Rj

    CL

    RGjH

    2

    1)(

    2

    2

    2

    2

    1

    2

    1

    C

    G

    L

    R

    CL

    RG

    (18)

    (19)

    2

    1

  • 8/3/2019 Vikas Maheshwari

    32/44

    After simplification, we get 3-dB bandwidth in Hz and is given as,

    The above equation (20) is our proposed closed form bandwidth

    expression taking crosstalk noise voltage into consideration for distributed

    RLCG interconnects line.

    In Table 3, results are summarized for the 10mm length of interconnect at

    different operating frequencies when the values of source resistant RS and

    load capacitance CL are kept constant .

    2

    8

    2

    1

    222

    22

    3

    CG

    LR

    CG

    LR

    fdb

    (20)

    3.9732.42.71.220

    3.642.252.42.71.215

    3.271.52.42.71.210

    BW (GHz)G (mS)C (pF)L (nH)R (K)Frequency (GHz)

    Table-3 Bandwidth for different values Operating Frequencies

  • 8/3/2019 Vikas Maheshwari

    33/44

    Conclusion

    The first part of the proposed work discussed about an efficient and

    accurate interconnect delay metric based on Gamma function for

    high speed VLSI RC global interconnects.

    In the second part of the proposed work, a brief analytical model is

    presented for calculating the delay and power for the RLCinterconnects.

    The last part of the work proposed a distributed RLCG

    transmission line model of interconnects using difference model

    approach.

  • 8/3/2019 Vikas Maheshwari

    34/44

  • 8/3/2019 Vikas Maheshwari

    35/44

    Publications

    Rajib Kar, Vikas Maheshwari, A.K. Mal, A.K. Bhattacharjee, Delay Analysis for

    On-Chip VLSI Interconnect using Gamma Distribution Function, International

    Journal of Computer Application (IJCA). Vol. 1, No. 3, Article 11, pp. 77-80, 2010,

    Foundation of Computer Science (FCA) Press

    Rajib Kar, Vikas Maheshwari, A.K. Mal, A.K. Bhattacharjee, A Model for Slew

    Evaluation for On-Chip RC Interconnects using Gamma Distribution Function,International Journal of Computer Application (IJCA).Vol. 1, No. 10, Article 13, pp.

    88-93. 2010, Foundation of Computer Science (FCA) Press.

    Rajib Kar, Vikas Maheshwari, Md. Maqbool, A.K.Mal, A.K.Bhattacharjee , An

    Explicit Model of Delay and Slew Metric for On-Chip VLSI RC Interconnects for

    Ramp Inputs using Gamma Distribution Function, International Journal of Recent

    Trends in Engineering, Academy Publisher, Finland. Vol. Issue. pp

    Rajib Kar, Md. Maqbool, Vikas Maheshwari, A.K. Mal, A.K. Bhattacharjee, Power-

    Estimation for On-Chip VLSI Distributed RLC Global Interconnect Using Model

    Order Reduction Technique, International Journal of Computer Application (IJCA).

    Vol. 1, No.14. pp. 96-101, 2010. Foundation of Computer Science (FCA) Press

  • 8/3/2019 Vikas Maheshwari

    36/44

    Rajib Kar, Vikas Maheshwari, Md. Maqbool, A.K.Mal, A.K.Bhattacharjee, A Closed

    Form Modelling of cross-talk for Distributed RLCG On-Chip Interconnects Using

    Difference Model Approach, International Journal on Communication Technology(IJCT), India

    Rajib Kar, V. Maheshwari, Md. Maqbool, A.K.Mal, A.K.Bhattacharjee, An Explicit

    Coupling Aware Delay Model for Distributed On-Chip RLCG Interconnects Using

    Difference Model Approach, International Journal of Embedded Systems and

    Computer Engineering, Vol. 2 Issue.1.pp.39-42, Serial Publications, India

    Rajib Kar, Vikas Maheshwari, Md. Maqbool, Sangeeta Mandal , A.K.Mal,A.K.Bhattacharjee , Closed Form Bandwidth Expression for Distributed On-Chip

    RLCG Interconnects, IEEE International Conference on Advances in Computer

    Engineering (ACE 2010), pp. June 20-21, 2010 , Bangalore, INDIA

    Rajib Kar, V. Maheshwari, Aman Choudhary, Abhishek Singh, Ashis K. Mal, A. K.

    Bhattacharjee, Coupling Aware Power Estimation for Distributed On-Chip RLCG

    Interconnects Using Difference Model Approach, 2nd IEEE International Conferenceon Computing, Communication and Networking Technologies (ICCCN 2010), 29th -

    31st July, 2010 Karur, India.

  • 8/3/2019 Vikas Maheshwari

    37/44

    Acknowledgement

    I would like to express my heartily thank to my project supervisers

    Dr. Ashis Kumar Mal and Prof. Rajib Kar who are the Professors

    of Electronics & Communication Engineering Department N.I.T.

    Durgapur, for their precious guidance & effectual care.

    I want to express my deep gratitude to Dr. S.K. Dattta, Professor &

    former Head, Electronics & Communication Engineering Department,

    N.I.T. Durgapur, for his support, guidance and kindness throughout

    my M.Tech Degree.

  • 8/3/2019 Vikas Maheshwari

    38/44

    References

    Sung-Mo Kang and Yusuf Leblebici, CMOS Digital Integrated Circuits Analysis and Design,TMH Publication,2008

    Intel website, http://www.intel/research/silicon/mooreslaw.htm, Sept. 2010

    C. Bermond, B. Flechet, A. Fracy, V. Arnal, J. Torres, G LeCarval, G. Angenieux, and R. Salik.Impact of Dielectrics and Metals Properties on Electrical Performances of Advanced On-ChipInterconnect, Proceedings of the International Interconnect Technology Conference, 2002, p. 161-163

    T. Kikkawa, Current and Future Low-K Dielectrics for Cu Interconnects, Proceedings ofInternational Electron Devices Meeting, 2000, p. 253-256.

    L. Peters, Pursuing the Perfect Low-K Dielectric, Semiconductor International, September1998, p. 64-74. 98 Bibliography

    C. Cregut, G. Le Carval, J. Chilo. Low-K Dielectrics Influence on Crosstalk: ElectromagneticAnalysis and Characterization, Proceedings of the International Interconnect TechnologyConference, 1998, p. 59-61.

    R. Donaton, F. Iacopi, M Baklanov, D. Shamiryan, B. Coenegrachts, H. Struyf, M. Lepage, MMeuris, M. Van Hove, W. Gray, H. Meynen, D. DeRoest, S. Vanhaelemeersch, K. Maex, Physicaland Electrical Characterization of Silsesquioxane-Based Ultra-Low k Dielectric Films, Proceedings

    of the International Interconnect Technology Conference, 2000, p. 93-95. S. Jang, Y. Chen, T. Chou, S. Lee, C. Chen, T. Tseng, B. Chen, S. Chang, C, Yu, and M. Liang.

    Advanced Cu/Low-k (k=2.2) Multilevel Interconnect for 0.10/0.07 mm Generation, Symposium onVLSI Technology Proceedings, 2002, p. 17-18.

    M. Anders, N. Rai, R. Krishnamurthy, S. Borkar. A Transition-Encoded Dynamic BusTechnique for High-Performance Interconnects, Symposium on VLSI Circuits Proceedings, 2002, p.16-17.

  • 8/3/2019 Vikas Maheshwari

    39/44

    L. T. Pillage. Coping with RC(L) interconnect design headaches. Proceedings of the IEEE/ACM

    International Conference on Computer- Aided Design, pp. 246-253, September 1995.

    Y. I. Ismail, E. G. Friedman, and J. L. Neves. Figures of merit to characterize the importance of on-chipinductance. Proceedings of the IEEE/ACM Design Automation Conference, pp. 560-565, June 1998.

    F. R. Awwad, and M. Nekili. Regeneration techniques for RLC VLSI interconnects. Proceedings of the

    International Conference on Microelectronics, Morocco, pp. 209-212, Oct. 2001.

    A. Deutsch et al. When are transmission-line effects important for on-chip interconnections?. IEEE Trans.

    Microwave Theory Tech., vol. 45, pp. 1836-1846, Oct. 1997.

    A.Deutsch, Electrical characteristics of interconnects for high performance system, IEEE Proceedings,

    86(2): 315-355, feb.1998.

    IBM Journal of Research and Development, Vol. 39, No. 4, 1995, cover photo.

    G. Kron, Equivalent circuit for the field equations of Maxwell, Proc. IRE, vol. 32, no.289,1944.

    Saihua Lin, Huazhong Yang, A novel d/n RLCG transmission line model considering complex RC(L)

    loads, submitted to IEEE Trans. Computer-Aided Design of Integr.Circuits Syst.,2006

    Richard Chang , Near Speed-of-Light On-Chip Electrical Interconnects Ph.D. Dissertation , Stanford

    University, November 2002

    E. Chiprout, and M.S. Nakhla, Asymptotic Waveform Evaluation, Kluwer Academics Publishers, 1994

    L.T. Pillage, R.A. Rohrer, and C. Visweswariah, Electronic circuit and System Simulation Methods,

    McGraw-Hill, 1995.

    W. C. Elmore, The Transient Response of Damped Linear Networks with Particular Regard to Wideband

    Amplifiers, J. Applied Physics, vol. 19, no. 1, Jan. 1948. Pagees :55 63 R. Kay and

    L.Pilggi,PRIMO:Probability interpretation of Moments for delay Calculation, Proc. ACM/IEEE Design

    Automation conf.,june 1998. Pages: 463 - 468

    R. Gupta, B. Tutuianu and L. Pileggi, The Elmore Delay as Bound for RC Trees Generalized input

    Signals, IEEE Trans. Computer-Aided Design, vol. 16, no. 1, January 1997. Pagees :95 104

  • 8/3/2019 Vikas Maheshwari

    40/44

    Celik Mustafa, Lawrence Pileggi, Alten Odabasioglu, IC Interconnect Analysis, Kluwer Academic

    Publishers, 2002

    Pillage L.T and Rohrer R.A, Asymptotic Waveform Evaluation for timing analysis, IEEE Transactions on

    Computer-Aided Design, Vol. CAD-9, No. 4, pp. 352 - 366, April 1990

    Rubinstein, P. Penfield, Jr., and M. A. Horowitz, Signal delay in RC tree networks, IEEE Trans. on

    Computer Aided Design, vol. 2, pp. 202-211, 1983.

    Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu, and Anirudh Devgan, PERI: A Technique for

    Extending Delay and Slew Metrics to Ramp Inputs International Symposium on Physical Design archive

    Proceedings of the 2003 ,Pages: 24 - 31

    Alpert, C.J., A. Devgan and C.V. Kashyap, RC delay metric for performance optimization, IEEE Trans.

    Computer-Aided Design, vol. 20, No. 5, pp. 571-582, May 2001

    Lin, T., E. Acar and L. Pileggi, H-gamma: An RC delay metric based on Gamma distributionapproximation of the homogeneous response, Proc. IEEE/ACM Intl. Conf. Computer- Aided Design, 1998

    Weisstein, E., Eric Weissteins World of Mathematics, http:// mathworld.wolfram.com, Wolfram Research

    Gupta, R., B. Tutuianu and L.T. Pileggi, The Elmore delay as a bound for RC trees with generalized input

    signals, IEEE Trans. Computer-Aided Design, vol. 16, No. 1, pp. 95-104, January 1997

    Elmore, W.C, The transient response of damped linear network with particular regard to wideband

    amplifiers, Journal of Applied Physics, vol. 9, pp. 55-63, 1948

    Gupta, R., B. Tutuianu and L.T. Pileggi, The Elmore delay as a bound for RC trees with generalized input

    signals, IEEE Trans. Computer-Aided Design, vol. 16, No. 1, pp. 95-104, January 1997R.Kay and L.Pileggi, PRIMO: Probability Interpretation of Moments for Delay Calculation, IEEWACM

    Design Automation Conference, 1998, pp. 463-468.

    T. Lin, E. Acar, and L. Pileggi, h-gamma: An RC Delay Metric Based on a Gamma Distribution

    Approximation to the Homogeneous Response, IEEE/ACM International Conference on Computer-Aided

    Design, 1998, pp. 19-25.

    C. Chu and M. Horowitz, Charge-Sharing Models for Switch Level Simulation, Trans. on CAD, Volume 6,

    Issue 6 June, 1987.

  • 8/3/2019 Vikas Maheshwari

    41/44

    Harald Cramer. Mathematical Methods of Statistics. Princeton University Press, 1946.

    R.Kay and L.Pileggi, PRIMO: Probability Interpretation of Moments for Delay Calculation, IEEWACM

    Design Automation Conference, 1998, pp. 463-468.H. L MacGillivray, The Mean, Median, Mode Inequality and Skewness for a Class of Densities,

    Australian J. of Statistics, vol. 23 no. 2, 1981.

    H. J. Larson, Introduction to Probability Theory and Statistical Inference, 3rd ed., John Wiley & Sons

    pub., 1982.

    Bakoglu, H. B., Circuits, Interconnects, and Packaging for VLSI. Addison-Wesley Publishing Company,

    1990.

    Kar, R.; A.K. Mal, A. K. Bhattacharjee, An Accurate Slew Metric for on-chip VLSI Interconnect using

    Weibull Distribution Function ACM ICAC3 2009. 601-604 January 2009.

    R. Kar, V. Maheshwari, Ashis K. Mal, A.K. Bhattacharjee, Delay Analysis on-chip VLSI Interconnect using

    Gamma Distribution Function, International Journal of Computer Applications Volume 1 No. 3, pp. 77-80,

    2010. R.Kar, A. Chattaraj, A.Chandra, A.K.Mal, A.K.Bhattacharjee, Delay Estimation for On-Chip VLSI

    Interconnect using Weibull Distribution Function, IEEE Region 10 Colloquium and the Third ICIIS , pp- ,

    2008

    Charles J. Alpert, Frank Liu, Chandramouli Kashyap, and Anirudh Devgan. Delay and Slew Metrics Using

    the Lognormal Distribution. DAC 2003, pp. 382-385.

    Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu, and Anirudh Devgan, PERI: A Technique for

    Extending Delay and Slew Metrics to Ramp Inputs Proceedings of the 8th ACM/IEEE internationalworkshop on Timing issues in the specification and synthesis of digital systems 2002, pp. 57 62.

    Rajib Kar, Ashish K. Mal, Anup K. Bhattacharjee An Accurate Slew Metric for on-chip VLSI

    Interconnect using Weibull Distribution Function, International Conference on Advances in Computing,

    Communication and Control (ICAC309), pp-601-604, 2009.

    L. T. Pillage and R. A. Rohrer, Asymptotic Waveform Evaluation for Timing Analysis, Tran. on CAD,

    Volume 9, Issue 4, 1990. pp. 331- 349

  • 8/3/2019 Vikas Maheshwari

    42/44

    Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu, and Anirudh Devgan, Closed Form Expressions for

    Extending Step Delay and Slew Metrics to Ramp Inputs, International Symposium on Physical Design archive

    Proceedings of the 2003 ,Pages: 24 - 31N. N. Rao, Elements of Engineering Electromagnetics. Englewood Cliffs, NJ: Prentice-Hall, 1991

    A.Deutsch, Electrical characteristics of interconnects for high performance system, IEEE Proceedings,

    86(2): 315-355, feb.1998.

    B. Krauter and L.T. Pileggi, Generating Sparsh Partial Inductance Matrices with Gauranteed Stability,

    Proceeding of the IEEE Proceeding of the international Conference on Computer- Aided Design, pp. 45-52,

    1995.

    M. Beattie, B. Krauter, L. Alatan, and L. Pileggi, Equipotential Shells for Efficient Inductance Extracion,

    IEEE transactions on Computer Aded design of Integrated circuits and Systems, Vol. 20, No.1, pp-70-79,January 2001

    M. beattie, S. Gupta, and L. Pileggi, Hierarchical Interconnect Circuit Models, Proceeding of the

    IEEE/ACM design Automation Conference,pp. 215-221, November 2000.

    A. Devgan, H. Ji, and W. Dai, How to Efficiently Capture On-Chip Inductance Effects: Introducing a New

    circuit Elemecnt K, Proceeding of the IEEE/ACm Design Automation conference, pp. 150-155, November

    2000.

    Y. Gao and D.F. Wong, Optimal Shape Function for a Bi-directional Wire under Elmore Delay Model,

    ICCAD, pp.622-627, 1997

    Davis J.A and Meindl J.D, Compact distributed RLC interconnect modelsPart I: Single line transient, time

    delay and overshoot expressions, IEEE Trans. Electron Devices, vol. 47, pp. 20682077, Nov.2000.

    Shin Youngsoo, Sakurai T, Estimation of power distribution in VLSI interconnects,. Low Power Electronics

    and Design, International Symposium on, 2001. On page(s): 370-375

    Davis J.A and Meindl J.D, Compact distributed RLC interconnect modelsPart II: Single line transient,

    time delay and overshoot expressions, IEEE Trans. Electron Devices, vol. 47, pp. 20682077, Nov.2000

  • 8/3/2019 Vikas Maheshwari

    43/44

    L. Gal, On-chip crosstalk-the new signal integrity challenge, IEEE Custom Integrated Circuits

    Conference, pp.251-254, 1995.

    Semiconductor Industry Association, National Technology Roadmap for semiconductors, 1997.Shien-Yang Wu, Boon-Khim Liew, K.L. Young, C.H.Yu, and S.C, Analysis of Interconnect Delay for

    0.18m Technology and Beyond, IEEE International Conference Interconnect Technology, May 1999, pp.

    68 70.

    Deutsch, A., et al, When are Transmission-line effects important for on-chip interconnections?, IEEE

    Transactions on MTT, vol.45 no.10. Oct. 1997, pp .1836-1847.

    X. Qi, B. Kleveland, Z. Yu, S. S.Wong, R. W. Dutton, and T. Young, On-chip inductance modeling of

    VLSI interconnects, in 2000 IEEE Int. Solid-State Circuits Conf. Dig. Techn. Papers, 2000, pp. 17273

    Y. I. Ismail, E. G. Friedman and J. L. Neves, Equivalent Elmore delay for RLC trees Proceedings of the36th ACM/IEEE conference on Design automation conference, 1999 pp. 715 - 720

    [L. T. Pillage and R. A. Rohrer, Asymptotic Waveform Evaluation for timing analysis, IEEE Transactions

    on Computer-Aided Design, Vol. CAD-9, No. 4, pp. 352 - 366, April 1990

    J. Zhang and E. G. Friedman, Effect of Shield Insertion on Reducing Crosstalk Noise between Coupled

    Interconnects, Proceeding of the IEEE International Symposium on Circuit and Systems, Vol. 2, pp. 529-532,

    May 2004.

    Y. Massoud, J. Kawa, D. MacMillen, J. White, Modeling and Analysis of Differential Signaling for

    Minimizing Inductive Cross-Talk, IEEE/ACM DAC 2001, June 18-22, 2001, Las Vegas, Nevada, USA.

    K. Lee, C. Nordquist, and J. Abraham, Test Generation for Crosstalk Effects in VLSI Circuits". IEEE

    International Symposium on Circuits and Systems, Vol. 4, pp. 628-631, 1996.

    Clayton R.Paul, Keith W.Whites, Syed A. Nasar Reading Introduction to Electromagnetic Fields" McGraw

    Hill 1998

    Clayton R.Paul, Keith W.Whites, Syed A. Nasar Reading Introduction to Electromagnetic Fields" McGraw

    Hill 1998

  • 8/3/2019 Vikas Maheshwari

    44/44