un-released resonant body transistors (rbt) compact model

42
Un-Released Resonant Body Transistors (RBT) Compact Model Bichoy Bahr Dana Weinstein Luca Daniel Massachusetts Institute of Technology (MIT) August 31 st , 2014

Upload: others

Post on 12-Nov-2021

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Un-Released Resonant Body Transistors (RBT) Compact Model

Un-Released Resonant Body Transistors (RBT)Compact Model

Bichoy BahrDana Weinstein

Luca Daniel

Massachusetts Institute of Technology (MIT)

August 31st , 2014

Page 2: Un-Released Resonant Body Transistors (RBT) Compact Model

i

Copyright © 2014 Massachusetts Institute of Technology (MIT)

Copyright and License

The terms under which the software and associated documentation (the Software)is provided are as the following:

The Software is provided “as is”, without warranty of any kind, express or im-plied, including but not limited to the warranties of merchantability, fitness for aparticular purpose and noninfringement. In no event shall the authors or copyrightholders be liable for any claim, damages or other liability, whether in an action ofcontract, tort or otherwise, arising from, out of or in connection with the Softwareor the use or other dealings in the Software.

MIT grants, free of charge, to any users the right to modify, copy, and redis-tribute the Software, both within the user’s organization and externally, subject tothe following restrictions:

1. The users agree not to charge for the MIT code itself but may charge for ad-ditions, extensions, or support.

2. In any product based on the Software, the users agree to acknowledge theMIT Computational Prototyping Group that developed the software. This ac-knowledgment shall appear in the product documentation.

3. The users agree to obey all U.S. Government restrictions governing redistri-bution or export of the software.

4. The users agree to reproduce any copyright notice which appears on the soft-ware on any copy or modification of such made available to others.

Agreed to byBichoy Bahr, MITDana Weinstein, MITLuca Daniel, MITAugust 31st , 2014

Page 3: Un-Released Resonant Body Transistors (RBT) Compact Model

ACKNOWLEDGEMENTS

This work was supported through the NCN-NEEDS program, which is funded bythe National Science Foundation, contract 1227020-EEC, and by the Semiconduc-tor Research Corporation.

The developers are grateful to Prof. Jaijeet Roychowdhury, UCBerkeley and Prof.Mark Lundstrom, Purdue University for their invaluable input and comments. Thedevelopers would also like to thank Tianshi Wang for his comments as well his ef-forts in reviewing and testing the model.

ii

Page 4: Un-Released Resonant Body Transistors (RBT) Compact Model

CONTENTS

Contents iii

1 Device Physics 11.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2 Device Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.3 Equation of Motion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.4 Electrostatic Drive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.5 FET Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61.6 Thermal Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

2 Verilog-A Implementation 102.1 Modular Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102.2 Resonant Body Mechanical Module . . . . . . . . . . . . . . . . . . . 122.3 Capacitive Transduction Module . . . . . . . . . . . . . . . . . . . . . 142.4 BSIM6 Modifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192.5 Thermal Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232.6 Parasitics Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232.7 RBT Top Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252.8 Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

3 Model Interface and Parameters 273.1 Model Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273.2 Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

4 Simulation Results 304.1 Testbench . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304.2 DC IV-Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314.3 AC Small Signal Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . 314.4 Transient Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324.5 Periodic Steady State (PSS) Analysis . . . . . . . . . . . . . . . . . . . 334.6 Periodic Noise (PNOISE) Analysis . . . . . . . . . . . . . . . . . . . . . 34

Bibliography 37

iii

Page 5: Un-Released Resonant Body Transistors (RBT) Compact Model

CH

AP

TE

R

1DEVICE PHYSICS

This chapter develops the theoretical background and device physics of resonantbody transistors (RBTs). It starts by discussing the structure of the original RBTproposed by Weinstein and Bhave [1]. A detailed discussion and formulation ofeach physical phenomenon involved follows after that.

1.1 Introduction

An RBT is a micro-electromechanical (MEM) resonator with a transistor (FET) in-corporated into the resonator structure to sense the mechanical vibrations. Theelectrostatic drive of RBTs using internal dielectric transduction, along with the FETsensing, enable these devices to easily scale to multi-GHz frequencies. Togetherwith the potential for monolithic CMOS integration [2], they represent a potentialcandidate for uncountable timing and RF applications that continuously drive thetechnology towards minimization of size, weight and power.

This compact model is developed with the aim to capture the diverse and highlycoupled physics intrinsic to the original RBT[1]. The model is aimed to present adeep insight into the physics of the RBT while emphasizing the effect of the dif-ferent parameters on the device performance. It is also intended to grant circuitdesigners and system architects the ability to quickly assess the performance ofprospective RBTs, while minimizing the need for computationally intensive finiteelement method (FEM) simulations.

1

Page 6: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 2

Figure 1.1: (a) Illustration for physical resonator structure (b) different modes forx-displacement.

Figure 1.2: Scanning electron microscope (SEM) micrograph of the original RBTstructure, described in [1].

1.2 Device Structure

The devices considered by this model are 1D released bar-resonator RBTs. The me-chanical structure is a free-free bar, supporting longitudinal standing elastic waves.Figure 1.1 shows the physical structure and vibrational modes of the 1D free-freebar resonator. It is important to notice that these vibrational modes represent in-plane displacement and they are bulk-modes. The bar resonator is anchored bytwo suspension beams from its middle point.

An SEM micrograph of the original RBT demonstrated in [1] is shown in fig-ure 1.2. The resonator is driven at one end by using internal dielectric transduc-tion [3]. This transduction mechanism allows only the excitation of in-plane vibra-tions (bulk modes); out-of-plane vibrations (flexural modes) are not actuated bythis mechanism and hence they are not considered in this discussion. The otherend of the bar incorporates a FET for sensing the mechanical vibrations. FET sens-

Page 7: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 3

ing relies on the piezoresistive modulation of the channel mobility by the mechan-ical stress of the mode. When the sensing FET is biased correctly, small signal ACcurrent will flow in the FET drain (extracted by the outer circuit) in proportion tothe mechanical stress near the FET channel.

For the sake of the following discussion about the mechanical vibrational modes,the bar resonator is assumed to have a length L, extending from x =−L/2 to x = L/2and anchored at x = 0. The bar has a cross section area A. Material properties ρ,Y and ν represent the density, Young’s modulus and Poisson’s ratio of the resonatormaterial respectively.

1.3 Equation of Motion

The first step towards modeling the RBT is to model the mechanical resonance thattakes place in the body. For the sake of the mechanical analysis of the structure,we will assume that both sides of the bar resonator have a dielectric layer as infigure 1.1 on the preceding page. The incorporation of the FET doping is assumedto minimally affect the mechanical behavior of the structure.

The equation for damped vibrations in the bar can be formulated as in [4]:

ρA∂2u(x, t )

∂t 2 −b A∂3u(x, t )

∂t∂x2 − c11 A∂2u(x, t )

∂x2 = ∂ f (x, t )

∂x(1.1)

where b, c11 and f (x, t ) represent the damping factor, the stiffness matrix coeffi-cient and the body force respectively. c11 is related to the Young’s modulus andPoisson’s ratio as:

c11 = Y (1−ν)

(1+ν)(1−2ν)(1.2)

The free boundary conditions at both ends require that:

∂u(x, t )

∂x

∣∣∣∣x=±L/2

= 0 (1.3)

whereas the anchoring boundary conditions require that:

u(0, t ) = 0 (1.4)

Given the boundary conditions in (1.3) and (1.4), the bar resonator will only sup-port solutions of the form:

u(x, t ) =∑n

Un(t )sin(kn x) (1.5)

with kn = nπ/L. Substituting the solution u(x, t ) into (1.1), we get:

ρA∑n

sin(kn x)∂2Un(t )

∂2t+ A

∑n

bnk2n sin(kn x)

∂Un(t )

∂t

+Y A∑n

k2n sin(kn x)Un(t ) = ∂ f (x, t )

∂x(1.6)

Page 8: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 4

It is important to notice that the damping factor b has been replaced by bn to bedependent on the actual eigenmode. This formulation is used so that variationof the damping factor with frequency can be easily introduced. Since the spatialeigenmodes (sinkn x) are orthogonal, equation (1.6) can be reduced to individualequations for each Un(t ). This is achieved by taking the inner product of (1.6) witheach eigenmode sinkn x. The inner product is found by multiplying by sin(km x)and integrating over the entire length of the bar, while noting that:∫ L/2

−L/2sin(kn x)sin(km x)d x = L

2δnm (1.7)

where δnm is the Kroncker delta function. Proceeding with the integration, we getthe individual equations:

ρd 2Un(t )

d t 2 +bnk2n

dUn(t )

d t+Y k2

nUn(t ) = 2

AL

∫ L/2

−L/2

∂ f (x, t )

∂xsin(kx x)d x (1.8)

It is important to note that these equations might get coupled through the forcef (x, t ) term, depending on the driving mechanism. Mechanical non-linearities willalso result in coupled equations; however, in this model, it is assumed that the me-chanical vibration amplitude is small and non-linearity is dominated by the trans-ducer non-linearity1.

The damping factor bn is related to both the quality factor Q and frequency fof each mode. By following the analysis in [4], it can be shown that:

bn =p

c11ρ

knQ(1.9)

Considering the fact that kn = nπ/L and fn = (n/2L)√

c11/ρ, the damping factorcan be expressed as:

bn = c11

2π f Q(1.10)

where f Q is the frequency-Q product of the resonator. If the losses are dominatedby dissipation in the resonator material itself, f Q becomes mostly a material prop-erty. Models are available in the literature to quantify the f Q product based on thematerial and operating frequency [5]. For the sake of devices considered here, itis assumed that the frequency is high enough, so that f Q increases linearly withthe frequency. In this case, we consider f Q at the fundamental frequency to be amodel parameter and allow it to increase linearly with the harmonic number:

bn = c11

2nπ f Q(1.11)

Other loss mechanisms can be considered by adding extra bn terms to the equationof motion.

1This is usually the case for internal dielectric transduction, where the transduction efficiency k2e f f

is very small

Page 9: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 5

Finally, the mechanical-thermal noise (Brownian noise) can be modeled by con-sidering an extra random force term as given by [6]:

Fn =√

4kB T bnk2n (1.12)

where Fn is the power spectral density (PSD) of the noise force for the nth modeand kB is the Boltzmann’s constant.

1.4 Electrostatic Drive

The next step is to consider the electrostatic driving mechanism and how it couplesinto different modes. For the structure in figure 1.1 on page 2, the position of thedriving capacitor assumed to be at x = −dd with a dielectric of thickness gd andrelative permittivity εd . Basically, the dielectric starts at gn =−dd −gd/2 and endsat gp =−dd + gd/2. Electrostatic force along the bar is given by [7]2:

f (x, t ) = A Fd (t )φd (x) (1.13a)

Fd (t ) =−εεr d

2

v2d (t )

g 2d

(1.13b)

φd (x) = H(x − gn)−H(x − gp) (1.13c)

where U (x) is the Heaviside unit step function, vd (t ) is the driving voltage and thedielectric thickness was assumed to be independent of time as a first order approx-imation3. ε and εr d are the free space permittivity and relative permittivity of thedriving dielectric respectively. Integrating (1.8) by parts, we find that the electro-static transducer contributes a forceΦn(t ) to the nth mode given by:

Φn(t ) = Fd (t )(sinkn gn− sinkn gp

)=−εεd

2

v2d (t )

g 2d

(sinkn gn− sinkn gp

)(1.14)

where gn and gp are start and end points of dielectric positions respectively. Thus,the individual equation of motion for each mode becomes:

ρd 2Un(t )

d t 2 +bk2n

dUn(t )

d t+Y k2

nUn(t ) = 2

ALΦn(t ) (1.15)

These equations are better expressed as a system of equations for velocity Vn(t ) anddisplacement Un(t ), which is amenable to VerilogA implementation later:

Vn(t ) = dUn(t )

d t(1.16a)

0 = ρdVn(t )

d t+bk2

nVn(t )+Y k2nUn(t )− 2

ALΦn(t ) (1.16b)

2The negative sign in the force Fd (t ) is for compressive stress3The assumption of negligible thickness variation for the dielectric can be justified as the most ef-

ficient driving happens when the dielectric is placed at a position with zero displacement[3]. Moreover,bulk mode vibrations result in sub-nm displacements for most reasonable material, structural, and fre-quency assumptions.

Page 10: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 6

The equations obtained above can be further augmented by accounting for thedielectric start and end position variation with mode displacement in the bar. In-troducing the dielectric start and end position as time variable quantities, we have:

gn(t ) = gn+u(gn, t ) = gn+∑n

Un(t )sinkn gn (1.17a)

gp (t ) = gp+u(gp, t ) = gp+∑n

Un(t )sinkn gp (1.17b)

gd (t ) = gp (t )− gn(t ) = gd+∑n

Un(t )(sinkn gp− sinkn gn) (1.17c)

Thus, gd, gn and gp in (1.14) might be replaced by gd (t ), gn(t ) and gp (t ) as givenabove. This clearly shows how the different modes, although mechanically orthog-onal, become coupled through the transducer non-linearity.

From an electrical point of view, the electrostatic driving module can be mod-eled as a time varying capacitor:

C (t ) = εεr d A

gd (t )(1.18)

The total input current to the electrostatic driving module can be found from thecharge Q(t ) on the time varying input capacitance C (t ) as:

Q(t ) =C (t )Vi n(t ) ; Ii n(t ) = dQ(t )

d t(1.19)

The time varying capacitance will produce an extra input current that representsthe energy transfer to the mechanical system:

Ii n = dQ(t )

d t= dC (t )V (t )

d t=C (t )

dV (t )

d t+V (t )

dC (t )

d gd (t )

d gd (t )

d t(1.20)

This covers the mechanical and electrical model for the electrostatic driving mod-ule.

1.5 FET Sensing

FET sensing relies on having the mechanical vibrations in the cavity modulate thecarrier mobility in the transistor channel through piezoresistivity. When the FETis biased in saturation, the mobility modulation results in a modulation of the FETdrain current. This modulation represents a small signal current that can be sensedby the external circuit, which can be an oscillator or a subsequent stage in an RFreceiver.

FET sensing is characterized by its superior performance over other sensingmechanisms [2]. It provides a better noise performance and is not subject to areaconstraints. More importantly, it can easily scale to GHz frequencies as it is lesssensitive to feed-through and area limitations, which represent a major barrier forcapacitive sensing for example. Furthermore, as a FET, it naturally presents a high

Page 11: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 7

output impedance as a current source, hence greatly simplifying the design of thesubsequent sensing circuits. It is also naturally amenable to CMOS integration,where the RBT can harness the high-yield, high-performance FETs available inCMOS processes [2].

Original RBT

The original RBT as proposed by Weinstein and Bhave in [1], had its sensing FETchannel normal to the length of the bar. The FET channel spanned the entire thick-ness, making the width of FET equal to the bar thickness. The model is based onfinding the stress in the FET channel and using the correct piezoresistivity coeffi-cients to estimate the corresponding mobility modulation. For the original RBT,the stress in the channel is found as:

σch = c11Sch = c11∂u(x, t )

∂x

∣∣∣∣ch

σch = c11∑n

knUn(t )cos(kn xch) (1.21)

Hence, the relative mobility modulation ∆µ/µ can be expressed as:

∆µ

µ=πtransσch (1.22)

where πtrans is the transverse piezoresistivity coefficient. The transverse coefficientis selected as the stress and current flow are orthogonal to each other.

Top Sensed RBT

Another possibility for the sensing FET is to have the FET on top of the bar, withthe FET channel length in the direction of the bar length. For top-sensed RBT, theaverage stress in the channel is found as:

σch = 1

LF ET

∫ Ld

Ls

σch(x)d x (1.23a)

= c11

LF ET

∫ Ld

Ls

∂u(x, t )

∂xd x (1.23b)

= c11

LF ET(u(Ld , t )−u(Ls , t )) (1.23c)

= c11

LF ET

∑n

Un(t )(sin(knLd )− sin(knLs )) (1.23d)

where LF ET is the FET channel length, Ls and Ld are the FET channel start and endposition such that Ld = Ls +LF ET . The relative mobility modulation ∆µ/µ in thiscase is found as:

∆µ

µ=πlongσch (1.24)

where πlong is the longitudinal piezoresistivity coefficient. The longitudinal coeffi-cient is selected since the stress and current flow are in the same direction.

Page 12: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 8

πtrans and πlong must to be selected according to the correct crystal orientationas well, if the bar is crafted from crystalline material. Details about the values ofπtrans and πlong can be found in [8, 9].

Modeling Drain Current Modulation

The calculated relative change in mobility has to be converted to modulation in thedrain current. For a simple square law MOSFET model (Shichman-Hodges model[10]), it is trivial to show that to a first order, the drain current will depend on mo-bility modulation as:

∆ID = ID∆µ

µ(1.25)

However, such relation proves to be inaccurate and depends strongly on the FETstructure, type, configuration as well as channel mobility dependence on biasingconditions. In fact, a complete large signal spice FET model is required at the out-put to account for electrical non-linearity, the effect of gate and drain bias, self-heating, noise and all the aspects of a FET.

There are multiple well-established spice models available [10, 11, 12]. For thepurpose of modeling the RBT, the FET channel mobility needs to be modulatedaccording to the vibrational modes as found from (1.21) and (1.23d). However,spice FET primitives (including BSIM), consider the mobility only in the form ofa model parameter, to be fixed during the simulation4. Hence, with such limita-tion, a spice model has to be modified to represent explicitly the mobility as one ofthe unknowns with extra equations as from (1.21) and (1.23d).

The ultimate goal of RBTs is the monolithic integration with standard CMOStechnologies, where they can harness the high-speed, high-yield FETs availablethere. The BSIM model [11] represents the current industry standard for MOS-FET models. Almost every CMOS foundry presents a BSIM model for their devices.Moreover, the BSIM is constantly updated to accommodate the advancement infabrication technology and aggressive scaling. For this reason, the most recentBSIM model (BSIM6) is selected to model the RBT FETs. A detailed descriptionof the modifications is included in section 2.4 on page 19.

1.6 Thermal Model

Temperature and self-heating are very important characteristics necessary to ac-curately model the behavior of an RBT. Not only do they strongly affect the sens-ing FET behavior, but they also result in drifts of the resonance frequency of theRBT, both due to material properties and dimensions change with temperature.Accounting for thermal effects of the RBT would basically involve solving the heatdiffusion equation [7]:

∂T

∂t= κ

C∇2T + 1

CP (1.26)

4Internally, the models may include mobility dependence of temperature, bias voltages and severalother effects.

Page 13: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 1. DEVICE PHYSICS 9

where T , κ, C and P represents the temperature, thermal conductivity, heat capac-ity and volumetric power sources.

Figure 1.3: Thermal equivalent circuit for the RBT.

The thermal diffusion equation can be easily lumped into an R-C network asthat of figure 1.3, where temperature is modeled as a voltage, thermal conductiv-ity and heat capacity as an equivalent resistance and capacitance respectively. Theequivalent circuit current represents the power [7]. This modeling strategy is widelyused and the BSIM model relies on it to model self heating effects. In fact, the RBTequivalent circuit shares the thermal node with the BSIM model to allow for cor-rect exchange of heat. Thus, only the power dissipated from the electrostatic driveis dumped into the thermal node, while the BSIM model adds its own power dissi-pation.

The temperature obtained from the equivalent circuit is used to assess the selfheating effects on the different model components. The change in temperature∆Tis evaluated as:

∆T = Tambient −Tnom +∆Teq (1.27)

where Tambient, Tnom and ∆Teq represent the ambient (simulation) temperature,device nominal temperature and the self-heating as solved from the equivalent cir-cuit of 1.3 respectively. For the different physical parameters of the model, the fol-lowing relation is assumed:

X = X(1+αX−1∆T +αX−2∆T 2 +αX−3∆T 3 +·· ·) (1.28)

where X represents the effective value of the physical parameter including tem-perature effects, X the value of the physical parameter at nominal temperatureand αX−i represents the thermal coefficients of the physical parameter under con-sideration. This relation is applied for dimensions, density and elastic properties.Only first order terms (αX−1) are considered for the sake of this model, while furtherextensions are equally simple.

Page 14: Un-Released Resonant Body Transistors (RBT) Compact Model

CH

AP

TE

R

2VERILOG-A IMPLEMENTATION

This chapter discusses in details the RBT model implementation in Verilog-A lan-guage. The discussion presents the transition between the concepts and equationsdemonstrated in the device physics chapter and the actual model implementationin a way suitable for nodal analysis.

2.1 Modular Model

The discussion of the RBT device physics illustrated the diversity and interactionof the different physics involved in this device. It is also important to notice thatRBT realization is not limited to the bar resonator discussed in the physics section.Different resonator shapes, driving and sensing techniques can be used, with eachof them dictating a unique model on its own. For example, differential RBT can beconsidered, where single or differential driving transducers and sensing FETs canbe used. Or, an RBT with multiple driving transducers can be used for improvedoutput signal. Moreover, RBTs can be mechanically coupled or can be affected bynearby power hungry circuitry. Owing to the diversity and multitude of possibili-ties, the RBT model has to be flexible and easily extensible. The user should be ableto easily modify the model by adding new physics, or changing the interaction ofthe already modeled ones.

For these reasons, a modular strategy is adopted for the model. In this imple-mentation, each one of the device physics is modeled by a separate module. Thedifferent modules are interconnected through a set of nodes, associated with cer-tain conservation laws. Such interconnection allows the different modules to inter-act, representing the mutual coupling between the different physics of the device.

Figure 2.1 on the next page shows the structure of a modular model in the caseof an RBT. Separate modules are used to model the driving, sensing, mechanical

10

Page 15: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 11

Figure 2.1: Modular model: modules represent different physical phenomena, in-teracting through nodes.

resonance and thermal behavior of the device. The modules are connected througha mechanical displacement node and a thermal node, with the following proper-ties:

• Mechanical displacement node:

– Flow: Equivalent force/stress of the lumped device

– Potential: Equivalent position of the lumped device displacement model.

– Conservation: Force conservation∑

i Fi = 0

• Thermal node:

– Flow: Equivalent power flow in the lumped device model

– Potential: Equivalent temperature in the lumped device model

– Conservation: Energy conservation

Such implementation of the model allows for the seamless expansion of the model,simple examples on such expansion possibilities:

• Adding extra drive/sense modules

• Adding extra mechanical damping or mass loading

• Adding extra heat sources/sinks

• Mechanical coupling of resonators

The following sections of this chapter discusses in details the implementation ofeach module in Verilog-A.

Page 16: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 12

2.2 Resonant Body Mechanical Module

The resonant body mechanical module is responsible to model the mechanical res-onance that takes place in the body of the RBT. This is considered as the main phe-nomenon for which the entire device is conceived.

Module Nodes and Operation

The resonant body module uses mechanical nodes to represent the equations (1.16b)without the driving terms. The j th vibrational mode in (1.16b) is modeled by twonodes:

• x[j]: mechanical displacement node to model the amplitude of displace-ment of the j th mode U j (t ).

• v[j]: mechanical velocity node to model the amplitude of velocity of the j th

mode U j (t ).

The velocity node is internal to the module and it is only used to properly repre-sent the model in differential algebraic equation form1. On the other hand, thedisplacement nodes x[j] is part of the resonant body module interface, they basi-cally represent the mechanical nodes indicated in figure 2.1 on the preceding page.The forcing terms of (1.16b) will be contributed to the displacement nodes by thedriving modules and hence it is not considered here.

A thermal node T is also part of the interface of the resonant body module. Thepurpose of this node is to allow the module to access the current device tempera-ture and hence account for the different temperature effects involved. The modulecan also add power to the thermal node representing dissipation (through differ-ent mechanisms) and contribute to the thermal heating. However, since the powerdissipated by this mechanical module manifests itself as real electrical power con-sumption from the driving module, the self-heating effects are implemented onlyonce in the driving module2.

The internal workings of the resonant body mechanical module can be sum-marized as follows:

1. Update the parameters value according to the instantaneous temperaturevalue.

2. Find the instantaneous dielectric thickness according to (1.17c).

3. Calculate the inertial force, damping and restoring force for each mode andcontribute them to each mode x[ j ] according to (1.16b).

1 The velocity node is similar to the displacement node described in the previous section exceptthat the potential represent the velocity instead of the position. Flow is not used for the velocity node.

2 This implementation assumes that the energy dissipation is mainly due to thermoelastic dampingby the material itself, hence all the dissipated energy is converted to heat in the resonator body itself. Infuture versions, an explicit distinction will be made to separately consider anchor and radiation losses,that shouldn’t directly contribute to the RBT heating, instead, their contribution goes to a different ther-mal equivalent circuit, for the overall system.

Page 17: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 13

Module Parameters

The different module parameters, together with a short description, units and rangesare listed in table 2.1.

Table 2.1: Parameters for the resonant body module. (*TC is short for temperaturecoefficient)

Name Description Data Type Unit Default Value Range

version Model version real - 1.00 -rbt_L Bar length real m ‘RBT_L (0:inf)rbt_W Bar width real m ‘RBT_W (0:inf)rbt_THK Bar thickness real m ‘RBT_THK (0:inf)rbt_rho Density real kg /m3 ‘RBT_RHO (0:inf)rbt_Y Young’s modulus real Pa ‘RBT_Y (0:inf)rbt_nu Poisson’s ratio real − ‘RBT_NU [0:0.5]rbt_fQ Frequency-Q product real H z ‘RBT_FQ (0:inf)rbt_fQharm Harmonic for f.Q integer − ‘RBT_FQHARM [1:inf)rbt_LTC Expansion coefficient real − ‘RBT_LTC [0:inf)rbt_rhoTC Density TC real − ‘RBT_RHOTC -rbt_YTC Young’s modulus TC real − ‘RBT_YTC -rbt_nuTC Poisson’s ratio TC real − ‘RBT_NUTC -rbt_fQTC f-Q product TC real − ‘RBT_FQTC -rbt_Tnom Nominal Temperature real C ‘RBT_T0 -

The default values marked as RBT_X are all found in the file rbtConst.vamssupplied with the model package3.

The parameter rbt_fQharm represents the harmonic number at which the fQproduct supplied. This parameter is used since the model assumes the RBTs oper-ate at such high frequencies where the material f Q product is actually proportionalto the frequency[5].

The temperature coefficient parameters TC doesn’t specify a range as they canbe positive or negative depending on the actual material used.

Relevant Module Code

The most relevant Verilog-A code for the resonant body module as implementedin the file rbtBody.va is listed below. Since a two nodes will be created for eachmode, the number of modes is defined as a constant ‘RBT_NMODES in the filesrbtConst.va in the model package.

kinematic_v [0: ‘RBT_NMODES -1] v;real Leff , rhoeff , Yeff , nueff , fQeff;real dT;real c11;

3This was done to simplify the task of maintaining uniform code across all modules since they sharemany parameters.

Page 18: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 14

real kn[0: ‘RBT_NMODES -1];real b [0: ‘RBT_NMODES -1];

genvar j;integer modeInd;analog begin

// Temperature dependencedT = $temperature + Temp(T) - rbt_Tnom -‘P_CELSIUS0;Leff = rbt_L * ( 1 + rbt_LTC * dT);rhoeff = rbt_rho * ( 1 + rbt_rhoTC * dT);Yeff = rbt_Y * ( 1 + rbt_YTC * dT);nueff = rbt_nu * (1 + rbt_nuTC * dT);fQeff = rbt_fQ * ( 1 + rbt_fQTC * dT );// Stiffness matrix coefficientc11 = Yeff * ( 1 - nueff) / ((1 + nueff)*(1 - 2*nueff));// Applying forces for each modefor ( j = 0; j < ‘RBT_NMODES ; j = j + 1) begin

modeInd = 2*j + 1;kn[j] = modeInd * ‘M_PI/Leff;Vel(v[j]) <+ ddt(Pos(x[j]));b[j] = c11/(2 * ‘M_PI * fQeff * modeInd/rbt_fQharm

);F(x[j]) <+ rhoeff * ddt(Vel(v[j]))

+ b[j]* pow(kn[j],2) * Vel(v[j])+ c11 * pow(kn[j],2) * Pos(x[j])+ white_noise (4* ‘P_K*( $temperature+Temp(

T))*b[j]*pow(kn[j],2),"RX");end

end // analog end

2.3 Capacitive Transduction Module

The capacitive transduction module models the electrostatic internal dielectric trans-ducer that is used for the actuation of the RBT. The module represents the electricalinterface at the input side of the device.

Module Nodes and Operation

The capacitive transduction module has the following nodes:

• p,n: the two electrical terminals of the capacitive transducer

• x[j]: mechanical displacement node for the j th harmonic mode as describedearlier

• T: Thermal node

All of these nodes are available at the interface of the transducer module, it doesn’thave any internal nodes. The internal workings of the transducer module can besummarized as follows:

1. Update the parameters value according to the instantaneous temperaturevalue as in (1.28).

Page 19: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 15

2. Find the instantaneous dielectric thickness according to (1.17c).

3. Calculate the electrostatic force that would results from such dielectric thick-ness and apply it to all the mechanical nodes x[j], with the correct weightingfactors according to (1.14).

4. Calculate the input current from the instantaneous charge on the transducercapacitance according to (1.19).

5. Calculate the instantaneous power as vi n(t )ii n(t ) and supply it as a contri-bution to the device thermal node T .

Calculating The Instantaneous Gap gd (t )

In order to calculate the instantaneous dielectric thickness, it is required to cal-culate the displacement of the dielectric edges from all modes as in (1.17c). Toconform to the model generality, the number of modes to consider is defined as aconstant ‘RBT_NMODES in the file rbtConst.va. This dictates that summing thedisplacements from different displacement nodes requires a sort of for loop overthe different modes and accumulating the sum in a some model variable.

However, with such implementation, it was found that when the simualtor triesto linearize the model for small signal analysis (AC analysis for example), this forloop based summation technique didn’t work. For this reason, two additional inter-nal nodes (U_p[j] and U_n[j]) have been added to accumualte the displacementfrom the j th mode at the start and end of the gap, together with that from all pre-vious k th nodes, where 0 < k < j . Basically, as if a physical hardwired accumulatoris implemented using this set of nodes. In Verilog-A, a genvar variable j is used toimplement the accumulator in the form:

Pos(Up[j]) <+ Pos(x[j]) sin(kn[j] gp ) + Up[j-1]Pos(Un[j]) <+ Pos(x[j]) sin(kn[j] gn ) + Un[j-1]

Such implementation is shown schematically in figure 2.2 on the following page.The relevant Verilog-A code from the file rbtCapTrans.va is listed below:

// Internal nodeskinematic [0: ‘RBT_NMODES -1] Un; // Displacement amplitude at rbt_d

- rbt_g/2kinematic [0: ‘RBT_NMODES -1] Up; // Displacement amplitude at rbt_d

+ rbt_g /2kinematic gapt; // Time dependent gap// ...// model variablesreal A; // Cross sectional areareal dd; // Drive position from centerreal gn; // Dielectric startreal gp; // Dielectric endreal dT; // Temperature differencereal Leff , Weff , teff , geff;real kn[0: ‘RBT_NMODES -1]; // k number of a given modegenvar j;// ...

Page 20: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 16

Figure 2.2: Physical hardwired accumulator implemented through additionalnodes to find the total instantaneous displacement at the edges of the gap.

// ...analog begin

// Temperature risedT = $temperature + Temp(T) - rbt_Tnom -‘P_CELSIUS0;Leff = rbt_L * ( 1 + rbt_LTC * dT);Weff = rbt_W * ( 1 + rbt_LTC * dT);teff = rbt_THK * ( 1 + rbt_LTC * dT);geff = rbt_g * ( 1 + rbt_LDTC * dT);// Geometrical parametersA = Weff * teff;dd = -Leff/2 + rbt_d;gn = dd - geff /2; // dielectric startgp = dd + geff /2; // dielectric end

// Calculate kn, Un and new dielectric edge position// The 0th term (1st harmonic)kn[0] = ‘M_PI/Leff;Pos(Up[0]) <+ gp + Pos(x[0])*sin( kn[0] * gp);Pos(Un[0]) <+ gn + Pos(x[0])*sin( kn[0] * gn);//// The higher harmonicsfor ( j = 1; j < ‘RBT_NMODES ; j = j + 1) begin

kn[j] = (2*j+1) * ‘M_PI/Leff;Pos(Up[j]) <+ Pos(Up[j-1]) + Pos(x[j]) * sin( kn[

j] * gp);Pos(Un[j]) <+ Pos(Un[j-1]) + Pos(x[j]) * sin( kn[

j] * gn);end//// Instantaneous dielectric thickness

Page 21: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 17

Figure 2.3: Smoothing function used to break the symmetry of 1/g 2d (t ) and also

removes the singularity at gd (t ) = 0.

Pos(gapt) <+ Pos(Up[‘RBT_NMODES -1]) - Pos(Un[‘RBT_NMODES-1]);

//...

Inverse-Square Dielectric Thickness

Another major challenge for the implementation of this module, is that the forceterm in (1.14) includes the inverse square of the instantaneous thickness gd (t ), amodel unknown to be solved for. There are three major issues with such depen-dence:

1. The square of the thickness g 2d (t ) makes both positive and negative thick-

ness equally good solutions. In this case, the simulator may converge to non-physical solutions incorporating negative dielectric thickness.

2. Singularity at gd (t ) = 0: if during the process of finding a solution, the simu-lator plugs-in the wrong numbers, a division by zero may result.

3. The inverse square of the thickness g 2d (t ) may also generate very large num-

bers causing the nodal matrices to become ill-conditioned.

To solve the first two problem, a smoothing function is used for the dielectric thick-ness with the form:

smoothg(g ) = g +√

g 2 + c2

2(2.1)

This smoothing function is plotted in figure 2.3. To address the final problem ofgneerating large number, natural logrithm together with the limexp function areused:

1

g 2d (t )

=⇒ limexp(−2× ln gd (t )

)(2.2)

Page 22: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 18

The relevant Verilog-A code from the file rbtCapTrans.va is listed below:

electrical pi; // Intrinsic p node// ...genvar j; // To generate the mechanical nodes// ...analog function real smoothg;

input x,c;real x,c;smoothg = 0.5 * (x + sqrt(x*x + 4 * c * c));

endfunction

analog begin// ...// Input resistanceV(p,pi) <+ rbt_rind * I(p,pi)

+ white_noise (4* ‘P_K*( $temperature+Temp(T))*rbt_rind , "Rin");

// ...// Stress constant (-ve is for compressive stress)fdo = - 0.5 * ‘P_EPS0 * rbt_epsd;

// Apply the actual forcefor ( j = 0; j < ‘RBT_NMODES ; j = j + 1) begin

F(x[j]) <+ - 2/Leff * fdo // -ve for VerilogAContribution

* ( sin(kn[j] * Pos(Un[‘RBT_NMODES -1])) //g_start - g_end

- sin(kn[j] * Pos(Up[‘RBT_NMODES -1])) )* pow( V(pi,n) ,2)* limexp( -2 * ln (smoothg(Pos(gapt),

‘RBT_SMALL_GAP)));end

// ...

Finally, the input current and thermal contribution are implemented as dis-cussed previously. The relevant Verilog-A code from the file rbtCapTrans.va is asfollows:

// ...analog begin

// ...// Input resistanceV(p,pi) <+ rbt_rind * I(p,pi)

+ white_noise (4* ‘P_K*( $temperature+Temp(T))*rbt_rind , "Rin");

// ...// Input capacitance and chargeCin = ‘P_EPS0 * rbt_epsd * A/Pos(gapt);Qin = Cin * V(pi ,n);iin = ddt(Qin);I(pi,n) <+ iin;

// Thermal contributionPwr(T) <+ -V(p,n) * iin;

Page 23: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 19

end // analog end

Module Parameters

The different module parameters, together with a short description, units and rangesare listed in table 2.2. The paarameter rbt_d represents the position of the middle

Table 2.2: Parameters for the capacitive transduction module. (*TC is short for tem-perature coefficient)

Name Description Data Type Unit Default Value Range

version Model version real - 1.00 -rbt_L Bar length real m ‘RBT_L (0:inf)rbt_W Bar Width real m ‘RBT_W (0:inf)rbt_THK Bar thickness real m ‘RBT_THK (0:inf)rbt_g Drive dielect. thick. real m ‘RBT_G (0:inf)rbt_d Drive position real m ‘RBT_D (0:inf)rbt_epsd Relative dielec. permittivity real - ‘RBT_EPSD [1:inf)rbt_LTC Expansion coefficient real − ‘RBT_LTC [0:inf)rbt_LDTC Drive dielectric exp. coeff. real − ‘RBT_LDTC [0:inf)rbt_Tnom Nominal Temperature real C ‘RBT_T0 -rbt_rind Parasitic input resistance real Ω ‘RBT_RIND [0:inf)

of the dielectric from the start of the bar.

2.4 BSIM6 Modifications

As discussed in section , spice FET primitives assumes that the mobility is a fixedmodel parameter. To implement the mobility modulation in the FET model, mod-ifications to the BSIM6 standard FET model were necessary.

BSIM6 is directly available as open-source Verilog-A code [11], which makes iteasier to modify and incorporate into the RBT model. All BSIM6 parameters areported over to the full RBT model, making the RBT model fully compatible withBSIM6 model cards. A BSIM model card can be seamlessly augmented with theRBT parameters to represent an accurate RBT model in a given standard technologynode.

Modifications and Internal Working

The following modifications are applied for the BSIM6 model interface:

• Displacment nodes x[j]: An array of displacment nodes are added to theBSIM6 interface to represent the different vibrational modes of the RBTs.These nodes connect to the full RBT mechanical nodes and are used to cal-culate the channel stress and modulate the mobility accordingly.

Page 24: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 20

• Thermal node T: Although BSIM6 models the device self-heating in the samemethod as described in with an internal thermal node, it is not part of themodel interface. Thus a thermal node was added to be connected to the RBTthermal node.

The internal workings of the BSIM6 module be summarized as follows:

1. Update the parameters value according to the instantaneous temperaturevalue as in (1.28).

2. Find the instantaneous stress in the channel according to either (1.21) or(1.23d).

3. Update the BSIM6 mobility U0_t based on (1.22) or (1.24).

The self-heating model was made to be always active, independent of the__SHMOD__.Moreover, since the FET is embedded inside the RBT structure, gth and cth calcu-lation of the BSIM6 model is not relevant anymore. Thus, these calculations wereexcluded from the BSIM6 model, relying on the RBT model to provide the suitablethermal equivalent circuit. The BSIM6 power contribution to the thermal nodehowever is maintained.

Strain Nature

One of the challenges of the RBT modeling is the different physcial phenomenawith several order of magnitude difference in scale. For this reason, it is importantto keep every physical quantity represented with its own nature in Verilog-A, to beable to set the different scales and tolerances later in the simulation, especially fordisplacement, velocity and acceleration. Since in the BSIM6 module the stress inthe channel is found from the strain, it is important to correctly represent the strainas a separate nature from displacement.

For this purpose, a strain nature as well as kinematic-strain discipline were de-fined in the file strainnature.va from the model package. The definition goes asfollows:

nature Strainunits = "1";access = S;abstol = 1e-9;

endnature

discipline kinematic_spotential Strain;flow Force;

enddiscipline

Relevant Module Verilog-A Code

The most relevant additions to the BSIM6 code from the file rbtBSIM6.va can befound in the code listing below:

Page 25: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 21

// ....kinematic_s [0: ‘RBT_NMODES -1] rbt_strain;// ....analog begin// ....// Temperature dependencerbt_dT = DevTemp - rbt_Tnom - ‘P_CELSIUS0; // different Tnom

for resonator materialsrbt_Leff = rbt_L * ( 1 + rbt_LTC * rbt_dT);rbt_Lsoeff = rbt_Lso * ( 1 + rbt_LTC * rbt_dT);rbt_Yeff = rbt_Y * ( 1 + rbt_YTC * rbt_dT);rbt_nueff = rbt_nu * (1 + rbt_nuTC * rbt_dT);

// c11 coefficientrbt_c11 = rbt_Yeff * ( 1 - rbt_nueff) / ((1 + rbt_nueff)*(1 - 2*

rbt_nueff));

// Finding the total strainrbt_kn [0] = ‘M_PI/rbt_Leff;

// Sum up the strain depending on Horizontal or Vertial senseif (rbt_HSense == ‘RBT_HSENSE) begin

// Channel length with thermal expansionrbt_FETLeff = L * ( 1 + rbt_LTC * rbt_dT);// S/D Start Endrbt_Ls = (-rbt_Leff /2 + rbt_Lsoeff - rbt_FETLeff /2);rbt_Ld = rbt_Ls + rbt_FETLeff;

S(rbt_strain [0]) <+ Pos(xdisp [0]) * (1/ rbt_FETLeff)* (sin(rbt_kn [0] * rbt_Ld) - sin(rbt_kn [0]

* rbt_Ls) );for( rbt_j = 1; rbt_j < ‘RBT_NMODES; rbt_j = rbt_j + 1)

beginrbt_kn[rbt_j] = (2 * rbt_j + 1) * ‘M_PI/rbt_Leff;S(rbt_strain[rbt_j]) <+ S(rbt_strain[rbt_j - 1]) +

Pos(xdisp[rbt_j ]) * (1/ rbt_FETLeff) *(sin(rbt_kn[rbt_j] * rbt_Ld) - sin(rbt_kn[rbt_j] *

rbt_Ls) );endmobModFactor = (1 + rbt_pilong * rbt_c11 * S(rbt_strain[

‘RBT_NMODES -1]));end // first if beginelse begin

rbt_FETTOXeff = TOXE * (1 + rbt_LSTC * rbt_dT);rbt_dd = -rbt_Leff /2 + rbt_Lsoeff - rbt_FETTOXeff /2 ;S(rbt_strain [0]) <+ Pos(xdisp [0]) * rbt_kn [0]

* cos(rbt_kn [0] * rbt_dd );

for(rbt_j = 1; rbt_j < ‘RBT_NMODES; rbt_j = rbt_j + 1)begin

rbt_kn[rbt_j] = (2* rbt_j + 1) * ‘M_PI/rbt_Leff;S(rbt_strain[rbt_j]) <+ S(rbt_strain[rbt_j -1]) +

Pos(xdisp[rbt_j ]) * rbt_kn[rbt_j]* cos(rbt_kn[rbt_j] * rbt_dd );

end

Page 26: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 22

mobModFactor = (1 + rbt_pitrans * rbt_c11 * S(rbt_strain[‘RBT_NMODES -1]));

end

// Modify the actual mobilityU0_t = U0_t * mobModFactor;

// ....

Module Parameters

The different module parameters (specific to the RBT), together with a short de-scription, units and ranges are listed in table 2.3.

Table 2.3: Parameters for the BSIM6 sensing module. (*TC is short for temperaturecoefficient)

Name Description Data Type Unit Default Value Range

version Model version real - 1.00 -rbt_L Bar length real m ‘RBT_L (0:inf)rbt_Lso Sense FET location real m ‘RBT_LS0 (0:inf)rbt_HSemse Horizontal Sense? real - ‘RBT_HSENSE [0:1]rbt_pitrans πtr ans (1.22) real 1/Pa ‘RBT_PITRANS (0:inf)rbt_pilong πl ong (1.24) real 1/Pa ‘RBT_PILONG (0:inf)rbt_Y Young’s modulus real Pa ‘RBT_Y (0:inf)rbt_nu Poisson’s ratio real − ‘RBT_NU [0:0.5]rbt_LTC Expansion coefficient real − ‘RBT_LTC [0:inf)rbt_LSTC Sense dielectric exp. coeff. real − ‘RBT_LSTC [0:inf)rbt_rhoTC Density TC real − ‘RBT_RHOTC -rbt_YTC Young’s modulus TC real − ‘RBT_YTC -rbt_nuTC Poisson’s ratio TC real − ‘RBT_NUTC -rbt_Tnom Nominal Temperature real C ‘RBT_T0 -

The parameter rbt_HSense selects the model as follows:

• rbt_HSense = ‘RBT_VSENSE =⇒ select the model for the original RBT (sec-tion 1.5 on page 7).

• rbt_HSense = ‘RBT_HSENSE =⇒ select the model for the top sensed RBT(section 1.5 on page 7).

The parameters rbt_pitrans and rbt_pilong represent the transverse πtr ans

(1.22) and longitudinal πlong (1.24) piezoresistive coefficients of the material. Thetransverse coefficient πtr ans is used for the original FET sensing model, while thelongitudinal one πlong is used for the top sensed one.

All the BSIM6 original parameters are preserved with their default value. Theuser is referred to the BSIM6 model documentation [11] for detailed explanation ofeach parameter.

Page 27: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 23

2.5 Thermal Module

The thermal module implements a simplified and compact model of heat diffusionand storage in the device according to the equivalent circuit of figure 1.3 on page 9.This module has a single node T at its interface that connects to the thermal nodeof the RBT.

The module starts by calculating the equivalent thermal capacitance of the res-onator body according to the equation:

Cth = CmρAL (2.3)

The equivalent thermal resistance highly depends on the anchor size and config-uration. For this reason it is left up to the user to specify the thermal resistanceaccording to the specific anchor configuration he is using.

The module parameters are summarized in table 2.4.

Table 2.4: Parameters for the thermal module.

Name Description Data Type Unit Default Value Range

version Model version real - 1.00 -rbt_L Bar length real m ‘RBT_L (0:inf)rbt_W Bar Width real m ‘RBT_W (0:inf)rbt_THK Bar thickness real m ‘RBT_THK (0:inf)rbt_rho Density real kg /m3 ‘RBT_RHO (0:inf)rbt_cm Specific heat real J/kg .K ‘RBT_CM (0:inf)rbt_Rth Thermal resistance real K /W ‘RBT_RTH (0:inf)

The relevant Verilog-A code for the thermal module is listed below:

module rbtThermal(T);

inout T;thermal T;// ...real Cth; // Thermal capacitance

analog beginCth = rbt_cm * rbt_rho * rbt_W * rbt_L * rbt_THK;Pwr(T) <+ Temp(T) / rbt_Rth;Pwr(T) <+ ddt( Cth * Temp(T) );

endendmodule

2.6 Parasitics Module

The parasitics module is responsible to model the electrical parasitics of the RBT.The module connects to all the nodes of the RBT, for the following reasons:

• All electrical nodes: to enable parasitic feed-through between the differentnodes of the model.

Page 28: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 24

• Thermal node: to be able to monitor the device temperature, in case the par-asitics prove to be temperature dependent4.

• Mechanical nodes: to be able to model parasitic change with the stresses inthe device. Piezoresistivity and electrostriction are the most notable exam-ples for such phenomena.

The feed-through parasitics are all modeled in the form of a resistor and capacitorin series, connecting two different electrical nodes of the model. In the currentversion of the model, temperature and mechanical dependence of the parasiticsare not implemented yet.

The module parameters are summarized in table 2.5.

Table 2.5: Parameters for the thermal module.

Name Description Data Type Unit Default Value Range

version Model version real - 1.00 -rbt_Rfpd p to d series resistance real Ω ‘RBT_RFPD [0:inf)rbt_Rfpg p to g series resistance real Ω ‘RBT_RFPG [0:inf)rbt_Rfps p to s series resistance real Ω ‘RBT_RFPS [0:inf)rbt_Rfpb p to b series resistance real Ω ‘RBT_RFPB [0:inf)rbt_Rfnb n to b series resistance real Ω ‘RBT_RFNB [0:inf)rbt_Cfpd p to d series capacitance real F ‘RBT_CFPD [0:inf)rbt_Cfpg p to g series capacitance real F ‘RBT_CFPG [0:inf)rbt_Cfps p to s series capacitance real F ‘RBT_CFPS [0:inf)rbt_Cfpb p to b series capacitance real F ‘RBT_CFPB [0:inf)rbt_Tnom Nominal Temperature real C ‘RBT_T0 -

The relevant Verilog-A code for this module is as follows:

module rbtParasitics(p,n,d,g,s,b,x,T);

inout p,n;inout d, g, s, b;inout [0: ‘RBT_NMODES -1] x;inout T;

electrical p,n;electrical d, g, s, b;kinematic [0: ‘RBT_NMODES -1] x;thermal T;// ...electrical pd , pg, ps, pb;

analog begin// Feedthrough resistanceV(p,pd) <+ I(p,pd) * rbt_Rfpd;V(p,pg) <+ I(p,pg) * rbt_Rfpg;

4It is not supposed to supply power to the thermal node as the self-heating sources are assumed tobe model in the transducers directly.

Page 29: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 25

V(p,ps) <+ I(p,ps) * rbt_Rfps;V(p,pb) <+ I(p,pb) * rbt_Rfpb;

// Feedthrough capacitanceI(pd, d) <+ ddt( rbt_Cfpd * V(pd ,d) );I(pg, g) <+ ddt( rbt_Cfpg * V(pg ,g) );I(ps, s) <+ ddt( rbt_Cfps * V(ps ,s) );I(pb, b) <+ ddt( rbt_Cfpb * V(pb ,b) );

// Body resistanceV(n,b) <+ I(n,b) * rbt_Rfnb;

end // analog end

endmodule

2.7 RBT Top Module

The RBT Top module is the one responsible to connect all the other modules to-gether. It doesn’t perform any computation or model any physics. The moduleparameters as well as its interface nodes are listed in 3 on page 27.

2.8 Tolerances

It is important to notice that the default tolerances of mechnical quantities in Spec-tre are not suitable for MEMS devices. For example, the default value for the dis-placement absolute tolerance is 1µm. This tolerance is on the order of the devicedimensions itself, where as the discplacements are expected to be 3 to 4 orders ofmagnitude smaller. Solving the model with such tolerance will result in a solutionthat may be highly inaccurate.

To overcome this limitation, custom tolerances must be set for the mechani-cal quantities. Table 2.6 on the following page presents some suggests tolerancesettings for Cadence Spectre.

The strain nature is the one defined by the RBT model (section 2.4 on page 20).The force nature here is used to represent force per unit volume, hence the num-bers are really large.

The file memsquantities.scs in the model package include these tolerancesettings.

Page 30: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 2. VERILOG-A IMPLEMENTATION 26

Table 2.6: Suggested tolerance settings for Cadence Spectre for RBT simulation.

Nature Abs. Tolerance Huge Blow-up

Position 10−15 10−3 10−6

Strain 10−9 100 1Velocity 10−6 1011 107

Acceleration 1 1020 1015

Force 10 1021 1016

Page 31: Un-Released Resonant Body Transistors (RBT) Compact Model

CH

AP

TE

R

3MODEL INTERFACE AND PARAMETERS

This chapter describes the model interface nodes as well as the different modelparameters together with their possible ranges.

3.1 Model Interface

The RBT top module (section ) implements the model interface and interconnectsall the submodules. This model interface is defined by the following terminals:

• p: Positive terminal of the capacitive driving transducer.

• n: Negative terminal of the capacitive driving transuder.

• d: Drain of the sensing FET.

• g: Gate of the sensing FET.

• s: Source of the sensing FET.

• b: Body of the sensing FET.

• T: Thermal terminal connecting to the internal thermal node.

The positive driving terminal p should be used to drive the resonator. It is con-nected to most of the feed-through parasitics in the rbtParasitics module. Thebulk terminal b is connected to the negative terminal n through a resistance withvalue rbt_Rfnb.

The mechanical nodes are not presented as terminals in the model interface.The numbers of such nodes is meant to be variable and including them as a ter-minal can significantly complicate the spectre netlist used to test the model. For

27

Page 32: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 3. MODEL INTERFACE AND PARAMETERS 28

this reason, they were kept internal to the RBT module and it is up to the user whodecide to consider mechanical coupling of the RBTs to bring the mechanical nodesof interest as terminals to the interface.

3.2 Model Parameters

This section lists the different model parameters. The model accepts all the BSIM6model parameters and passes them to the BSIM6 module. This allows a com-plete compatibility with BSIM6 model cards, which are usually provided by CMOSfoundries as an industry standard model. The user is referred to the BSIM6 maunal[13] for details about BSIM6 parameters.

The number of mechanical modes is defined by the model constant‘RBT_NMODESin the file rbtConst.va.

Table 3.1 on the following page lists the RBT-specific parameters of the models.The default values are set using constants defined in the file rbtConst.va in themodel package.

Table 3.1: RBT-specific model parameters (*TC is short for temperaturecoefficient)

Name Description Type Unit Default Value Range

version Model version real - 1.00 -rbt_L Bar length real m ‘RBT_L (0:inf)rbt_W Bar width real m ‘RBT_W (0:inf)rbt_THK Bar thickness real m ‘RBT_THK (0:inf)rbt_g Drive dielect. thick. real m ‘RBT_G (0:inf)rbt_d Drive position real m ‘RBT_D (0:inf)rbt_rind Parasitic input resistance real Ω ‘RBT_RIND [0:inf)rbt_epsd Relative dielec. permittivity real - ‘RBT_EPSD [1:inf)rbt_rho Desnity real kg /m3 ‘RBT_RHO (0:inf)rbt_Y Young’s modulus real Pa ‘RBT_Y (0:inf)rbt_nu Poisson’s ratio real − ‘RBT_NU [0:0.5]rbt_Lso Sense FET location real m ‘RBT_LS0 (0:inf)rbt_HSemse Horizontal Sense? real - ‘RBT_HSENSE [0:1]rbt_pitrans πtr ans (1.22) real 1/Pa ‘RBT_PITRANS (0:inf)rbt_pilong πl ong (1.24) real 1/Pa ‘RBT_PILONG (0:inf)rbt_fQ Frequency-Q product real H z ‘RBT_FQ (0:inf)rbt_fQharm Harmonic for f.Q integer − ‘RBT_FQHARM [1:inf)rbt_LTC Expansion coefficient real − ‘RBT_LTC [0:inf)rbt_LDTC Drive dielectric exp. coeff. real − ‘RBT_LDTC [0:inf)rbt_LSTC Sense dielectric exp. coeff. real − ‘RBT_LSTC [0:inf)rbt_rhoTC Density TC real − ‘RBT_RHOTC -rbt_YTC Young’s modulus TC real − ‘RBT_YTC -rbt_nuTC Poisson’s ratio TC real − ‘RBT_NUTC -rbt_fQTC f-Q product TC real − ‘RBT_FQTC -rbt_Tnom Nominal Temperature real C ‘RBT_T0 -

Page 33: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 3. MODEL INTERFACE AND PARAMETERS 29

Table 3.1: RBT-specific model parameters (*TC is short for temperaturecoefficient)

Name Description Type Unit Default Value Range

rbt_cm Specific heat real J/kg .K ‘RBT_CM (0:inf)rbt_Rth Thermal resistance real K /W ‘RBT_RTH (0:inf)rbt_Rfpd Parasitic p->d series res. real Ω ‘RBT_RFPD [0:inf)rbt_Rfpg Parasitic p->g series res. real Ω ‘RBT_RFPG [0:inf)rbt_Rfps Parasitic p->s series res. real Ω ‘RBT_RFPS [0:inf)rbt_Rfpb Parasitic p->b series res. real Ω ‘RBT_RFPB [0:inf)rbt_Rfnb Parasitic n->b series res. real Ω ‘RBT_RFNB [0:inf)rbt_Cfpd Parasitic p->d series cap. real F ‘RBT_CFPD [0:inf)rbt_Cfpg Parasitic p->g series cap. real F ‘RBT_CFPG [0:inf)rbt_Cfps Parasitic p->s series cap. real F ‘RBT_CFPS [0:inf)rbt_Cfpb Parasitic p->b series cap. real F ‘RBT_CFPB [0:inf)

Page 34: Un-Released Resonant Body Transistors (RBT) Compact Model

CH

AP

TE

R

4SIMULATION RESULTS

This chapter presents the different testbenches used to verify the model function-ality. The model was tested on Cadence Spectre 13.1.1.049 [14].

4.1 Testbench

The testbench of the RBT model connects ideal voltage sources to the model termi-nals p,g,d, while grounding n and s. The temperature node Twas left floating. Thebody of the sensing FET b was also left floating relying on the internal n-b parasiticresistance to provide the grounding for the body as would be the case in a realis-tic device. Multiple analyses are performed, including DC characterization, smallsignal AC characterization as well as transient and periodic state (PSS) analysis arealso performed. Periodic noise analysis is also performed to estimate the outputnoise around the third harmonic of the device (which is considered to be the mainpeak).

The spectre netlist input.scs provided as part of the model package imple-ments the testbench circuit and has statements for all the aforementioned analyses.Also, an Ocean script plot.ocn is provided as well to simplify plotting the simula-tion results. A GNU Makefile Makefile is also available in the testing directoryto allow running the simulation and visualizing the results by directly entering thecommand make1.

1The user environment should be setup correctly with the PATH environment variable pointing tospectre as well Cadence Custom IC Flow (Virtuoso) installation.

30

Page 35: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 4. SIMULATION RESULTS 31

4.2 DC IV-Characteristics

The first test to be performed on the RBT is the DC IV-characterization of the sens-ing FET. Figure 4.1 shows the IDS −VDS characteristics of the sensing FET for themodel default parameter values.

0 0.2 0.4 0.6 0.8 10

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

Vds

[V]

I ds [m

A]

Vgs

= 0V

Vgs

= 0.5V

Vgs

= 1.0V

Vgs

= 1.5V

Vgs

= 2.0V

Vgs

= 2.5V

Vgs

= 2.5V

Vgs

= 3.0V

Figure 4.1: IDS −VDS characteristics of the sensing FET

The biasing condition for the subsequent analysis are selected to be:

• Driving DC voltage Vp = 5V

• Gate DC bias Vg = 3V

• Drain DC bias Vd = 1V

This biasing condition results in a drain current of 1.1m A and a temperature riseof 8.8C

4.3 AC Small Signal Analysis

The RBT small signal response is characterized in the form of an electromechan-ical transconductance gem . gem represents the small signal output current in thesensing FET drain d in response to a unit small signal input voltage applied at p. Wehave:

gem = iDS

vi n(4.1)

Page 36: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 4. SIMULATION RESULTS 32

gem is not to be confused with the electrical transconductane of the FET itself gm =iDS /vg .

Figure 4.2 show the small signal gem of the RBT with the default model param-eters, when biased as described in section 4.2 on the previous page. The responseclearly shows the fundamental around 4.25G H z, alongside with odd harmonics.However, only the 3r d harmonic at 12.77G H z has the highest amplitude as the de-fault driving and sensing positions are selected to enhance this specific mode. Fig-ure 4.3 on the following page presents a zoomed-in preview to the 3r d harmonic.The effect of the parasitics is significantly manifested into the phase shift at res-onance (which is not a complete 90), as well the parasitic feed-through floor. Agood device design must pay strong attention to the parasitics; it may be com-pletely detrimental to the device functionality.

0 10 20 30 40 50 60 705

10

15

20

25

30

Freq [GHz]

|gem

| [µ

S]

0 10 20 30 40 50 60 70−60

−40

−20

0

20

40

Freq [GHz]

∠ g

em [µ

S]

Figure 4.2: Magnitude and phase of the RBT transconductance gem with defaultmodel parameters.

4.4 Transient Analysis

Transient analysis is also performed to check the RBT behavior with time. The RBTis biased similar to section 4.2 on the previous page and a 100mV sinusoidal signalat its 3r d harmonic is applied to the drive terminal p. Figure 4.4 on page 34 shows

Page 37: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 4. SIMULATION RESULTS 33

12.65 12.7 12.75 12.8 12.85 12.95

10

15

20

25

30

Freq [GHz]

|gem

| [µ

S]

12.65 12.7 12.75 12.8 12.85 12.9−60

−40

−20

0

20

Freq [GHz]

∠ g

em [µ

S]

Figure 4.3: Magnitude and phase of the RBT transconductance gem with defaultmodel parameters with a focus on the 3r d harmonic at 12.77G H z.

the transient response of the RBT for such excitation. It is important to note theslow envelope variation, characteristic of high-Q filters and resonators.

4.5 Periodic Steady State (PSS) Analysis

Periodic steady state (PSS) analysis is performed to check the steady state waveformof the RBT, as well as the harmonics in the output. For the purpose of this analysis,the RBT is biased as in section 4.2 on page 31 and a 100mV sinusoidal signal atits 3r d harmonic is applied to its input p. Figure 4.5 on page 35 shows the PSSresponse of the RBT drain current for such excitation. Figure 4.6 on page 35 showsinput and output, as well as the temperature waveform. This gives an insight intothe phase difference between the different signals. It is also interesting to noticethe small temperature fluctuation with a certain phase offset from the input power,indicating that the device properties are modulated.

Page 38: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 4. SIMULATION RESULTS 34

0 100 200 300 4001.08

1.085

1.09

1.095

1.1

1.105

1.11

1.115

1.12

1.125

time [ns]

i DS [m

A]

349.6 349.8 3501.08

1.085

1.09

1.095

1.1

1.105

1.11

1.115

1.12

1.125

time [ns]

i DS [m

A]

Figure 4.4: Transient drain current in response to driving the RBT with a 100mVsinusoidal signal at its 3r d harmonic

4.6 Periodic Noise (PNOISE) Analysis

A periodic noise (PNOISE) analysis is performed to determine the noise powerspectral density (PSD) of the drain current for some offset from a large signal carrierexcitation. The analysis is based on the periodic steady state solution found in theprevious section. PNOISE analysis is set for an offset frequency from 1 to 100 M H zfrom the 3r d harmonic excitation of the RBT. The resulting noise PSD of the draincurrent is shown in figure 4.7 on page 36. For the default parameters, the noise per-formance is dominated by the sensing FET, where as the Brownian noise from themechanical resonance is negligibly contributing to the output.

Page 39: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 4. SIMULATION RESULTS 35

0 0.02 0.04 0.06 0.081.07

1.08

1.09

1.1

1.11

1.12

1.13

time [ns]

i DS [m

A]

0 20 40 60

10−12

10−10

10−8

10−6

10−4

fo [GHz]

i DS [m

A]

Figure 4.5: PSS simulation results for the RBT with default model parameters.

Tem

p(C

)

8.77

8.78

8.79

8.8

8a.81

8.82

8.83

Iin(m

A)

-40.0

-30.0

-20.0

-10.0

0.0

10.0

20.0

30.0

40.0

Id(m

A)

1.07

1.08

1.09

1.1

1.11

1.12

1.13

Vdriv

e(V

)

3.5

4.0

4.5

5.0

5.5

6.0

6.5

Figure 4.6: Drive voltage (blue), input current (yellow), drain current (green) andtemperature (red) from the PSS simulation results. The temperature of the deviceis modulated with a certain phase offset from input power.

Page 40: Un-Released Resonant Body Transistors (RBT) Compact Model

CHAPTER 4. SIMULATION RESULTS 36

100

102

104

106

108

101

102

Freq [Hz]

i D n

oise

[pA

/sqr

t(H

z)]

Figure 4.7: PNoise simulation results showing the noise PSD of the drain currentwith frequency offset from the 3r d harmonic. The units are p A/

pH z.

Page 41: Un-Released Resonant Body Transistors (RBT) Compact Model

BIBLIOGRAPHY

[1] D. Weinstein and S. A. Bhave, “The Resonant Body Transistor,” Nano Letters,vol. 10, no. 4, pp. 1234–1237, 2010.

[2] R. Marathe, B. Bahr, W. Wang, Z. Mahmood, L. Daniel, and D. Weinstein, “Res-onant Body Transistors in IBM’s 32 nm SOI CMOS Technology,” J. Microelec-tromech. Syst., no. 99, pp. 1–1, 2013.

[3] D. Weinstein and S. Bhave, “Internal dielectric transduction in bulk-mode res-onators,” Microelectromechanical Systems, Journal of, vol. 18, no. 6, pp. 1401–1408, Dec 2009.

[4] B. Auld, Acoustic Fields and Waves in Solids: vol. 1, ser. Acoustic Fields andWaves in Solids. Krieger Publishing Company, 1990.

[5] R. Tabrizian, M. Rais-Zadeh, and F. Ayazi, “Effect of phonon interactions onlimiting the f.q product of micromechanical resonators,” in Solid-State Sen-sors, Actuators and Microsystems Conference, 2009. TRANSDUCERS 2009. In-ternational, June 2009, pp. 2131–2134.

[6] T. Gabrielson, “Mechanical-thermal noise in micromachined acoustic and vi-bration sensors,” Electron Devices, IEEE Transactions on, vol. 40, no. 5, pp. 903–909, May 1993.

[7] S. Senturia, Microsystem Design. Springer US, 2005.

[8] Y. Kanda and Y. Kanda, “A graphical representation of the piezoresistance co-efficients in silicon,” Electron Devices, IEEE Transactions on, vol. 29, no. 1, pp.64–70, 1982.

[9] H. Baltes, O. Brand, G. Fedder, C. Hierold, J. Korvink, and O. Tabata, CMOS-MEMS: Advanced Micro and Nanosystems, ser. Advanced Micro and Nanosys-tems. Wiley, 2008.

[10] D. Hodges and H. Shichman, “Large-signal insulated-gate field-effect transis-tor model for computer circuit simulation,” in Solid-State Circuits Conference.Digest of Technical Papers. 1968 IEEE International, vol. XI, Feb 1968, pp. 70–71.

37

Page 42: Un-Released Resonant Body Transistors (RBT) Compact Model

BIBLIOGRAPHY 38

[11] BSIM models. [Online]. Available: http://www-device.eecs.berkeley.edu/bsim/

[12] S. Rakheja and D. Antoniadis. (2013, Nov) Mvs 1.0.1 nanotransistor model(silicon). [Online]. Available: https://nanohub.org/resources/19684

[13] BSIM Technical Manual. [Online]. Available: http://www-device.eecs.berkeley.edu/bsim/Files/BSIM6/BSIM6.1.0/BSIM6.1.0_Technical_Note.pdf

[14] Cadence design systems, inc. [Online]. Available: http://www.cadence.com/en/default.aspx