opening address photomask technology + euv...

15
Welcome to the 2018 Photomask Technology + EUV Lithography Conference in Monterey, CA

Upload: others

Post on 22-Jun-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Welcome to the 2018

Photomask Technology + EUV Lithography Conference

in Monterey, CA

Page 2: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Chairs

SPIE Photomask Technology EUV Lithography

Page 3: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Abstracts by region

Europe(25)

Asia(24)

USA(27)

EUVPhotomask

Europe(17)

Asia(19)

USA(20)

Page 4: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

AgendaSunday Monday Tuesday Wednesday Thursday

Imec workshop on EUV mask

blanks

EUVL + PM 1 Keynotes EUVL + PM 4EUV mask blanks

EUVL 6 + PM 8EUV mask and imaging

EUV High-NA and Imaging

EUV Scanner Source

Deep learning advanced data

analytics

EUVMaterials

I

Nanoimprint Lithography

EUVL 7 + PM 9EUV inspection, repair,

and verification

EUV Patterning

EUV Process control

Mask inspection,

control, repair

EUVL afternoon

off

Mask write and MPC

EUVL 8 + PM 10EUV pellicle and

metrology

EUVL + PMPoster speed talks

Mask process and

resist

EUVMaterials

II

Panel: Optical and EUV masks

Poster Dinner (Marriott)Entertainment

Page 5: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Joint sessionsPM breakout sessions

EUV breakout sessions

Venue

Exhibition

Page 6: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Reminder to speakers

• Please load your presentation on the conference room computer during a break prior to your session

• Steinbeck2 for joint and Photomask• Steinbeck3 for EUVL

• Arrive at your session early and introduce yourself to the Session chairs• Sit near the session chair table at the front of the room

• Speed poster participants –load your presentations by 12:30pm today • Additional option of using computer in the back

Page 7: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Monday posters

Poster session preceded by a new session with 10-minute invited talks followed by 2-minute speed talks

NOTE: All speed poster presenters must load their slide on the SPIE computer by 12:30pm

Page 8: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Wednesday evening

Page 9: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Student Scholarships

• Goal: Encourage student engagement in EUVL• Selection: Through abstract review• Award: Registration and travel support• This year: 14 scholarships awarded across AP, EU, and US

Note: applies to photomask submissions related to EUV

Page 10: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Thank you to the conferenceand award sponsors

Page 11: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Thank you to the following sponsors for their generous support

Page 12: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Plenary

9:00 to 9:40amCurrent challenges and opportunities for EUV lithography

Harry J. LevinsonHJL Lithography (USA)

Page 13: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Photomask Technology Kick-off38th annual conference 2nd year joint with EUVL

Page 14: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Thank you to theBACUS Steering and Program Committees

Frank E. Abboud, Intel Corp. Michael D. Archuletta, RAVE LLC Ki-ho Baik, HOYA Corp. USA Artur Balasinski, Cypress Semiconductor Corp. Uwe F. W. Behringer, UBC Microelectronics Peter D. Buck, Mentor Graphics Corp. Brian Cha, Samsung Electronics Co., Ltd. Derren Dunn, IBM Corp. Thomas B. Faure, GLOBALFOUNDRIES Inc. Aki Fujimura, DS2, Inc. Emily Gallagher, imec Brian J. Grenon, RAVE LLC Jon Haines, Micron Technology Inc. Naoya Hayashi, Dai Nippon Printing Co., Ltd. Bryan S. Kasprowicz, Photronics, Inc. Patrick M. Martin, Applied Materials, Inc. Kent Nakagawa, Toppan Photomasks, Inc. Jan Hendrik Peters, bmbg consult Moshe Preil, KLA-Tencor Corp. Jed Rankin, GLOBALFOUNDRIES Inc. Stephen P. Renwick, Nikon Research Corp. of America Douglas J. Resnick, Canon Nanotechnologies, Inc. Thomas Scheruebl, Carl Zeiss SMT GmbH Thomas Struck, Infineon Technologies AG Bala Thumma, Synopsys, Inc. Anthony Vacca, Automated Visual Inspection Michael Watt, Shin-Etsu MicroSi Inc. Jim N. Wiley, ASML US, Inc. Larry S. Zurbrick, Keysight Technologies, Inc.

Frank E. Abboud, Intel Corp. (United States)Uwe F.W. Behringer, UBC Microelectronics (Germany)Byungcheol (Brian) Cha, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)Lucien Bouchard, Photronics, Inc.Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)Aki Fujimura, D2S, Inc. (United States)Brian J. Grenon, RAVE LLC (United States)Naoya Hayashi, Dai Nippon Printing Co., Ltd (Japan)Izak Kapilevich, Applied Materials, Inc. (United States)Bryan S. Kasprowicz, Photronics, Inc. (United States)Byung Gook Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)Lloyd C. Litt, GLOBALFOUNDRIES Inc. (United States)Paul A. Morgan, Micron Technology, Inc. (United States)Kent H. Nakagawa, Toppan Photomasks, Inc. (United States)Takahiro Onoue, HOYA Corp. (Japan)Jan Hendrik Peters, BMBG Consult (Germany)Moshe E. Preil, KLA-Tencor Corp. (United States)Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)Thomas Scherübl, Carl Zeiss SMT GmbH (Germany)Yuyang Sun, Mentor Graphics Corp. (United States)Bala Thumma, Synopsys, Inc. (United States)Banqiu Wu, Applied Materials, Inc. (United States)Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

BACU

S St

eerin

g Co

mm

ittee

Phot

omas

k Pr

ogra

m C

omm

ittee

Page 15: Opening address Photomask Technology + EUV Lithographyeuvlsymposium.lbl.gov/pdf/2018/EUVL_2018_opening.pdf · • Arrive at your session early and introduce yourself to the Session

Panel session

Optical and EUV Masks: Analyzing the HVM requirements and Capability Differences• Wednesday 4:10 to 5:50 PM• Steinbeck 2• Panelists:

• Takahiro Onoue: Hoya Corp.• Paul Morgan: Micron Technology Inc.• Thomas Scherubl: Carl Zeiss SMT• Peter Buck: Mentor, A Siemens Business• Byung Gook Kim: Samsung