matex: a distributed framework of transient simulation for...

1
RESEARCH POSTER PRESENTATION DESIGN © 2012 www.PosterPresentation s.com We proposed MATEX, a distributed framework for transient simulation of power distribution networks (PDNs). MATEX utilizes matrix exponential kernel with Krylov subspace approximations to solve differential equations of linear circuit. First, the whole simulation task is divided into subtasks based on decompositions of current sources, in order to reduce the computational overheads. Then these subtasks are distributed to different computing nodes and processed in parallel. Within each node, after the matrix factorization at the beginning of simulation, the adaptive time stepping solver is performed without extra matrix re-factorizations. MATEX overcomes the stiffness hinder of previous matrix exponential-based circuit simulator by rational Krylov subspace method, which leads to larger step sizes with smaller dimensions of Krylov subspace bases and highly accelerates the whole computation. MATEX outperforms both traditional fixed and adaptive time stepping methods, e.g., achieving around 13X over the trapezoidal framework with fixed time step for the IBM power grid benchmarks. ABSTRACT PROLEM FORMULATION CIRCUIT SOLVER ACCELERATIONS The experiment environment Linux workstations. Intel Core TM i7-4770 3.40GHz processor and 32GB memory on each machine. Implemented in MATLAB 2013. Easy to emulation, due to no synchronization among slave nodes. The maximum runtime among the MATEX slave nodes as the runtime of MATEX EXPRIMENTAL RESULTS MATEX FRAMEWORK Krylov subspace variants via the notion of spectral transformation (Figure 1) Inverted basis (I-MATEX) , = , , , … , −+ and Rational basis (R-MATEX) ( − ) , = , ( − ) , ( − ) , … , ( − ) −+ and . The input matrices of Algorithm 1 : with once L, U = lu_decompose( ) Acceleration via Krylov subspace variants m a : average dimension of Krylov subspace (V m , H m ) m p : peak dimension of Krylov subspace (V m , H m ) Err(%): relative error compared to reference solution. Stiffness: |{ }| |{ }| PDN is modeled as RLC circuit, the transient simulation formulation in linear differential equations = −() + () where : capacitance/inductance matrix : conductance matrix : voltage/current vector : incident matrix for input sources : input sources vector Low order approximation: Classic example, the Trapezoidal method (TR): + 2 +ℎ = 2 + +ℎ + () 2 Fixed time-step version is used by the top solvers in TAU’12 power grid (PG) simulation contest. Efficient for IBM PG Benchmarks Only one matrix factorization for transient stepping Process forward and backward substitutions to calculate (+) Krylov-subspace matrix exponential method (MEXP) [TCAD’12] High order approximation = −() + () where = − , = − () Analytical solution +ℎ = () + (ℎ−) ( + ) 0 Assume input is piecewise linear (PWL) +ℎ = ( + ,ℎ) − , ℎ Where , ℎ = + +ℎ − , , ℎ = +ℎ + +ℎ Krylov subspace approximation of MEVP , = , , , … , to obtain , via = + +, + T then +ℎ = |||| − (, ℎ 1 ) * Computer Science & Engineering Dept., University of California, San Diego, CA; + Facebook Inc., Menlo Park, CA Hao Zhuang*, Shih-Hung Weng + , Jeng-Hau Lin*, Chung-Kuan Cheng* MATEX: A Distributed Framework of Transient Simulation for Power Distribution Networks We proposed a distributed framework MATEX for PDN transient simulation using the matrix exponential kernel. MATEX leverages the linear system's superposition property, and decomposes the task based on input sources features in order to reduce computational overheads for its subtasks at different nodes. We also address the stiffness problem for matrix exponential based circuit solver by rational Krylov subspace (R-MATEX), which has the best performance in this paper for adaptive time stepping without extra matrix factorizations. In IBM power grid benchmark, MATEX achieves 13X speedup over the fixed- step trapezoidal framework on average in transient computing after its matrix factorization. The overall speedup is around 7X. CONCLUSIONS Contacts: [email protected], [email protected], [email protected], [email protected] Figure 1. Spectral Transformation 1 2 +ℎ 1 = |||| 1 − (, ℎ 1 ) +ℎ 2 = |||| 2 − (, ℎ ) Circuit Solver in MATEX slave node (Algorithm 2) For one input source (LTS), the Krylov subspace generations are way smaller than GTS. Only one pair of is required for the snapshots. Compute the solutions by scaling via h 1 , h 2 . No matrix factorizations during the adaptive stepping! More aggressive decomposition based on ‘’bump’’ shape Figure 2. MATLAB expm(hA)v vs. R-MATEX approximation of with different h and Krylov subspace dimension m IBM Power Grid Benchmarks Each MATEX slave node deals with the group of input current sources with similar LTS. Design #R #C #L #I #V #Nodes ibmpg1t 40801 10774 277 10774 14308 54265 ibmpg2t 245163 36838 330 36838 330 164897 ibmpg3t 1602626 201054 955 201054 955 1043444 Ibmpg4t 1826589 265944 962 265944 962 1214288 ibmpg5t 1550048 473200 277 473200 539087 2092148 ibmpg6t 2410486 761484 381 761484 836249 3203802 Matrix Exponential and Vector Product (MEVP) Method MEXP I-MATEX R-MATEX + ( − )/ Method Err(%) Speedup /MEXP Stiffness MEXP 211.4 229 0.510 1X 2.1X10 16 I-MATEX 5.7 14 0.004 2616X R-MATEX 6.9 12 0.004 2735X MEXP 154.2 224 0.004 1X 2.1X10 12 I-MATEX 5.7 14 0.004 583X R-MATEX 6.9 12 0.004 611X MEXP 148.6 223 0.004 1X 2.1X10 8 I-MATEX 5.7 14 0.004 229X R-MATEX 6.9 12 0.004 252X Leverage the input sources decomposition and save runtime Design TR with h=10ps MATEX (R-MATEX) t 1000 (s) t total (s) # Group t rmatex (s) t rtotal (s) Avg Err. Speedups t 1000 (s)/t rmatex (s) Speedups t total (s)/t rtotal (s) ibmpg1t 5.94 6.20 100 0.50 0.85 2.5E-5 11.9X 7.3X ibmpg2t 26.98 28.61 100 2.02 3.72 4.3E-5 13.4X 7.7X ibmpg3t 245.92 272.47 100 20.15 45.77 3.7E-5 12.2X 6.0X Ibmpg4t 329.36 368.55 15 22.35 65.66 3.9E-5 14.7X 5.6X ibmpg5t 408.78 428.43 100 35.67 54.21 1.1E-5 11.5X 7.9X ibmpg6t 542.04 567.38 100 47.27 74.94 3.4E-5 11.5X 7.6X The flow of MATEX framework

Upload: others

Post on 06-Oct-2020

5 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: MATEX: A Distributed Framework of Transient Simulation for ...cseweb.ucsd.edu/~hazhuang/slides/dac14_matex_poster.pdfMATEX: A Distributed Framework of Transient Simulation for Power

RESEARCH POSTER PRESENTATION DESIGN © 2012

www.PosterPresentations.com

(—THIS SIDEBAR DOES NOT PRINT—)

DES IG N G U IDE

This PowerPoint 2007 template produces a

36”x48” presentation poster. You can use it

to create your research poster and save

valuable time placing titles, subtitles, text,

and graphics.

We provide a series of online tutorials that

will guide you through the poster design

process and answer your poster production

questions. To view our template tutorials, go

online to PosterPresentations.com and click

on HELP DESK.

When you are ready to print your poster, go

online to PosterPresentations.com

Need assistance? Call us at 1.510.649.3001

QU ICK START

Zoom in and out As you work on your poster zoom in

and out to the level that is more

comfortable to you.

Go to VIEW > ZOOM.

Title, Authors, and Affiliations Start designing your poster by adding the title, the

names of the authors, and the affiliated institutions.

You can type or paste text into the provided boxes.

The template will automatically adjust the size of

your text to fit the title box. You can manually

override this feature and change the size of your

text.

TIP: The font size of your title should be bigger than

your name(s) and institution name(s).

Adding Logos / Seals Most often, logos are added on each side of the title.

You can insert a logo by dragging and dropping it

from your desktop, copy and paste or by going to

INSERT > PICTURES. Logos taken from web sites are

likely to be low quality when printed. Zoom it at

100% to see what the logo will look like on the final

poster and make any necessary adjustments.

TIP: See if your school’s logo is available on our

free poster templates page.

Photographs / Graphics You can add images by dragging and dropping from

your desktop, copy and paste, or by going to INSERT

> PICTURES. Resize images proportionally by holding

down the SHIFT key and dragging one of the corner

handles. For a professional-looking poster, do not

distort your images by enlarging them

disproportionally.

Image Quality Check Zoom in and look at your images at 100%

magnification. If they look good they will print well.

ORIGINAL

DISTORTED

Corner handles

Go

od

pri

nti

ng

qu

alit

y

Bad

pri

nti

ng

qu

alit

y

QU ICK START ( con t . )

How to change the template color

theme You can easily change the color theme of your poster

by going to the DESIGN menu, click on COLORS, and

choose the color theme of your choice. You can also

create your own color theme.

You can also manually change the color of your

background by going to VIEW > SLIDE MASTER. After

you finish working on the master be sure to go to

VIEW > NORMAL to continue working on your poster.

How to add Text The template comes with a

number of pre-formatted

placeholders for headers and

text blocks. You can add

more blocks by copying and

pasting the existing ones or

by adding a text box from the

HOME menu.

Text size Adjust the size of your text based on how much

content you have to present. The default template

text offers a good starting point. Follow the

conference requirements.

How to add Tables To add a table from scratch go to the

INSERT menu and

click on TABLE. A drop-down box will

help you select rows and columns.

You can also copy and a paste a table from Word or

another PowerPoint document. A pasted table may

need to be re-formatted by RIGHT-CLICK > FORMAT

SHAPE, TEXT BOX, Margins.

Graphs / Charts You can simply copy and paste charts and graphs

from Excel or Word. Some reformatting may be

required depending on how the original document

has been created.

How to change the column

configuration RIGHT-CLICK on the poster background and select

LAYOUT to see the column options available for this

template. The poster columns can also be

customized on the Master. VIEW > MASTER.

How to remove the info bars If you are working in PowerPoint for Windows and

have finished your poster, save as PDF and the bars

will not be included. You can also delete them by

going to VIEW > MASTER. On the Mac adjust the Page-

Setup to match the Page-Setup in PowerPoint before

you create a PDF. You can also delete them from the

Slide Master.

Save your work Save your template as a PowerPoint document. For

printing, save as PowerPoint of “Print-quality” PDF.

Print your poster When you are ready to have your poster printed go

online to PosterPresentations.com and click on the

“Order Your Poster” button. Choose the poster type

the best suits your needs and submit your order. If

you submit a PowerPoint document you will be

receiving a PDF proof for your approval prior to

printing. If your order is placed and paid for before

noon, Pacific, Monday through Friday, your order will

ship out that same day. Next day, Second day, Third

day, and Free Ground services are offered. Go to

PosterPresentations.com for more information.

Student discounts are available on our Facebook

page.

Go to PosterPresentations.com and click on the

FB icon.

© 2013 PosterPresentations.com 2117 Fourth Street , Unit C Berkeley CA 94710

[email protected]

We proposed MATEX, a distributed framework

for transient simulation of power distribution

networks (PDNs). MATEX utilizes matrix

exponential kernel with Krylov subspace

approximations to solve differential equations of

linear circuit. First, the whole simulation task is

divided into subtasks based on decompositions of

current sources, in order to reduce the

computational overheads. Then these subtasks

are distributed to different computing nodes and

processed in parallel. Within each node, after the

matrix factorization at the beginning of

simulation, the adaptive time stepping solver is

performed without extra matrix re-factorizations.

MATEX overcomes the stiffness hinder of

previous matrix exponential-based circuit

simulator by rational Krylov subspace method,

which leads to larger step sizes with smaller

dimensions of Krylov subspace bases and highly

accelerates the whole computation. MATEX

outperforms both traditional fixed and adaptive

time stepping methods, e.g., achieving around

13X over the trapezoidal framework with fixed

time step for the IBM power grid benchmarks.

ABSTRACT

PROLEM FORMULATION

CIRCUIT SOLVER ACCELERATIONS

The experiment environment

• Linux workstations.

• Intel CoreTM i7-4770 3.40GHz

processor and 32GB memory on

each machine.

• Implemented in MATLAB 2013.

• Easy to emulation, due to no

synchronization among slave nodes.

• The maximum runtime among the

MATEX slave nodes as the runtime

of MATEX

EXPRIMENTAL RESULTS

MATEX FRAMEWORK

Krylov subspace variants via the notion of spectral

transformation (Figure 1)

Inverted basis (I-MATEX)

𝑲𝒎 𝐀−𝟏, 𝐯 = 𝐯, 𝐀−𝟏𝐯, 𝐀−𝟐 𝐯,… , 𝐀−𝒎+𝟏𝐯

and 𝐇′𝒎

Rational basis (R-MATEX)

𝑲𝒎 (𝐈 − 𝛾𝐀)−𝟏, 𝐯 =

𝐯, (𝐈 − 𝛾𝐀)−𝟏𝐯, (𝐈 − 𝛾𝐀)−𝟐 𝐯,… , (𝐈 − 𝛾𝐀)−𝒎+𝟏𝐯

and 𝐇 𝒎.

The input matrices of Algorithm 1 :

with once

L, U = lu_decompose(𝐗𝟏)

Acceleration via Krylov subspace variants

ma: average dimension of Krylov subspace (Vm, Hm)

mp: peak dimension of Krylov subspace (Vm, Hm)

Err(%): relative error compared to reference solution.

Stiffness: |𝑅𝑒{𝜆𝑚𝑖𝑛 𝐴 }|

|𝑅𝑒{𝜆𝑚𝑎𝑥 𝐴 }|

PDN is modeled as RLC circuit, the transient

simulation formulation in linear differential

equations 𝐂𝐱 𝑡 = −𝐆𝐱(𝑡) + 𝐁𝐮(𝑡)

where

𝐂: capacitance/inductance matrix

𝐆: conductance matrix

𝐱: voltage/current vector

𝐁: incident matrix for input sources

𝐮 𝑡 : input sources vector

Low order approximation:

Classic example, the Trapezoidal method (TR): 𝐂

ℎ+𝐆

2𝐱 𝑡 + ℎ

=𝐂

ℎ−𝐆

2𝐱 𝑡 + 𝐁

𝐮 𝑡 + ℎ + 𝐮(𝑡)

2

Fixed time-step ℎ version is used by the top

solvers in TAU’12 power grid (PG) simulation

contest.

Efficient for IBM PG Benchmarks

Only one matrix factorization for transient

stepping

Process forward and backward substitutions to

calculate 𝐱(𝑡+ℎ)

Krylov-subspace matrix exponential method

(MEXP) [TCAD’12]

High order approximation

𝐱 𝑡 = −𝐀𝐱(𝑡) + 𝐛(𝑡)

where

𝐀 = −𝐂−𝟏𝐆, 𝐛 = −𝐂−𝟏𝐁𝐮(𝐭)

Analytical solution

𝐱 𝑡 + ℎ = 𝑒ℎ𝐀𝐱(𝑡) + 𝑒(ℎ−𝜏)𝐀𝐛(𝑡 + 𝜏) 𝑑𝜏ℎ

0

Assume input is piecewise linear (PWL)

𝐱 𝑡 + ℎ = 𝑒ℎ𝐀 (𝐱 𝑡 + 𝐅 𝑡, ℎ) − 𝐏 𝑡, ℎ

Where

𝐅 𝑡, ℎ = 𝐀−𝟏𝐛 𝑡 + 𝐀−𝟐𝐛 𝑡+ℎ −𝐛 𝑡

ℎ,

𝐏 𝑡, ℎ = 𝐀−𝟏𝐛 𝑡 + ℎ + 𝐀−𝟐𝐛 𝑡 + ℎ − 𝐛 𝑡

Krylov subspace approximation of MEVP

𝑲𝒎 𝐀, 𝐯 = 𝐯, 𝐀𝐯, 𝐀𝟐𝐯,… , 𝐀𝒎−𝟏𝐯 to obtain

𝐕𝒎, 𝐇𝒎 via 𝐀𝐕𝒎 = 𝐕𝒎𝐇𝒎 + 𝒉𝒎+𝟏,𝒎𝐯𝒎+𝟏𝒆𝒎

T

then

𝐱 𝑡 + ℎ = ||𝐯||𝐕𝐦𝑒ℎ𝐇𝐦 𝒆𝟏− 𝐏(𝑡, ℎ1)

* Computer Science & Engineering Dept., University of California, San Diego, CA; + Facebook Inc., Menlo Park, CA

Hao Zhuang*, Shih-Hung Weng+, Jeng-Hau Lin*, Chung-Kuan Cheng* MATEX: A Distributed Framework of Transient Simulation for Power Distribution Networks

We proposed a distributed framework MATEX for

PDN transient simulation using the matrix

exponential kernel. MATEX leverages the linear

system's superposition property, and decomposes

the task based on input sources features in order to

reduce computational overheads for its subtasks at

different nodes. We also address the stiffness

problem for matrix exponential based circuit

solver by rational Krylov subspace (R-MATEX),

which has the best performance in this paper for

adaptive time stepping without extra matrix

factorizations. In IBM power grid benchmark,

MATEX achieves 13X speedup over the fixed-

step trapezoidal framework on average in transient

computing after its matrix factorization. The

overall speedup is around 7X.

CONCLUSIONS

Contacts: [email protected], [email protected],

[email protected], [email protected]

Figure 1. Spectral Transformation

𝐕𝐦 𝐇𝐦

ℎ1

ℎ2

𝐱 𝑡 + ℎ1 = ||𝐯||𝐕𝐦𝑒ℎ1𝐇𝐦 𝒆𝟏− 𝑷(𝑡, ℎ1)

𝐱 𝑡 + ℎ2 = ||𝐯||𝐕𝐦𝑒ℎ2𝐇𝐦 𝒆𝟏− 𝑷(𝑡, ℎ𝟐)

Circuit Solver in MATEX slave node (Algorithm 2)

• For one input source (LTS), the Krylov subspace

generations are way smaller than GTS.

Only one pair of 𝐕𝐦 𝐇𝐦 is required for the snapshots.

Compute the solutions by scaling 𝐇𝐦 via h1, h2 .

No matrix factorizations during the adaptive stepping!

More aggressive

decomposition based

on ‘’bump’’ shape

Figure 2. MATLAB expm(hA)v vs. R-MATEX

approximation of 𝒆𝒉𝐀𝐯 with different h and Krylov

subspace dimension m

IBM Power Grid Benchmarks

Each MATEX slave

node deals with the

group of input current

sources with similar

LTS.

Design #R #C #L #I #V #Nodes

ibmpg1t 40801 10774 277 10774 14308 54265

ibmpg2t 245163 36838 330 36838 330 164897

ibmpg3t 1602626 201054 955 201054 955 1043444

Ibmpg4t 1826589 265944 962 265944 962 1214288

ibmpg5t 1550048 473200 277 473200 539087 2092148

ibmpg6t 2410486 761484 381 761484 836249 3203802 Matrix Exponential and Vector Product (MEVP)

Method 𝐗𝟏 𝐗𝟐 𝐇𝒎

MEXP 𝐂 𝐆 𝐇𝒎

I-MATEX 𝐆 𝐂 𝐇′𝒎−𝟏

R-MATEX 𝐂 + 𝜸𝐆 𝐂 (𝐈 − 𝐇 𝒎−𝟏)/𝜸

Method 𝑚𝑎 𝑚𝑝 Err(%) Speedup/MEXP

Stiffness

MEXP 211.4 229 0.510 1X

2.1X1016 I-MATEX 5.7 14 0.004 2616X

R-MATEX 6.9 12 0.004 2735X

MEXP 154.2 224 0.004 1X

2.1X1012 I-MATEX 5.7 14 0.004 583X

R-MATEX 6.9 12 0.004 611X

MEXP 148.6 223 0.004 1X

2.1X108 I-MATEX 5.7 14 0.004 229X

R-MATEX 6.9 12 0.004 252X

Leverage the

input sources

decomposition

and save runtime

Design

TR with h=10ps MATEX (R-MATEX)

t1000(s) ttotal(s) # Group trmatex(s) trtotal(s) Avg Err.

Speedups t1000(s)/trmatex(s)

Speedups ttotal(s)/trtotal(s)

ibmpg1t 5.94 6.20 100 0.50 0.85 2.5E-5 11.9X 7.3X

ibmpg2t 26.98 28.61 100 2.02 3.72 4.3E-5 13.4X 7.7X

ibmpg3t 245.92 272.47 100 20.15 45.77 3.7E-5 12.2X 6.0X

Ibmpg4t 329.36 368.55 15 22.35 65.66 3.9E-5 14.7X 5.6X

ibmpg5t 408.78 428.43 100 35.67 54.21 1.1E-5 11.5X 7.9X

ibmpg6t 542.04 567.38 100 47.27 74.94 3.4E-5 11.5X 7.6X

The flow of MATEX framework