konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/sf_fet/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106...

34
ФАКУЛТЕТ ПО ЕЛЕКТРОННА ТЕХНИКА И ТЕХНОЛОГИИ КАТЕДРА ЕЛЕКТРОННА ТЕХНИКАмаг. инж. Елтимир Чавдаров Стоименов ИЗСЛЕДВАНЕ, АНАЛИЗ И УСЪВЪРШЕНСТВАНЕ НА СХЕМИ СЪС СМЕСЕНИ СИГНАЛИ С ПРИЛОЖЕНИЕ ЗА ФУРИЕРОВИ ТРАНСФОРМАЦИИ АВТОРЕФЕРАТ на дисертация за получаване на образователната и научна степен ДОКТОРпо докторантска програма Теория на електронни вериги и електронна схемотехникаНаучни ръководители: проф. д-р инж. Георги Славчев Михов доц. д-р инж. Ивайло Миланов Пандиев София, 2012 г. Т Е Х Н И Ч Е С К И У Н И В Е Р С И Т Е Т С О Ф И Я

Upload: others

Post on 10-Mar-2020

17 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

ФАКУЛТЕТ ПО ЕЛЕКТРОННА ТЕХНИКА И ТЕХНОЛОГИИ

КАТЕДРА „ЕЛЕКТРОННА ТЕХНИКА”

маг. инж. Елтимир Чавдаров Стоименов

ИЗСЛЕДВАНЕ, АНАЛИЗ И УСЪВЪРШЕНСТВАНЕ НА СХЕМИ

СЪС СМЕСЕНИ СИГНАЛИ С ПРИЛОЖЕНИЕ ЗА ФУРИЕРОВИ

ТРАНСФОРМАЦИИ

АВТОРЕФЕРАТ на дисертация за получаване на образователната и научна степен

„ДОКТОР”

по докторантска програма „Теория на електронни вериги и електронна схемотехника”

Научни ръководители: проф. д-р инж. Георги Славчев Михов доц. д-р инж. Ивайло Миланов Пандиев

София, 2012 г.

Т Е Х Н И Ч Е С К И У Н И В Е Р С И Т Е Т – С О Ф И Я

Page 2: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-2-

ТЕХНИЧЕСКИ УНИВЕРСИТЕТ - СОФИЯ

маг. инж. Елтимир Чавдаров Стоименов

ИЗСЛЕДВАНЕ, АНАЛИЗ И УСЪВЪРШЕНСТВАНЕ НА

СХЕМИ СЪС СМЕСЕНИ СИГНАЛИ С ПРИЛОЖЕНИЕ ЗА

ФУРИЕРОВИ ТРАНСФОРМАЦИИ

АВТОРЕФЕРАТ на дисертация за получаване на образователната и научна степен

„ДОКТОР”

по докторантска програма „Теория на електронни вериги и електронна схемотехника”

Научни ръководители: проф. д-р инж. Георги Славчев Михов доц. д-р инж. Ивайло Миланов Пандиев

Рецензенти: проф. д-р инж. Стефан Йорданов Овчаров доц. д-р инж. Ангел Николаев Попов

София 2012 г.

Page 3: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-3-

Дисертационният труд съдържа 106 страници и едно приложениe. Включва 36 фигури 9

таблици в 5 глави, увод, постигнати приноси и резултати и заключение. Библиографията обхваща 102 заглавия, от които 18 на кирилица и 88 на латиница.

Означенията на формулите и фигурите в автореферата съвпадат с тези от дисертацията.

Дисертационния труд е обсъден и насочен за защита на заседание на катедрения съвет на катедра „Електронна техника” при Технически университет-София на 27.02.2012 г., Протокол № 1058 от 27.02.2012 г.

Защитата на дисертационния труд ще се състои на 05.VII.2012 г. от 10.00ч. в зала 1434 на ТУ-София на заседание на научно жури.

Материалите по защитата са на разположение на интересуващите се в канцеларията на Факултета по Електронна техника и технологии при Технически университет-София, стая 1332А.

Автор: маг. инж. Елтимир Чавдаров Стоименов Заглавие: Изследване, анализ и усъвършенстване на схеми със смесени сигнали с

приложение за Фуриерови трансформации

Тираж: 50 бр. Издателство на ТУ-София

Page 4: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-4-

ОБЩА ХАРАКТЕРИСТИКА НА ДИСЕРТАЦИОННИЯ ТРУД

Актуалност на проблема:

Спектралният анализ, базиран на Фуриеровата трансформация, е мощно средство за анализ на периодични и непериодични електрически сигнали. Актуалността на дисертационния труд се основава на факта, че този род анализи намират широко приложение при определянето на различни параметри и характеристики на разнообразни обекти. Прилагането на смесена (аналогово-цифрова) обработка на информацията внася определен новаторски елемент в представеното изследване, което представлява интерес от научно-приложна и приложна гледна точка. За целите на реализацията са използвани съвременни средства като програмируеми цифрови и аналогови матрици.

Цел на дисертационния труд:

Цел на дисертационния труд е изследване и анализ на методите за непрекъсната Фуриерова трансформация, разработване и усъвършенстване на математически модел, създаване на електронна система и среда за събиране на информация.

Задачи за постигане на целта:

1. Създаване на математически модел на системата реализираща непрекъсната Фуриерова трансформация;

2. Симулационно изследване на предложения математически модел; 3. Създаване на блокова схема и физически прототип на система за спектрален

анализ, базирана на аналогова и смесена сигнална обработка; 4. Създаване на графична среда за връзка с потребителя с възможност за събиране

на информация и задаване на функционални параметри на системата, както и визуализиране на получените резултати.

5. Експериментално изследване на прототипа и сравняване на получените резултати

с еталонна електронна система за спектрален анализ.

Публикации:

Основните резултати от дисертационния труд са публикувани в една статия в списание „Електротехника и Електроника” през 2012 г. и пет доклада, публикувани във научни тематични сборници от научни конференции – три на международни семинари ICEST, 2010-

2011 и два на научни сесии „Електроника – ЕТ” през 2010 и 2011 г. Докторантът има две самостоятелни публикации представени на научни сесии.

Структура и обем на дисертационния труд:

Дисертационният труд съдържа 106 страници обособени в 5 глави включващи 36 фигури,

9 таблици, формули, едно приложение от 5 страници, увод, постигнати приноси и заключение. Библиографията обхваща 102 заглавия (книги, монографии, публикации, техническа документация и адреси на Web-страници), от които 18 на кирилица и 84 на латиница.

Внедряване и практическа приложимост

Създадената електронна система за спектрален анализ е разработена в рамките на научно-изследователски проект № 102ПД209-3 от Вътрешния конкурс за научни изследвания в ТУ-

София - сесия 2010 – 2011 г. За целите на обработка на биологични сигнали (ЕКГ и ЕЕГ сигнали) усъвършенстван

вариант на електронната система за спектрален анализ се планира да бъде интегрирана с адаптивна асистираща система за възрастни и хора с увреждания, разработвана по научен

проект към Фонд “Научни изследвания” при МОМН: № ДДВУ 02/18. Като първа стъпка за внедряването е създаден асинхронен детектор за разпознаване на падане при възрастни хора, базиран на FPAA.

Част от резултатите постигнати в дисертационния труд се планира да бъдат използвани за разработване на стендове за лабораторни упражнения по дисциплината „Устройства и системи

със смесени сигнали” за студентите от ОКС „Бакалавър - инженер” от специалност Електроника и дисциплината „Аналогови електронни устройства с цифрово управление” за студентите от ОКС „Магистър - инженер” от специалност Електроника.

Page 5: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-5-

Глава1

Литературен обзор по темата на дисертационния труд

Първа глава излага основните положения в областите на спектралния анализ, аналоговата и цифровата сигнална обработка и Фуриеровата трансформация (ФТ). Разгледани са двата основни вида спектрални анализатори – аналоговите, използващи банка от лентови

филтри или суперхетеродинна схема и цифровите, използващи бързо Фуриерово преобразувание. Представени са техните предимства и недостатъци. Направено е сравнение между методите на аналоговата и цифрова сигнална обработка като също са представени техните предимства и недостатъци. Извършено е кратко запознаване с т. нар. системи със

смесени сигнали като авторът на дисертационния труд изтъква своята представа за такъв тип системи. Направено е кратко запознаване на основните положения при Фуриеровата трансформация и са дадени някои исторически факти в тази област.

На базата на литературния обзор са дефинирани следните проблеми:

Относно спектралните анализатори.

- При аналоговите спектрални анализатори, използващи банка от лентови филтри недостатък е големият брой филтри и нееднаквостта в параметрите им;

- При аналоговите спектрални анализатори, използващи суперхетеродинна електронна схема и един лентов филтър, недостатък е времето за установяване на филтъра;

- При цифровите спектрални анализатори работната честотна лента на входния сигнал е ограничена до стойността определена от теоремата на Найкуист. Изискват се бързи и скъпи АЦП.

Относно аналоговата и цифровата сигнална обработка.

Предимствата на аналоговата обработка:

- аналогови схеми притежават по-голяма изчислителна ефективност, поради директно използване на физическите принципи на работа на елементите от които са изградени;

- необходими са по-малък брой електронни елементи, по-ниска цена, по-малка вероятност за дефектиране;

- всички операции се изпълняват в реално време (ако не се вземат предвид времената за установяване);

- за пренасянето на едно и също количество информация са необходими по-малък брой електрически връзки.

Предимствата на цифровата обработка:

- висока шумоустойчивост; - възможност за автоматизация на проектирането; - нечувствителност към използваната технология; - свойството на отделните стъпала да не натрупват шум;

- лесно запаметяване на информацията и възможността за програмно задаване на предавателната функция на системата.

Глава 2

Изследване и развитие на система със смесени сигнали за изчисляване на Фуриерова

трансформация в краен интервал

2.1. Основни определения

Фуриеровата трансформация е математическа операция, която представя произволна реална функция с реален аргумент чрез друга, комплексна функция също с реален аргумент. ФТ

може да се дефинира със следния математически израз:

(2.1) ∫∞

∞−

ξπ−∧

=ξ dxexffix2).()( за всяко реално число ξ.

Page 6: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-6-

Във формула (2.1) функцията )(xf се нарича функция оригинал, a аргументът й x най-

често има смисъл на време и се измерва в секунди (s). Функцията )(ξ∧f се нарича функция

образ, чийто аргумент ξ най-често има смисъл на честота и се измерва в Херци (Hz).

При определени условия обратният процес също е възможен – функцията )(xf може да

бъде „реконструирана” от функцията )(ξ∧f . Този процес се нарича обратна ФТ и се дефинира с

израза:

(2.2) ∫∞

∞−

ξπ∧

ξξ= defxfix2).()( за всяко реално число x.

Вместо самата ФТ по-често в практиката се използват т. нар. амплитуден и фазов спектър на сигнала. За да определим тези понятия е необходимо първо да се дефинира т. нар. спектрална функция или спектрална плътност:

(2.11) ∫∞

∞−

νπ−=νσ dxexfix2).()( .

Спектралната плътност на дадена функция e ФТ на функцията. Амплитуден спектър на функцията )(xf се нарича модулът на спектралната и плътност:

(2.12) [ ] [ ]22)(Im)(Re)()( νσ+νσ=νσ=νA .

Фазов спектър на функцията )(xf се нарича аргументът на спектралната й плътност

взета с обратен знак:

(2.13) [ ] [ ][ ])(Re

)(Imarctan)(arg)(

νσ

νσ=νσ=νϕ .

2.2. Математически модел – еквивалентна схема, характеристични уравнения и

параметри

За разлика от класическите варианти на спектрални анализатори, които използват цифрови алгоритми за получаване спектъра на входния сигнал, в настоящия дисертационен труд ще бъде представен метод, използващ непрекъсната форма на ФТ. В тази връзка всички процеси свързани с математическата обработка на сигналите ще се извършат от аналогови електронни схеми.

От формула (2.1) може да се направи извод, че ако искаме да получим ФТ на сигнала

)(xf е необходимо да го умножим с комплексната експонента ξπ− ixe

2 и да интегрираме във времето произведението им, в интервала от ∞− до ∞+ . Физическата реализация на ФТ във вида от формула (2.1) е невъзможна, причините за това са следните:

1. Време за интегриране, необходимо за получаването на Фуриеровия образ, е безкрайност (всъщност по-лошо, два пъти безкрайност).

2. Комплексната експонента ξπ− ixe

2 не може да бъде представена с физически реализуем

сигнал. Първият проблем (безкрайните граници на интегриране) може да бъде разрешен, ако се

използва модифицирана версия на ФТ, наречена Фуриерова Трансформация в краен интервал (ФТКИ). При нея входният сигнал първоначално се умножава с т. нар. прозоречна функция

)(xω , която е равна на нула навсякъде, освен в някакъв краен интервал [ ]ba; :

Page 7: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-7-

(2.14) [ ]

[ ]

∈=ω

bax

baxAx

;,0

;,)( .

Най-простата прозоречна функция е т.нар. правоъгълна (Пи - Π ) функция, която за

интервала [ ]ba; приема стойност единица:

(2.15)

[ ]

[ ].;)(,0

;)(,1

)(

τ+τ+∉τ−

τ+τ+∈τ−

=τ−Π

bax

bax

x

Ако се замести формула (2.15) във формула (2.1) се получава:

(2.16а) =τ−Π=ξτ ∫+∞

∞−

ξπ−∧

dxxexffix )(.).(),( 2

,0.).(1.).(0.).(

0

22

0

2

444 3444 214444 34444 21

∫∫∫∞

τ+

ξπ−τ+

τ+

ξπ−τ+

∞−

ξπ− ++=b

ixb

a

ixa

ixdxexfdxexfdxexf тогава

(2.16 б) ∫τ+

τ+

ξπ−∧

=ξτb

a

ix dxexff 2).(),( .

Границите на интегриране вече са крайни и зависят само от широчината на прозоречната функция. Параметърът τ определя положението на прозореца по времевата ос. Той има смисъл

на време и се явява втора независима променлива на функцията ∧f . Това означава, че функцията

∧f носи информация не само за честотните компоненти на функцията оригинал f , но и за времевите интервали, когато тези компоненти присъстват. На фиг. 2.2 е даден примерен сигнал

)(xf умножен с Π - функцията.

P t( - )x

1

0a + t t b + t

x

Фиг. 2.1. Форма на правоъгълна прозоречна функция.

Page 8: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-8-

Както се вижда произведението на прозореца с функцията „отрязва” част от сигнала представен

във времева област, за която после се прилага ФТ.

Друг проблем, който трябва да се разреши при ФТКИ е изборът на продължителността на интервала за интегриране [ ]ba; . При определянето на тази продължителност, основно изискване е в границите на интервала входният сигнал да е стационарен, т.е. честотата му да не се променя. Това условие налага интервалът, да е с колкото е възможно по-малка продължителност. Ако интервалът се вземе по-кратък, т.е. сигналът е определен във времева област, поради принципа на неопределеността, неговият спектър ще бъде по-неопределен

(„размазан”) в честотна област. Намирането на оптималната дължина зависи от природата на самия сигнал и е проблем, касаещ необходимата резолюция във времева и честотна област.

Вторият проблем възпрепятстващ физическата реализация на системата е комплексният

характер на експонентата ξπ− ixe 2 . За да се преодолее това затруднение ще представим

комплексната експонента чрез формулата на Ойлер:

(2.17) xixeix sin.cos += .

Ако се замести формула (2.17) в (2.10) се получава:

(2.18) ∫∫τ+

τ+

τ+

τ+

∧ξπ−ξπ=ξτ

b

a

b

a

dxxxfidxxxff )...2sin().()...2cos().(),( .

Във формула (2.18) реалната и имагинерната част на комплексната функция ∧f са

разделени. Това прави физическата реализация на формулата възможна и тя ще послужи като математически модел за изграждане на системата за спектрален анализ. Също така във формула (2.18) освен входния сигнал )(xf участват и функциите )...2sin( ξπ x и )...2cos( ξπ x . Това са обикновени синусоидална и косинусоидална функции, които имат два независими аргумента −

времето x [s] и честотата ξ [Hz]. Ако се приеме, че в границите на интервала [ ]ba; входният сигнал е стационарен реализацията на тези две функции е възможна чрез използването на т. нар. честотна развивка. Сигнал с честотна развивка е такъв сигнал, чиято честота ξ се променя във времето x по някакъв закон S :

(2.19) )(xS=ξ .

Най-простата честотна развивка е т.нар. линейна развивка, която може да се опише така:

(2.20) ..)( начxkxS ξ+==ξ .

Във формула (2.20) k е константа, която определя скоростта на промяна на честотата, а

.начξ началната честота, от която започва развивката. Графично това е представено на фиг. 2.3

като на фиг. 2.3а е дадена зависимостта на честотата от времето, а на фиг. 2.3б е даден синусоидален сигнал с честотна развивка.

а) б)

Фиг. 2.2. Умножение на входен сигнал с прозоречна функция: а) форма на входния сигнал )(xf и прозоречната функция )( τ−ω x ,

б) форма на изходния сигнал )(xf ′ след умножението.

Page 9: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-9-

След като двете основни пречки за физическата реализацията на ФТ в непрекъснат вид са

преодолени е възможно проектирането на устройство, което да извършва смесената обработка на информацията.

Такова устройство ще бъде наречено „ядро за изчисляване на ФТ” или само „ядро” и

работата му трябва да е базирана на формула (2.18). Блоковата схема на ядрото е показана на фиг. 2.4.

Единият клон от блоковата схема съставен от един блок „умножител” и един блок

„интегратор” намира реалната част от комплексния резултат, докато вторият клон определя имагинерната част.

Блок „Абсолютна стойност” определя амплитудния спектър на базата на формула (2.12).

Той е съставен от схеми за повдигане на квадрат, сумиране и схема за намиране на корен

квадратен.

На фиг. 2.5. е дадена опростена блокова схема на представената на фиг. 2.4.

Фиг. 2.4. Блокова схема на ядро базирано на смесена обработка на сигналите за изчисляване на ФТ.

0

10

20

30

40

50

60

9 25 41 57 73 89 105

121

137

153

169

185

201

-1,5

-1

-0,5

0

0,5

1

1,5

9 25 41 57 73 89 105

121

137

153

169

185

201

a)

b)

Фиг. 2.3. Примерна линейна честотна развивка, а) зависимост на честотата от времето; б) синусоидален сигнал с честотна развивка.

Page 10: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-10-

Приема се, че входният сигнал е синусоидален с амплитуда Uim, честота ω и фаза φ :

(2.21) )sin( ϕ+ω= tUU imi .

Блокът „квадратурен генератор” произвежда две опорни напрежения U1 и U2, които са отместени на 90⁰ и са с честотна развивка:

(2.22) tUU упрупрm ω= sin,1 и

(2.23) tUU упрупрm ω= cos,2 ,

където ωупр е текущата им честота. Тези напрежения се умножават с входното с помощта на умножители с мащабен коефициент Е ][V . След интегриране и изчисляване на абсолютната стойност за изходното напрежение Uo се получава:

(2.38) ( ) ( )[ ] ( )[ ]aaa

aUU im ω−ω

+ϕ−+ωω

−= 2cos12

1sin22sin

2

12

2

0.

От горната формула може да се заключи, че изходното напрежение зависи твърде сложно, както от амплитудата Uim, така и от честота ω и фазата φ на съответната хармонична съставка.

Ако изходното напрежение от първия умножител се представи в ред на Фурие може да се

запише )(333 tuuu ′+= , където ∫=T

dtuT

u0

33

1 е средната стойност, а )(3 tu′ е сума от всички

хармонични съставки на сигнала. След интегриране за изходното напрежение на интегратора се получава

3

0

33

~)

~(

1utdtuU

t

инт

+′τ

= ∫ .

Както се вижда средната стойност 3u се предава линейно след интегрирането. Ако

времеконстантата на интегриране c

интfπ

=τ2

1 се избере с достатъчно голяма стойност

(полюсната честота е достатъчно ниска) хармоничните съставки (ripple) от уравнението стават пренебрежимо малки. В такъв случай изходното напрежение от интегратора става равно на средната стойност

33 uU = . Същото е валидно и за изходното напрежение от втория умножител

44 uU = .

Такъв интегратор работи като осредняваща схема и може да се разглежда като НЧФ с много ниска гранична честота. Тогава за изходното напрежение Uo се получава:

(2.44) imimo UUU2

1sincos

2

1 22 =ϕ+ϕ= .

От уравнение (2.44) се вижда, че изходното напрежение oU зависи само от амплитудата

на съответната честотна съставка от входното напрежение.

Фиг. 2.5. Опростена блокова схема на аналогово ядро за изчисляване на ФТ.

Page 11: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-11-

Модифицираната блокова схема, в която интегратора е заменен с НЧФ с много ниска честота на срез е дадена на фиг. 2.6. В литературата такава схема се нарича „синхронен детектор, нечувствителен към фазата на входния сигнал”.

Простотата на израза за изходното напрежение прави метода с модифицираната блокова схема по-практичен и той ще залегне при реализацията на спектралния анализатор разглеждан в настоящия дисертационен труд.

- Принос към втора глава:

Изследвана е Фуриеровата трансформация в краен интервал и на базата на нейното

формализиране е предложена структура на електронна система за спектрален анализ,

подходяща за реализиране със схеми със смесени сигнали.

- Публикация:

Stoimenov, E., G. Mihov, Pandiev, I. Design of spectrum analyzer system based on analog and

mixed signal processing. Elektrotechnica & Elektronica E+E, Monthly scientific and technical journal

(in press).

Глава 3. Анализ и синтез на устройства за генериране на опорни сигнали за

целите на спектрален анализатор базиран на Фуриерова трансформация.

3.1. Структура, принцип на работа и основни параметри на методи за директен

цифров синтез (DDS) на аналогови сигнали. Квадратурен DDS генератори.

За функциониране на системата със смесени сигнали с приложение за ФТ е необходимо генерирането на синусоидален и косинусоидален сигнал с честотна развивка. Съществуват множество способи за създаването на такъв тип сигнали включително и методът с директен

цифров синтез (DDS), отличаващ се с относителна проста реализация. В основата на всеки DDS

генератор стои таблица, съдържаща моментните стойности на определен сигнал. С помощта на т. нар. “фазов регистър”, и цифрово-аналогов преобразувател (ЦАП) стойностите от таблицата се преобразуват в периодичен, аналогов сигнал. Методът позволява изключително точно и лесно задаване на честотата на изходния сигнал.

НЧФE

yx .

Квадратуренгенератор

tE genωcos

НЧФ

tE genωsin

Ui

x

y

E

yx .

x

y

3U

4U

3u

4u

1u

2u

СХЕМА ЗА ИЗЧИСЛЯВАНЕ

АБСОЛЮТНА СТОЙНОСТ

24

23 UU +

Uo

Фиг. 2.6. Модифицирана блокова схема на аналоговото ядро.

Page 12: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-12-

За онагледяване работата на DDS често се използва т. нар. “фазово колело“ показано на

фиг. 3.1. Фазовото колело е окръжност, описана от радиус-вектора s, чието завъртане спрямо началното му положение се определя от ъгъла (фазата) φ. Всяка точка от окръжността представлява определена моментна стойност на синусоидален (косиносоидален) сигнал, отговаряща на съответната фаза на завъртане. Една пълна обиколка на вектора s около колелото съответства на един завършен период на синусоидата (косинусоидата). Ако векторът се върти непрекъснато с ъглова скорост ω, тогава формата на изходния синусоидален сигнал може да се опише с израза

(3.1) )sin()( ωϕ=ϕf .

От формула (3.1) следва, че ъгловата скорост на въртене на вектора s отговаря на честотата на синусоидалния сигнал. Следователно, за да се промени честотата на сигнала е достатъчно да се промени ъгловата скорост на въртене на s. Това може да се осъществи като ъгълът на завъртане 0.ϕ=ϕ M се променя чрез промяна на стойността на коефициента M. Тук

0ϕ е ъгълът между две съседни точки върху фазовото колело. С нарастване на стойността на M

за едно и също време векторът s ще изминава по-голямо разстояние по окръжността и следователно честотата на изходния сигнал също ще нараства. Прескачането на ъгъла φ от една стойност директно в друга води до загуба на информацията от всички амплитудни стойности в границите на скока. Тази загуба на информация довежда до изкривяване във формата на изходния сигнал и намаляване на отношението сигнал-шум с повишаване на честотата.

3.2. Проектиране и реализация на DDS функционален генератор, базиран на цифрова

програмируема матрица (FPGA) и ИС със смесени сигнали

В процеса на работа по дисертационния труд са разработени две системи на DDS

функционални генератори. За реализацията на едната система са използвани програмируемa

цифровa матрица (Field Programmable Gate Array – FPGA) и програмируема система върху чип (Programmable System on Chip – PsoC). При втората подобрена реализация е премахната PSoC

ИС и е заменена с ИС с по-ниска степен на интеграция.

3.2.1. Проектиране и реализация на DDS функционален генератор базиран на FPGA

и PSoC.

Цел на разработката е да се проектира DDS функционален генератор със следните работни параметри:

• Форма на изходния сигнал: синусоидална, триъгълна, правоъгълна; • Честотна лента на изходния сигнал : 0 … 2 MHz;

• Начин за задаване на честотата на изходния сигнал : с цифрова величина с минимум 8

бита разрешаваща способност; • Начин за задаване на амплитудата на изходния сигнал: с цифрова величина;

φ

sin(φ)

cos(φ)

s

O φ

Фиг. 3.1. Общ вид на фазово колело.

Page 13: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-13-

• Амплитуда на изх. сигнал: ±10V;

• Коефициент на нелинейни изкривявания (THD): 1%;

• Връзка с персонален компютър (PC) : чрез стандартен USB интерфейс. Реализацията на DDS генератора е базирана на развойна платка – Xilinx Spartan-3A

Evaluation Kit на фирмата Avnet. Ресурсите, с който разполага посочената платка и които са от интерес за разработката са:

- Xilinx XC3S400A – 4FTG256 Spartan-3A FPGA;

- Cypress 8C24894 PSoC;

- 16 MHz системна честота;

- USB интерфейс.

Детайлизирана блокова схема на разработваното устройство е показана на фиг. 3.5.

Блоковата схема е разделена на две части. Едната част представлява FPGA ИС-а, която съдържа DDS ядро, способно да генерира сигнали с триъгълна, правоъгълна и синусоидална форма. Другата част e PSoC, реализиращ в структурата си всички необходими аналогови блокове. Получената от DDS цифрова информация се предава чрез UART интерфейс към PSoC. В PSoC

са създадени блоковете за цифрово аналогово преобразуване, нискочестотна филтрация и усилване на изходния сигнал. Вграденият в ИС-а USB интерфейс се използва за комуникация с PC. Блокът „Управляващо Устройство” (УУ) декодира данните получени от PC и в зависимост от тях настройва усилването на Програмируемия Усилвател (PGA) или ги препраща към FPGA

за настройка на честотата или промяна формата на сигнала.

Работния алгоритъм на УУ е показан на фиг. 3.6. Алгоритъмът се изпълнява в следната

последователност: УУ очаква валидна команда от потребителя, получена през USB

интерфейса. Ако тя съществува УУ декодира командата и ако тя касае промяна на честотата или формата на сигнала я изпраща към DDS ядрото. Ако командата е за промяна на изходната амплитуда, тя се подава към PGA и задава необходимия коефициент на усилване.

Управл. Устройство UART UART ЦАП НЧФ PGA

Uo

УУ USB

Управление на:

-Форма на сигнала;-Честота;

-Амплитуда.

УСИЛВАНЕ

синусоид.

форматриъгълнаформа

правоъг.форма

DDS ядро

Фиг. 3.5. Детайлизирана блокова схема на разработваното устройство.

Page 14: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-14-

Блокът DDS_ядро от фиг. 3.5 включва три сегмента. Всеки от сегментите отговаря за

генерирането на една от трите форми на изходния сигнал – синусоидална, триъгълна и правоъгълна. Блоковата схема на сегмента за генериране на синусоидален сигнал е показана на фиг. 3.7.

В блока LUT са записани само стойностите за ¼ от пълния период на синусоидата, които

се използват за генерирането на останалата част от сигнала. След физическата реализация на системата, с цел установяване на работните й параметри,

са проведени експериментални изследвания. На фиг. 3.9 е показан изходния сигнал съответно със синусоидална, триъгълна и правоъгълна форма. Амплитудата и честотата на сигналите е 1 V и 114 Hz, съответно.

Синусоидална форма Триъгълна форма Правоъгълна форма

Фиг. 3.9. Осцилограма на три различни форми на изходния сигнал.

z-1+ 0

1

1/4 sinLUT

2M-2

-1

+ z-1

+- 0

1

ampl_o(P-1...0)

-

phase(M-1)

phase_o(N-1...0)

phase(M-2)

phase(M-3...0)ftw_i(N-1...0)

phase_i(N-1...0)

Фиг. 3.7. Блокова схема на сегмент за генериране на синусоидален сигнал.

СТАРТ

Получена ли е валидна команда

от USB?

Изпрати

команда

към FPGA!

Настройка на

коефициента на

усилване наPGA!

Команда за:

- форма на

сигнала- честота

ДА

НЕ

КРАЙ

Команда за:

- усилване

Фиг. 3.6. Блоков алгоритъм на УУ.

Page 15: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-15-

Таблица 3.1. Сравнение между поставените цели и реално постигнатите резултати.

Параметър Поставена цел Реално постигнати

резултати

Форма на изходния сигнал синусоидална, триъгълна,

правоъгълна Направено

Честотна лента на изходния сигнал

0 – 2MHz 0-200Hz

Начин за задаване на честотата на изх. сигнал

цифрово с минимум 8 бита разрешаваща способност

Направено

Начин за задаване на амплитудата на изх. сигнал

цифрово Направено – 16 нива

Амплитуда на изх. сигнал ±10 V 0 – 3.3 V

THD 1% Не е изследвано

Връзка с PC чрез стандартен USB

интерфейс. Направено

След анализ на експерименталните резултати е съставена таблица 3.1, която сравнява поставените с постигнатите стойности на работните параметри.

Таблицата разкрива основните проблеми, от който страда настоящата разработка: Проблем 1 - Честотна лента на изходния сигнал: Реално постигната честотна лента на изходния сигнал е на порядъци по–ниска от

желаната. Изследванията показват, че първопричината за това е ниската максимална скорост на трансфер между FPGA и PSoC. Опитно се установи, че UART интерфейсът, свързващ двете ИС,

достига максимална скорост на обмен от около 35.7 kbps. По – висока скорост на трансфер води до грешки при комуникацията.

Решение : Използването на друг протокол за трансфер на данни, както и намирането на по – подходящи сигнални шини за комуникация между двете ИС.

Проблем 2 - Амплитуда на изходния сигнал: Изходният сигнал е еднополярен и с малка амплитуда. Първопричината за това, е че –

PsoC ИС-а е свързана директно към изхода на системата. Решение : Буферирането и допълнителното усилване на сигнала получен от PSoC

разрешава проблема. В заключение може да се каже, че реализирания DDS генератор не покрива задоволително

поставените цели. Това налага проектирането на усъвършенствана електронна система на DDS

функционален генератор.

3.2.2. Проектиране и реализация на DDS функционален генератор базиран на FPGA

и ИС с ниска степен на интеграция.

В настоящата точка е представена усъвършенствана реализация на показаната в точка 3.2.1., която значително разширява възможностите на проектираната система. В разработка е премахнат PSoC като неговите функции са заместени от ИС с по-ниска степен на интеграция. При реализацията на настоящата система бяха заложени следните целеви параметри:

Честотна лента: 0 ÷ 2 MHz; Разделителна способност по честота: 1 Hz; Разделителна способност по амплитуда: 10 mV при обхват ± (от 200 mV до 2 V) и 1 mV при обхват ± (от 20 mV до 200 mV); Максимална амплитуда на изходния сигнал: ±10 V; Разделителна способност по постоянно токово отместване: 1 mV; Максимално постояннотоково отместване: ±1 V; THD: ≤ 1% (-40 dB), SNR: ≥ 40 dB; Връзка с персонален компютър: чрез стандартен USB

интерфейс. Синтезираната блокова схема на системата е показана на фиг. 3.10.

Page 16: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-16-

Първоначално блокът „DDS ядро” синтезира синусоидален, триъгълен или правоъгълен

сигнал в цифров вид. Информацията от този блок се подава към Цифрово - Аналогов Преобразувател (ЦАП) за преобразуване и в аналогов вид. Полученият сигнал се филтрира от блок „Ниско Честотен Филтър” (НЧФ) и се усилва от блок „Усилвател с регулируем

коефициент на усилване” (Programmable Gain Amplifier - PGA). Коефициентът на усилване на PGA зависи от постоянното напрежение формирано от блок „Управление на усилването”. Чрез блок „Постояннотоково отместване” е възможно регулирането на DC съставката на изходния сигнал. Блок „Крайно стъпало” служи за предаването на сигнала с достатъчна мощност.

Определяне на необходимите параметри нужни за реализацията на всеки един от функционалните блокове, както и принципна схема на функционалния генератор са дадени в дисертационния труд.

След синтезирането на принципната схема на устройството и физическата му реализация с цел доказване на работоспособността са проведени някои експериментални изследвания. Фиг. 3.13 представя осцилограмите на синусоидален, триъгълен и правоъгълен изходен сигнал. Амплитудата е 1 V, а честотата е 1 kHz. Схемата за промяна на диапазона на изменение на амплитудата на изходното напрежение не е изследвана чрез физически експеримент.

a) синусоидален; b) триъгълен c) правоъгълен. Фиг. 3.13. Изходен сигнал от DDS генератора при Uom = 1 V and f = 1 kHz.

След измерване на необходимите работни параметри на системата е възможно съставянето на сравнителна таблица 3.2. Както се вижда честотната лента и размаха на изходното напрежение са повишени чувствително, въпреки че все още не достигат начално зададените стойности. Разбира се, това подобрение на резултатите е с цената на значително усложнената схемна реализация.

DDS ядро 14-битовЦАП

Буфер.

усилв. НЧФPGA

Изходно

стъпало

DC

отместване

Управл.

на усилв.

DDS_CLK DAC_CLK

16

Vc

Uo

Фиг. 3.10. Блокова схема на DDS функционален генератор.

Page 17: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-17-

Таблица 3.2. Сравнение на постигнатите резултати между вариантите на

функционален генератор използващ PSoC ИС и варианта с ИС с по-ниска степен на

интеграция.

Параметър Стойности постигнати с функционалния генератор

от т. 3.2.

Стойности постигнати в настояшата разработка

Форма на изходния сигнал Синусоидален,

триъгълен,правоъгълен -//-

Честотна лента 0 – 200 Hz 0 – 100 kHz

Дължина на думата задаваща изх. честота

8-bit 24-bit

Дължина на думата задаваща изх. амплитуда

4- bit 8- bit (при използване

на ЦАП)

Изходна амплитуда 0 - 3.3 V ±5 V

THD: Не е изследвано 1 %

Връзка с ПК Чрез стандартен USB порт -//-

3.3.Проектиране на квадратурен DDS генератор на базата на развойна система

Spartan-3E Starter Kit.

За функционирането на проектираната система на спектрален анализатор е необходимо получаването на синусоидален и косинусоидален сигнал от квадратурен генератор. Разгледаните по-горе схеми не са пригодени за генерирането именно на такъв тип сигнали. Това налага разработката на специфичен DDS генератор създаден именно за целите на спектрален

анализ. Основните изисквания към този генератор са: - Да е способен да генерира синсоидален и косинусоидален сигнал с честотна развивка; - Изходното напрежение трябва да има 1,5V постояннотоково отместване и размах не по-

голям от 0…3V. Това изискване се налага, за да се осигури съвместимост с входните нива на FPAA .

За реализацията на генератора ще бъде използвана развойна система тип Spartan-3E Startet

Kit, а за синтеза на DDS ядрото отново ще бъде използвано IP-то предлагано от фирмата производител като са използвани следните изходни параметри:

- Тактова честота: 50 MHz;

- Тип на изходните сигнали: синусоидален и косинусоидален;

- Дължина на изходната дума: 12-бита; - Дължина на думата за задаване на изходната честота: 26 бита. При така зададената

дължина стъпката на промяна на изходната честота е под 1 Hz.

Генерирането на изходния аналогов сигнал се извършва с помощта на вградения в развойната система сериен 4 канален 12 битов ЦАП. Типът на ЦАП-а е LTC2624 на Linear Inc. и

е SPI съвместим. Блоковата схема на цифрово-аналоговата верига е показана на фиг. 3.15. Два от изходните канали на ЦАП - A и B са с опорно напрежение 3,3 V, канали C и D са с опорни напрежения 2,5 V. Изходните синусоидален и косинусоидален сигнали ще бъдат получени от канали C и D, което позволява по-лесно да се изпълни условието за съвместимост с FPAA.

В структурата на FPGA освен DDS ядрото е предвиден и микропроцесорен блок, необходим за обслужването на протокола за комуникация с ЦАП. Този блок ще бъде подробно разгледан в глава 4.

Page 18: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-18-

- Принос към трета глава:

Разработена е схема за генериране на синусоидален сигнал с честотна развивка на базата на квадратурен генератор за директен цифров синтез (DDS). Схемата е реализирана експериментално в структура на цифрова програмируема матрица (FPGA) и допълнителни аналогово-цифрови преобразуватели.

- Публикации:

1. Stoimenov, Е., G. Mihov, I. Pandiev. Realization of Direct Digital Synthesis Generators Based

on FPGA and PSoC Integrated Circuits. ICEST 2010, Macedonia, Conference Proceedings, vol.

2, pp. 787-790, 2010;

2. Stoimenov, E., G. Mihov, I. Pandiev. Realization of enhanced DDS functional generator based

on FPGA. Annual Journal of Electronics, Bulgaria, vol. 4, No 2, pp. 172-175, 2010.

Глава 4.

Изследване и усъвършенстване на системи със смесена обработка на сигнали,

базирани на FPAA и FPGA интегрални схеми.

4.1. Реализация на аналогов сегмент от система за спектрален анализ, базиран на

синхронен детектор, нечувствителен към фазата на входния сигнал. Изпълнение в FPAA

интегрални схеми.

За целите на реализацията на анлоговия сегмент е използвана FPAA развойната система тип AnadigmApex Development Board (version 3). Системата разполага с една FPAA ИС тип AN231E04 и стандартен комуникационен канал за директно програмиране от персонален компютър. На фиг. 4.3 е показана структурата на синхронния детектор, реализиран чрез две FPAA базирани развойни системи.

Към първата ИС (FPAA1) се подават входният и двата опорни сигнала. В нея са реализирани блоковете за умножение и НЧФ. Добавени са и някои помощни CAM като схеми

за следене/запомняне, необходими за правилната работа на умножителите, както и два помощни НЧФ от първи ред за изглаждане на опорните сигнали. Към ИС (входно/изходни клетки 1-5 и 6-7) са свързани и четири външни кондензатора със стойност 100 nF, необходими

за правилната работа на основните НЧФ. В FPAA2 е реализирана схемата за определяне модула на комплексната величина необходим за определянето на амплитудния спектър (вж. формула (2.12) в глава 2. Тя е съставена от два умножителя, свързани в схема за повдигане на квадрат, суматор с вграден НЧФ и схема за намиране корен квадратен.

ЦАП A

ЦАП B

ЦАП C

ЦАП D

12

12

12

12

VOUTA

VOUTB

VOUTC

VOUTD

A

B

C

D

GND

VCC

(3.3V)

SDI

CS/LD

SCK

CLR

SDO

SPARTAN-3E

FPGA SPI интерфейс

3,3V

2,5V

LTC 2624

REF A

REF B

REF C

REF D

Фиг. 3.15. Верига на свързване на ЦАП.

Page 19: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-19-

4.2. Реализация на цифров сегмент от система за спектрален анализ чрез развойна

система тип Spartan-3E Starter Kit. Синтез на блок Управляващо устройство, базирано на

Microblaze софтуерен процесор. Използване на развойна среда VisualState за създаване на

програмен алгоритъм.

Spartan-3E Starter Kit е широко разпространената развойна система, базирана на FPGA

интегрални схеми и разполага с богат списък от функционални възможности и има относително ниска цена. Основните блокове от фиг. 2.4 (вж. глава 2), участващи в структурата на цифровия сегмент са DDS генератор, входно/изходна памет и Управляващо Устройство (УУ). В тази точка ще бъде изяснена ролята на УУ и неговата реализация. Двете основни функции, които се възлагат на блок УУ са:

1. Управление на изходната честота на DDS квадратурния генератор, с цел получаването на сигнал с честотна развивка;

2. Осигуряване на потребителския интерфейс при задаване на работни параметри на системата и изпращане на данни от системата към персонален компютър за изобразяване на получения спектър.

Блокът УУ може да бъде реализиран директно чрез поведенческото му описание на VHDL. В този случа обаче с нарастване броя на задачите, изпълнявани от УУ нараства и сложността на описанието му, което предразполага допускането на грешки. Друго затруднение е и фактът, че VHDL e език специфично пригоден за описание на хардуер. Решение на този проблем е използването на т.нар. софтуерен процесор. Софтуерните процесори могат да се счетат за обикновени процесорни устройства с тази разлика, че са реализирани в FPGA, а не в специализирана програмируема интегрална схема. Предимствата, които притежава използването на софтуерен процесор са:

- Процесорното ядро се предлага като готов модул, чиято хардуерна функционалност е вече верифицирана (проверена);

- Позволява реализирането на програмния алгоритъм чрез стандартни езици за програмиране като Асемблер, C и C++;

- Софтуерният процесор позволява автоматизирано конфигуриране на работните му параметри и периферни модули. Това облекчава потребителя от ръчното настройване и съгласуване с необходимите периферни устройства, както при директното използване на VHDL.

Съществува голямо разнообразие от предлагани процесорни ядра с различни параметри.

По-известни представители са PicoBlaze, Nios, LatticeMico32, OpenRisc и др. При избора на конкретен представител са поставени следните основни критерии:

1. Широчината на данновата шина да бъде поне 16-бита с цел директно четене/запис от АЦП и ЦАП.

2. Да разполага с интегрирана среда за развитие и функционален модул за постъпково изпълнение на програмата (дебъгер);

3. Да разполага с компилатор за програмни езици от високо ниво, като C и C++;

Фиг. 4.3. Синхронен детектор нечувствителен към фазата на входния сигнал, реализиран чрез FPAA.

Page 20: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-20-

4. Да е съвместим с FPGA тип Spartan-3E.

След направено проучване за софтуерен процесор, изпълняващ функциите на УУ, е избран Microblaze (Xilinx), който удовлетворява и четирите изисквания .

Microblaze e 32-битов RISC процесор с Харвардска архитектура, притежаващ богат набор от инструкции и оптимизиран за използване във вградени електронни системи. Процесорът предоставя възможност за гъвкаво комбиниране на различни периферни модули, избор на количеството използвана памет и използването на различни видове входно/изходни интерфейси. Това позволява получаване на точно желаната от потребителя система

Блоковата схема на цифровия сегмент с включена Microblaze процесорна подсистема е показана на фиг. 4.4.

4.2.1. Създаване на програмен алгоритъм за управление на системата за спектрален

анализ. Програмният алгоритъм изпълняван от софтуерния процесор Microblazе е даден на фиг.

4.5. Той може да бъде разделен на три подпрограми. Едната подпрограма се изпълнява при заявка за прекъсване от таймерната подсистема и отговаря за формирането на честотната развивка. Подпрограмата използва помощната променлива FTW. При всяко прекъсване първо се инкрементира стойността на STEP и се подава към DDS генератора за актуализация на изходната му честота. След това чрез АЦП се измерва стойността на изходното напрежение от аналоговия сегмент (амплитудния спектър на входния сигнал за текущата честота) и се записва в буфера ADC_Buff. Ако FTW стане по-голяма от някаква крайна стойност FREQ_FINAL,

съдържанието на ADC_Buff се изпраща към персонален компютър за визуализация, а стойността на FTW се приравнява на FREQ_START.

Втората подпрограма обслужва прекъсванията от UART породени от потребителския интерфейс. Ако постъпи заявка за такова прекъсване процесорът го обработва като декодира командата и актуализира желания системен параметър.

Трета част от работния алгоритъм е основната подпрограма (известна още като main()

функция). Тя се изпълнява постоянно от процесора и включва инициализация и изпращане на данните от DDS генератора към ЦАП чрез SPI интерфейс.

За създаването на програмния алгоритъм изпълняван от Microblaze процесора фирмата производител Xilinx предлага интегрирана среда за развитие SDK (Software Development Kit)

базирана на Еклипс (Eclipse). Средата позволява създаването на програмния код чрез езикa от високо ниво C, както и постъпковото изпълнение на готовата програмата (дебъгване на програмата).

С цел да се улесни процесът по създаване на програмното осигуряване освен класическия метод с текстово описание на програмен код е използвано графично описание. Този метод позволява работният алгоритъм да се опише в блоков вид с помощта на краен автомат съставен от определен брой стабилни състояния и съответните условия за преминаване от едно

Фиг. 4.4. Блокова схема на цифров сегмент с включена Microblaze процесорна подсистема.

Page 21: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-21-

състояние в друго. С помощта на софтуерната среда наречена VisualState предлагана от фирмата IAR Inc. графично представеният алгоритъм се преобразува в стандартен C код, готов за компилация и изпълнение.

4.2.2. Създаване на потребителски графичен интерфейс на системата за спектрален

анализ. За целите на комуникацията между потребителя и системата за спектрален анализ е

разработен специализиран компютърно базиран графичен интерфейс – GUI (Graphical User

Interface). Програмата комуникира със системата чрез стандартен RS-232 интерфейс като скоростта на комуникация е настроена на 9600 bauds/sec. Чрез GUI потребителят има възможност да задава различни работни параметри и да визуализира получения спектър.

Потребителският интерфейс е разработен с помощта на LabView2010. В заключение трябва да кажем, че разработката на потребителския интерфейс не е завършена до край и той все още няма пълни функционални възможности.

- Приноси към четвърта глава:

1. Предложено е използването на синхронен детектор при прилагането на Фуриерова трансформация в краен интервал. Същият е реализиран експериментално в структура на програмируема аналогова матрица (FPAA). Извършеното изследване показва, че изходният сигнал зависи само от амплитудата на съответния хармоник.

2. Предложен и реализиран е алгоритъм за управляващо устройство изградено на базата на софтуерен процесор Microblaze. Част от предложения алгоритъм е създаден с помощта на графично програмиране в средата на Visual State. Създадена е компютърна програма с графичен

интерфейс за връзка на потребителя със системата за спектрален анализ, позволяваща удобна и

лесна настройка на системата.

- Публикации:

1. Stoimenov, E., G. Mihov, I. Pandiev. FPAA Implementation of phase-independent

synchronous detector for spectrum analyzer. ICEST 2011, Serbia, Conference Proceedings, vol.

3, pp. 925-928, 2011;

2. Stoimenov, E. Design of Digital Control System of Spectrum Analyzer Built on MicroBlaze™

Processor. ICEST 2011, Serbia, Conference Proceedings, vol. 3, pp. 929-932, 2011;

3. Stoimenov, E. Developing of user interface for spectrum analyzer. Annual Journal of

Electronics, Bulgaria, vol. 5, No 1, pp. 63-65, 2011.

Край

Начало

Декод. командата и актуализирай съотв. параметър

Изпрати по SPI

информацията от DDS към ЦАП

Инициализация

Начало

Установи честотата на DDS

равна на FTW

Измери изходно напрежение и съхрани

стойността в ADC_buff[]

Изпрати информацията от

ADC_buf[] по RS-232.

FTW == FINAL_

FREQ

FTW = FTW +

STEPFTW==START_

FREQ

Начало

Край

Да

Не

Прекъсване от таймерната

подсистемаПрекъсване

oт UART

Главна програма-

main()

Фиг. 4.5. Програмен алгоритъм за управление на системата за спектрален анализ.

Page 22: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-22-

Глава 5.

Симулационно и експериментално изследване на прототип на електронна система за

определяне на спектър, базирана на смесена обработка на сигналите

За да се провери работоспособността на създадената електронна система са проведени изследвания касаещи намирането на спектъра на различни входни сигнали. Изследванията са проведени по два начина:

1) Симулационно тестване на математическия модел, синтезиран в глава 2 от дисертационния труд;

2) Експериментално тестване на електронната система и сравнение с експериментални резултати от еталонна система за анализ на спектри и вериги тип HP4195A.

5.1. Симулационно тестване на математическия модел

Моделът е тестван с помощта на OrCAD PSpiceA/D с различни входни, включително и биологични, сигнали. На фиг. 5.1 е показана схема на синхронен детектор, нечувствителен към

фазата на входния сигнал, реализирана в средата на OrCAD PSpiceA/D. Схемата е изградена с помощта на два отместени на 90° източника на синусоидални сигнали − V1 и V2 с чиято помощ

се формират опорните напрежения. За формирането на развивка честотата на тези източници е параметризирана (параметъра fval) и се променя с определена стъпка. Входният сигнал на схемата се получава от генератора V11. За провеждане на първата симулация, резултатите от която са показани на фиг.5.2, на входа е подаден синусоидален сигнал с амплитуда 1 V и три различни стойности на честотата : 20, 50 и 100 Hz. И в трите показани спектъра присъства максимум с честота, определена от честотата на входния сигнал. Амплитудата на максимума е около 0,5 V и е равна на половината от амплитудата на входния сигнал, което отговаря на очакваната стойност от математическия модел.

Фиг. 5.3а изобразява сигнал получен чрез сумирането на две синусоиди с амплитуда 1 V и

честота 20 Hz и 50 Hz, а фиг. 5.3б показва неговия спектър, получен с помощта на FFT анализ вграден в PSpice A/D. В спектрограмата се наблюдават два максимума с амплитуда 1 V,

намиращи се на съответните честоти на входния сигнал. На фиг. 5.3в е показан спектъра на входния сигнал получен след симулация на изследваната система. Двата максимума в спектрограмата са с амплитуда 0,5 V и отговарят точно на очакваната стойност от математическия модел. За честоти под 20 Hz в спектъра се появява нежелателно ниво със стойност от около 20 mV, което съотнесено с амплитудата на максимума представлява грешка около 4%.

За симулационното изследване показано на фиг. 5.4 на входа на системата е подаден

типичен електрокардиографски (ЕКГ) сигнал (фиг. 5.4а). Сигналът е дискретизиран с честота 250 Hz и е усилен 1000 пъти. Глобалният максимум в спектъра получен с FFT на PSpice (фиг. 5.4б) се намира приблизително при честота 6,6 Hz и има амплитуда 300 mV. Всеки от следващите го локални максимуми отстои приблизително на 2 Hz от предишния. Спектърът, получен от изледваната система на спектърален анализатор и показан на фиг. 5.4в близко повтаря по форма симулационно изследване.

Фиг. 5.1. Схема на системата за спектрален анализ реализирана в OrCAD PSpiceA/D.

Page 23: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-23-

0s 50ms 100ms 150ms 200ms250ms 300ms 350ms400ms 450ms

V(in),V

2.0V

1.0V

0V

-1.0V

-2.0Vt,s

а)

10 20 30 40 50 60 70 80 90 fval,Hz

400m

300m

200m

100m

0

V(out),V

10 20 30 40 50 60 70 80 90 f,Hz0

0,2

0,4

0,6

0,8

1,0

V(in,V)

б) в)

Фиг.5.3. Симулационни резултати получени със входен сигнал сума от два синусоидални сигнала с честота сигнала с честота 20 Hz и 50 Hz и амплитуда 1 V. а) Форма на сигнала във времева област, б)

FFT спектрален анализ от PSpice A/D, в) симулация на изследваната система на спектрален аналзатор.

20 40 60 80 100 120 fval,Hz

V(out),mV

100m

300m

400m

200m

020 40 60 80 100 120 fval,Hz

V(out),mV

100m

300m

400m

200m

0 a) б)

20 40 60 80 100 120 fval,Hz

V(out),mV

100m

300m

400m

200m

0

в)

Фиг. 5.2. Симулационни резултати получени от разработваната система за спектрален анализ с синусоидален входен сигнал с честота: а) 20 Hz, б) 50 Hz и в) 100 Hz.

Page 24: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-24-

На фиг. 5.5 и фиг. 5.6 е показана схемата на синхронен детектор, нечувствителен към

фазата на входния сигнал, реализиран в средата на Simulink (Matlab) и резултати от симулационното му изследване. В низходящ ред на фиг. 5.6 са показани: синусоидален и косинусоидален опорни сигнали с честотна развивка, входен синусоидален сигнал и изходния сигнал, получен от симулацията. Изследването има за цел да покаже зависимостта на амплитудата на изходния сигнал в зависимост от честотата на опорните сигнали. Максимумът в изхода с амплитуда 500 mV се получава в момента, когато честотите на опорните сигнали се изравнят с честотата на входния сигнал, което отново потвърждава точността на математическия модел.

a) б)

Фиг. 5.5. Симулационно изследване на спектър на синусоидален входен сигнал в средата на Simulink (Matlab): а)

схема за симулация; б) резултати от симулацията.

0s 0.5s 1.0s 1.5s 2.0s 2.5s 3.0s 3.5s t,s

V(in),V

2.0V

1.0V

0V

-1.0V

а)

200m

150m

100m

50m

10 fval,Hz

V(out),V

020 30 40 50 60 70 80 90 10 fval,Hz

V(out),V

200m

160m

120m

80m

40m

020 30 40 50 60 70 80 90

б) в) Фиг. 5.4. Симулационни резултати получени с типичен ЕКГ входен сигнал дискретизиран с честота 250 Hz и усилен 1000 пъти. а) Форма на ЕКГ сигнала във времева област, б) FFT спектрален анализ от PSpice A/D, в)

симулация на изследваната система на спектрален анализатор.

Page 25: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-25-

5.1. Експериментално тестване на електронната система и сравнение с експериментални резултати от еталонната система за анализ на спектри и вериги

Схемата на опитната постановка са дадени на фиг. 5.7б.

Типът на входния източник на синусоидален тестов сигнал е SFG-2110 на GwINSTEK.

Сигналът притежава следните параметри - амплитуда: 1 V; постояннотоково отместване: 1,5 V

(съобразено с изискванията на FPAA ИС); честота: 20, 50, 100 Hz.

Зададени са следните функционални параметри на създадената система за спектрален

анализ - параметри на входния сигнал: (1) постояннотоково отместване – 1,5 V необходимо за нормалната работа на FPAA. Операционните усилватели в FPAA интегралните схеми са с еднополярно захранване +3,3 V и крайните им стъпала работят в режим на работа клас А; (2)

максимална стойност – 3 V (от връх до връх) ограничена от захранващото напрежение на FPAA; (3) минимална стойност – 50 mV (от връх до връх) ограничена от изискването нивото на сигнала да е поне 10 пъти по-голямо от нивото на шума в FPAA;

- параметри на опорните сигнали: (1) амплитуда: 1,3 V; (2) стартова честота на развивката: ≈ 1 Hz; (3) крайна честота на развивката: ≈ 130 Hz; (4) стъпка на промяна на честотата: 0,7 Hz;

(5) времеви интервал през който се променя изходната честота : 20 ms.

При така зададените параметри времето за едно измерване е приблизително равно на 5 s.

Входният тестов сигнал се подава несиметрично към неинвертиращия вход на диференциалното входно стъпало на аналоговия сегмент от системата за спектрален анализ. Към инвертиращия вход е подадено опорно напрежение VMR = 1,5 V (сигнална маса). Изходните напрежения от аналоговия сегмент се подават също диференциално към входовете на АЦП за преобразуване. Преди да е възможно изобразяването на получената информация чрез персонален компютър е необходимо цифровите данни получени от АЦП да бъдат

превърнати в напрежение. За числaтa −D и +

D получени след преобразуването на инвертиращия и неинвертиращия вход на АЦП се намира:

(5.1) 8192.25.1

65.1−=

− INV

D и

(5.2) 8192.25.1

65.1−=

+

+ INV

D .

За числото D формирано от разликата на −D и +

D се получава:

(5.3) ( )6553.−+−+ −=−=ININ

VVDDD .

От формула (5.3) може да се изрази диференциалното входно напрежение на АЦП:

(5.4) ( )6553

DVVV

ININdiff =−= −+.

Изследванията са проведени на два етапа: 1. Експериментално определяне на оптимални работни параметри на системата; 2. Задаване на определените параметри и получаване на експериментални данни за

различни типове входен сигнал.

5.1.1. Експериментално определяне на оптимални работни параметри на

системата

+ IN

- IN GND

VMR 1,5VАналогов

сегментpositive OUT

negative OUT

Вход за опорн.

сигнали

+ АЦП

- АЦП GND

Цифров

сегмент

RS-232sin

cos Персонален

компютър

Фиг. 5.7б. Схема на опитната постановка.

Page 26: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-26-

За да може от системата да се получат висококачествени изходни резултати е необходимо предварително определяне на оптималните й работни параметри, в това число:

1. Коефициент на усилване на двуканалния програмируем усилвател включен като входно стъпало на АЦП - стойността на коефициента е зададена на единица и за двата канала;

2. Широчина на лентата на честотната развивка - зададена е максималната широчина от 1 Hz до 130 Hz;

3. Стъпка на промяна на честотата на развивката - по-малка стъпка определя по-добра разделителна способност на системата, ето защо е зададена е минималната възможна стойност – 0,8 Hz;

4. Времеви интервал на промяна на честотата на развивката – колкото по-малък е този интервал толкова по-бързо ще се извърши измерването. Ако стойността обаче е прекалено малка, има възможност НЧФ от схемата на синхронния детектор да не успеят да се установят. Намирането на оптималната дължина на интервала е предмет на изследването описано по-долу.

Опитна постановка за провеждане на изследването: На входа на системата е подаден синусоидален сигнал с честота 50 Hz и амплитуда 1 V.

Стойността на времевия интервал на промяна на честотата на развивката ще бъде зададен със следните стойности: 10 ms, 20 ms, 50 ms, 100 ms, 256 ms, 500 ms и 1 s. В таблица 5.1. са дадени формите на получения спектър и съответното време за измерване. Въз основа на получените резултати за стойност на интервала за промяна на честотата на

рaзвивката е избрана стойност 256 ms.

5.1.2. Експериментално изследване на системата за спектрален анализ 1. Експериментално изследване със синусоидален входен сигнал и сравнение на

резултатите с еталонната система.

В таблица 5.2 е дадено сравнение между формата на получения спектър от изследваната система за спектрален анализ с предварително установени параметри и еталонния анализатор HP 4195A. За еталонния анализатор може да се уточни, че времето за измерване е около 18

минути за синусоидален сигнал с една честота, като измерването се извършва с 401 точки, а разделителната способност е 0,3 Hz. Трябва да се вземе предвид, че времетраенето на измерване се увеличава и от факта, че за получаване на изходния сигнал еталонната система осреднява резултата от 256 измервания. По такъв начин времето за едно измерване е около 4 s.

При измерване с реализираната електронна система, с установен интервал за промяна на честотата 256 ms, за един и същи входен сигнал времето за измерване е под 50 секунди, като са снети 170 отчета без осредняване на резултата. Въз основа на резултатите представени в таблица 5.2 могат да бъдат направени следните изводи:

- Спектралните характеристики получени от системата, обект на реализация в дисертационния труд, и еталонната система HP4195A имат максимум за една и съща честота равна на честотата на входния сигнал;

- Относителната грешка между очакваната стойност за максимума получените стойности от двете електронни системи е в рамките на 15 %

- Нивото на шума в електронната система обект на реализация в ДТ е по-голямо от това в еталонната система, но използването на осредняване на резултата вероятно ще намали нивото на шума.

Таблица 5.1. Форма на получения спектър и време за измерване при различни стойности

на интервала за промяна на честотата на развивката.

Page 27: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-27-

Стъпка - 10 ms

Време за изпълнение - под 2 s

Стъпка - 20 ms

Време за изпълнение - под 4 s

Стъпка - 50 ms

Време за изпълнение - под 9 s

Стъпка - 100 ms

Време за изпълнение - под 20 s

Стъпка - 256 ms

Време за изпълнение - под 50 s

Стъпка - 500 ms

Време за изпълнение - под 100 s

Стъпка - 1 s

Време за изпълнение - под 4 min

Еталонна система Време за изпълнение - приблизително 18 min

Таблица 5.2. Сравнение между формата на получения спектър от изследваната система

за спектрален анализ и еталонния анализатор HP 4195A.

Стойност на вх. честота

Тествана система за спектрален анализ. Еталонна система за анализ на спектри и

вериги - HP 4195A.

20 Hz

Page 28: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-28-

50 Hz

100 Hz

- Нивото на шума в спектъра получен от анализатора HP4195 A се намалява с помощта на програмируем лентов филтър, който чрез мащабна пренастройка променя честотата си в зададен обхват. В реализираната електронна система допълнително намаляване на нивото на шума може да се постигне посредством включването на операционни усилватели с автоматична корекция на нулата към съответните входове и изходи на FPAA. При това, обаче, може да се ограничи работната честотна лента.

2. Експериментално изследване с типови входни сигнали.

В таблица 5.3 са дадени резултатите от изследването на системата с типови входни сигнали. Първата графика показва осцилограмата на правоъгълен входен сигнал с честота 50 Hz и амплитуда 1 V. От теорията е известно, че обвивката на спектъра на такъв сигнал има форма на

функцията x

xsin, която представлява един основен хармоник с множество отскоци с честота

кратна на главната. Спектърът на фиг. 2 е получен чрез подаването на триъгълен входен сигнал с еднакви

параметри на посочените по-горе. Спектърът на този сигнал отговаря на функцията 2

sin

x

x.

На следващите три фигури от 3 до 5 е показан спектъра на сложен сигнал получен чрез сумирането на две синусоиди с различна честота и амплитуда. Спектърът от фиг. 3 е получен

чрез подаването на входа на системата на сума от две синусоиди с една и съща амплитуда и

честоти 20 Hz и 100 Hz. Отличават се два максимума с честоти отговарящи на честотите на входния сигнал. На фиг. 4 е показан резултатът от аналогично на горното изследване, но амплитудата на едната от двете входни съставки е намалена два пъти. Вследствие на това нивото на тази съставка е съизмеримо с нивото на шумовете в системата.

На фиг. 5 компонентите на входния сигнал са с честота 30 Hz и 50 Hz и амплитуда 1 V.

Въпреки близката стойност на честотите в спектъра все още присъстват два ясно разделени максимума.

Page 29: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-29-

Таблица 5.3. Изследване на системата за спектрален анализ с типови входни сигнали.

1. Правоъгълен входен сигнал с амплитуда 1 V и честота 50 Hz.

2. Триъгълен входен сигнал с амплитуда 1 V и честота 50 Hz.

3. Сума от две синусоиди с честоти 20 Hz и 100 Hz и амплитуда 1V.

4.Сума от две синусоиди с честоти

20Hz и 100Hz и амплитуда 1V и 0,5V.

5. Сума от две синусоиди с честоти 30 Hz и 50 Hz и амплитуда 1V.

За подобряване качеството на получения спектър може да се използва техника на осредняване на няколко крайни резултата. В таблица 5.4 е показана формата на спектъра при три различни стойности на осредняване. Може да се забележи, че с повишаване на броя на стойностите за осредняване качеството на спектъра се подобрява, но нараства и времето за измерване. Трябва да се допълни, че към стойността на спектъра е прибавено и

постояннотоково отместване със стойност около 15% спрямо максимума, с което се цели допълнително подобряване на параметрите на резултата.

В таблица 5.5 отново е дадено сравнение между изследваната система на спектрален

анализатор и еталонната система HP4195A. В спектъра получен от изследваната система е приложена техниката на осредняване и постояннотоково отместване. Формата на спектъра е значително подобрена, но грешката по амплитуда се е покачила до около 30%.

Таблица 5.4. Форма на спектъра получен при три различни стойности на осредняване на

резултата и постояннотоково отместване.

• Брой измервания – 32.

• Време за цялостното измерване – под 25 min.

• Брой измервания – 64.

• Време за цялостното измерване – под 50 min.

• Брой измервания – 128.

• Време за цялостното измерване - под 1 h 50 min.

Page 30: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-30-

Таблица 5.5. Сравнение между формата на получения спектър от изследваната система за

спектрален анализ с използване на осредняване на резултата и постояннотоково отместване

и еталонния анализатор HP 4195A.

Стойност на вх. честота

Тествана система за спектрален анализ. Еталонна система за анализ на спектри и вериги -

HP 4195A.

20 Hz

50 Hz

100 Hz

- Принос към пета глава:

Извършено е задълбочено симулационно и експериментално изследване доказващо работоспособността на предложената система за спектрален анализ. Експерименталното изследване е извършено чрез сравнение със еталонна електронна система за спектрален анализ.

- Публикация:

Stoimenov, E., G. Mihov, Pandiev, I. Design of spectrum analyzer system based on

analog and mixed signal processing. Elektrotechnica & Elektronica E+E, Monthly scientific

and technical journal (in press).

Page 31: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-31-

ЗАКЛЮЧЕНИЕ

В дисертационния труд са представени резултатите от изследването и

създаването на спектрален анализатор, използващ Фуриерова трансформация за определяне спектъра на входния сигнал. Въпреки, че подобни електронни устройства са обект на задълбочено изследване, повечето от създадените системи са базирани на класически методи за цифрова обработка на сигналите, като бърза Фуриерова трансформация (FFT). Представеното в дисертационния труд научно изследване се различава от съществуващите методи и техники, като реализираната електронна система се основава на смесена (аналогова и цифрова) обработка на сигналите.

В глава 1 от дисертационния труд е направено запознаване с основните параметри и принципи на работа на широко разпространените спектрални анализатори. Представени са предимствата и недостатъците при използването на аналогови или цифрови сигнали за целите на обработката на информация. Направена е кратка историческа ретроспекция и са изложени основните положения на Фуриеровата трансформация. Дефинирани са задачите на дисертационния труд.

Глава 2 е посветена на изследване и развитие на системата на спектрален

анализатор със смесени сигнали. Създаден е математически модел. Представени са някои фундаментални математически положения касаещи непрекъснатата Фуриерова трансформация и са дефинирани проблемите възпрепятстващи директното и

физическо прилагане. Предложени са модификации на основното уравнение с цел разрешаване на споменатите проблеми. Синтезирана е основна блокова схема, а след това е представен и неин усъвършенстван вариант позволяващ опростяване на физическата реализация.

В глава 3 е представен принципът на работа и са описани основните функционални параметри на генераторите с директен цифров синтез. Представена е реализация на квадратурен генератор, които да бъде използван при създаването на спектралния анализатор обект на изследване на дисертационния труд. Също така в главата са включени и две предшестващи разработки на генератори с директен цифров синтез, от които беше натрупан необходимия опит при създаването на крайния схемен вариант.

В глава 4 е разгледана физическата реализация на математическия модел с помощта на аналогови и цифрови програмируеми матрици. Реализацията е разделена на два отделни функционални сегмента, в които са включени аналоговата и

цифровата сигнална обработка, съответно. Аналоговият сегмент включва схемата на синхронен детектор нечувствителен към фазата на входния сигнал, а цифровият -

генератор с директен цифров синтез и управляващо устройство базирано на Microblaze софтуерен процесор.

Глава 5 представя симулационното и експериментално тестване на системата за спектрален анализ. Изследванията са проведени с различни типови входни сигнали, в това число и биологични сигнали. Симулационните резултати са получени в средата на системите за автоматизирано проектиране OrCAD PSpiceA/D и Simulink (Matlab).

Резултатите от експерименталните изследвания са сравнение с еталонна система за анализ на спектри и вериги тип HP4195A. При тестването са използвани входни сигнали със стандартна форма.

Накрая бих желал да приканя всички учени и инженери, които по някакъв начин намират тематиката на този дисертационен труд за интересна, да споделят по-активно опита си в тази сфера.

Page 32: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-32-

Приноси на дисертационния труд

1. Изследвана е Фуриеровата трансформация в краен интервал и на базата на нейното формализиране е предложена структура на електронна система за спектрален анализ, подходяща за реализиране със схеми със смесени сигнали.

2. Предложено е използването на синхронен детектор при прилагането на Фуриерова трансформация в краен интервал. Същият е реализиран експериментално в структура на програмируема аналогова матрица (FPAA). Извършеното изследване показва, че изходният сигнал зависи само от амплитудата на съответния хармоник.

3. Разработен е подход за генериране на синусоидален сигнал с честотна развивка на базата на квадратурен генератор за директен цифров синтез (DDS). Подходът е реализиран

експериментално в структура на цифрова програмируема матрица (FPGA) и допълнителни аналогово-цифрови преобразуватели.

4. Предложен и реализиран е алгоритъм за управляващо устройство изградено на базата на софтуерен процесор Microblaze. Част от предложения алгоритъм е създаден с помощта на графично програмиране в средата на Visual State. Създадена е компютърна програма с графичен интерфейс за връзка на потребителя със системата за спектрален анализ, позволяваща удобна и лесна настройка на системата.

5. Извършено е задълбочено симулационно и експериментално изследване доказващо работоспособността на предложената система за спектрален анализ. Експерименталното изследване е извършено чрез сравнение със еталонна електронна система за спектрален анализ.

Списък на публикациите, свързани с дисертационния труд

1. Stoimenov, Е., G. Mihov, I. Pandiev. Realization of Direct Digital Synthesis Generators

Based on FPGA and PSoC Integrated Circuits. ICEST 2010, Macedonia, Conference Proceedings, vol.

2, pp. 787-790, 2010.

2. Stoimenov, E., G. Mihov, I. Pandiev. Realization of enhanced DDS functional

generator based on FPGA. Annual Journal of Electronics, Bulgaria, vol. 4, No 2, pp. 172-175, 2010.

3. Stoimenov, E., G. Mihov, I. Pandiev. FPAA Implementation of phase-independent

synchronous detector for spectrum analyzer. ICEST 2011, Serbia, Conference Proceedings, vol. 3, pp.

925-928, 2011.

4. Stoimenov, E. Design of Digital Control System of Spectrum Analyzer Built on

MicroBlaze™ Processor. ICEST 2011, Serbia, Conference Proceedings, vol. 3, pp. 929-932, 2011.

5. Stoimenov, E. Developing of user interface for spectrum analyzer. Annual Journal of

Electronics, Bulgaria, vol. 5, No 1, pp. 63-65, 2011.

6. Stoimenov, E., G. Mihov, Pandiev, I. Design of spectrum analyzer system based on

analog and mixed signal processing. Elektrotechnica & Elektronica E+E, Monthly scientific and

technical journal (in press).

Участие на докторанта в научно-изследователски проекти

1. Научен проект за помощ на докторант: № 102ПД209-3 “Изследване, анализ и

усъвършенстване на аналогови схеми и системи с цифрово управление”, ръководител: проф. д-р инж. Г. Михов.

2. Научен проект към Фонд “Научни изследвания” при МОМН: № ДДВУ 02/18

“Разработване и изследване на адаптивна асистираща система за възрастни и хора с увреждания” , ръководител: доц. д-р инж. И. Илиев.

Page 33: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-33-

Research, analysis and improvement of mixed signal circuits with application for Fourier

transforms

Abstract

The spectral analysis based on Fourier transform is a powerful research tool in almost all fields

of science and especially in signal processing. The Fourier analysis decomposes an input signal to its

spectral components and gives information about the amplitude and phase spectrums.

The spectral analyzers can be divided into two major types. The first type uses analog circuits

like bank of band-pass filters (BPFs) or by using superheterodyne generator to obtain the spectrum of

the input signal. The method with the bank of BPFs, processes the signal in real time, but demands too

many resources to built sufficiently large number of filters. The approach based on superheterodyne

circuit requires only one BPF, but the measurement time is increased as the filter needs time to become

in steady state.

The second type of spectrum analyzers relies on analog-to-digital converter (ADC) to digitalize

the signal and then applies Fast Fourier Transform (FFT). This approach is advantageous as most of

the system parameters are under software control, but the input signal bandwidth is narrowed by the

Nyquist theorem.

The goal of the current PhD thesis is the research of the methods for the continuous Fourier

transform, development and improvement of a mathematical model, creation of a prototype and data

collecting environment. In order to reach the goal the following tasks are planned: (1) synthesis of a

mathematical model for continuous Fourier transform; (2) simulation study of the mathematical model;

(3) synthesis of block diagram and electronic prototype of the spectrum analyzer system based on

mixed (analog-digital) signal processing; (4) developing of Graphical User Interface (GUI) for data

collection; (5) experimental study of the electronic prototype and comparing the results with referent

spectrum analyzer.

The developed in this PhD thesis system exploits the continuous form of the Fourier transform

which distinguish it from the classical spectrum analyzers which mostly rely on digital methods like

FFT. A mathematical model and block diagram of the device has been created. The block diagram is

based on a version of the short time Fourier transform with rectangular window.

Originally the synthesized block diagram contained integrators for signal processing purposes.

This approach proved to be inappropriate as the output signal is sensitive to the input signal phase and

the integration interval. After some literature investigation a modified block diagram using Low Pass

Filter (LPF) instead of the integrators was proposed. This greatly simplifies the system realization as

the output voltage depends only by the amplitude of the input harmonic component of interest.

The block diagram is divided into two separated segments. The first segment contains all the

necessary blocks for the analog signal processing. These blocks include analog multipliers, LPF,

squarers etc. The segment is based on the so called “synchronous detector insensitive to the input

signal’s phase” which is already described in the literature. In order to prove the analog segment

capability some computer simulations with various input signals, including biological, has been

performed. The simulations are performed in the environment of OrCAD PSpice A/D and Simulink.

The realization of the analog segment is based on Field Programmable Analog Arrays (FPAA).

The second segment of the system, called digital, includes quadrature (sine/cosine) Direct

Digital Synthesis (DDS) generator and a control unit. This segment is built on Xilinx Spartan-3E Field

Programmable Gate Array (FPGA) which greatly improves the system flexibility. A 32-bits

Microblaze software processor is used as a control unit.

In addition a LabView GUI program was developed so the user is allowed to control the system

parameters through a standard RS-232 interface.

After a physical prototype was created a series of experimental studies is conducted. For

comparing purposes a referent HP4195A (HP Inc.) spectrum analyzer from were used. The studies

include tests with typical input signals like sinusoidal, triangular and square wave. Moreover a

complex input signal consisted of two sinusoids with different amplitude and frequency was

investigated. An averaging technique of the output signal was tested which significantly improved the

output results quality.

Page 34: konkursi-as.tu-sofia.bgkonkursi-as.tu-sofia.bg/doks/SF_FET/ns/54/avtoreferat.pdf · -3- ( ˛ ˛ 106 e. )ˇ* 36 ˚ 9 ˘ 5 , , ˇ* . +˘ ˚ ˘˙ , 102 , ˇ 18 ˇ

-34-