kidolgozott tételek

Upload: pinter-dora

Post on 15-Jul-2015

575 views

Category:

Documents


21 download

TRANSCRIPT

1 MRNK-INFORMATIKUS s MSZAKI INFORMATIKA szak zrvizsga-ttelei rvnyes 2009. janur 1-tl A ttelek 1.A processzor felptse, utastskszlete. Utastsok szerkezete, cmzsi mdok. Utastsszmll s utasts-regiszter. Az utasts-feldolgozs elemi lpsei. A CPU felptse 2 A processzor a szmtgp egyik legfontosabb eleme. A CPU egyetlen,nagy integrltsg lapkn trolfellet, vezr-, illetve input-output funkcikat ellt elemeket tartalmaz. A PC-k ben a processzor az alaplapon tallhat meg a kzponti trral egyetemben. Az alaplapon ezekhez az elemekhez kapcsoldik az adatforgalom szmra szksges un. buszvonal s a perifrik illesztje. Sokfle mikroprocesszor struktra ismert, de mindegyikre jellemz a hromf egysg, a vezrl egysg, az aritmetikai egysg s a klnbz funkcij regiszterek meglte. Az egyes rszegysgek feladatai az albbiakban foglalhatk ssze: A mai processzoroknak alapveten kt nagy csoportja van :CISC - Complete Instruction set Computerpl. Intel 8086 klnbzo hosszsg, tbb processzorciklus utastsok RISC - Reduced Instruction Set Computer pl. Intel 80486 cskkentett utastaskszletu processzorok CACHE (gyorsttr, eltrol) Kismret, gyors elrs, specilis memriaegysg, amely az informciramban a memria s processzor kztt helyezkedik el, s ezen egysgek mveleti sebessge kzti klnbsget egyenlti ki. Ha nincs CACHE a gpben, az akr nagysgrendekkel lassthatja a program vgrehajtst. elsdleges gyorstmemria:azaclja,hogynekelljenmindenesetbenaprocesszorhozkpestlassabb memrihozfordulni,snelassuljonleemiattaprocesszormkdse.Ezazelsdlegescache ltalbannemelegend,ezrtmsodlagoscachememritistartalmazaszmtgp(256vagy512 kB, vagy mg tbb). Vezrl egysg (CU):A processzor vezrl egysgnek feladata a program utastsai, vagy kls krsek (perifria megszaktsi krelme, sn ignybevteli krse) alapjn, vezrl jelek segtsgvel a gp rszeinek irnytsa. Aritmetikai - logikai egysg (ALU) :A processzornak azon rsze, mely a szmolsi, sszehasonltsi, logikai mveleteket vgzi. Feladata:- Adott adatokkal vezrl jelek alapjn vgrehajtja az aritmetikai logikai mveleteket (+,-,*,/,AND,OR,NOT,stb.)- Sajt regisztereik (akkumoltoraik) lehetnek.- Aritmetikai mveletek:a)Komplementls.b)sszeads.- Logikai mveletek: a)AND.b)OR.c)NOT.- Lptet mveletek: Jobbra adott pozcival;Balra adott pozcival;sz-szehasonlts. Regiszterek:A processzorok ideiglenes adattrolsi cljaira szolglnak. A regiszterek a bels snrendszeren keresztl tartanak kapcsolatot a processzor ms rszeivel. A legfontosabb, legtbb processzornl meglv regiszterek a kvetkezk:3 Utasts szmll regiszter Utastsregiszter Bziscm regiszter Indexregiszter(ek) llapotregiszter(ek) Veremmutat regiszter Pufferregiszter(ek) Jellemzk: A processzor hajtja vgre s vezrli a mveleteket. A vgrehajts elttneki kell megvizsglni s feldolgozni minden utastst. A processzor teljestmnye alatt azt az idt rtik, amelyre a processzornak szksge van egy bizonyos feladat vgrehajtshoz. A processzornak kt lnyeges jellemzje, amelyek utalnak a teljestmnyre: az egyik a szhossz (bitszm, vagy bitszlessg), a msik az rajel frekvencia. Mindkett azt a sebessget hatrozza meg, amellyel adatokat lehet feldolgozni. A szhosszA szmtgp teljestmnynek szempontjbl alapvet jelentsge van annak, hogy mekkora az a szhossz, amivel a szmtgp dolgozik. A sz hosszt, amellyel a processzor dolgozik, belso szhossznak nevezzk. Ezek a kvetkezk lehetnek:-8 bites processzorok = 1 byte-16 bites processzorok = 2 byte-32 bitesek = 4 byte-48 bitesek = 6 byte-64 bitesek = 8 byteA bels szhossz mellett, amellyel a processzor dolgozik, fontos mg a buszrendszer szhossza is:-az adatbusz bitszlessge,-a cmbusz bitszlessge.Az adatbusz szlessge azt mutatja, hogy a processzor hny bitet tud egyidejleg a hozz kapcsolt perifrikra kldeni. A cmbusz kzvetti azokat a jeleket, amelyek a trolhelyek elrshez szksgesek. A cmbusz szlessge hatrozza meg a kzvetlenl megcmezhet cmtartomny nagysgt. Az Intel 8086 pldul 16 bites adatbusszal s 20 bites cmbusszal dolgozik. Az rajel-frekvenciaEgy szmtgp teljestmnyt az rajel-frekvencia is meghatrozza. Az rajel-frekvencit a vezrlkvarc (rajelad) hozza ltre, amely vagy kzvetlenl integrlva van a processzorba vagy azon kvl helyezkedik el. Az rajel a PC munkateme s Megaherztben ( MHz) mrik. Egy Herzt az a frekvencia, amely 1 msodperc alatt egy rezgst vgez. A 8 MHz teht azt jelenti, hogy a kvarc msodpercenknt 8 milliszor rezeg. Ez a rezgs hatrozza meg az utastsok vgrehajtsnak gyorsasgt. ltalban azt lehet mondani, hogy minl magasabb az rajel, annl gyorsabban tud a szmtgp dolgozni. MIPS (Million Instruction Per Second) 4 Milli utasts msodpercenknt. A processzor teljestmnyt jellemzi. 1MIPS=1000000 utasts/ msodperc.Utastskszlet, utaststpusok Azutastskszletalattaprocesszorszmrartelmezhetutastsokatrtjk.Azutastskszletek tartalmaznakklnbzaritmetikai,logikai, adatmozgat,karakterlncsvezrlstadutastsokat, melyek segtsgvel a processzorok alkalmasak a legklnflbb feladatok vgrehajtsra. Az utastsok szerkezete meghatrozza a processzor szmra, hogy a gpi utasts mely rszt hogyan rtelmezze. A gpi utastsok hrom rszbl plnek fel: Az utastsok hrom f rszre bonthatk:-Mveleti rsz: a processzor szmra az elvgzend feladatot hatrozza meg.-Mdost rsz ltalban a mveleti rsz s a cm rsz rtelmezshez ad kiegszt informcit. -Cmrsz,amelyamveletvgrehajtshozszksgesadatokhelythatrozzamegaszmtgp troljban. Az utaststpusok a kvetkezkppen csoportosthatk: -tviteli utastsok: trol, veremkezel (PUSH/POP), perifria -mveleti utastsok: aritmetikai (ADD) s logikai mveletek (AND), lptets -vezrlutastsok:aprogramvgrehajtst,agpmkdstbefolysoljk;felttelnlkli,s feltteles ugrs (JMP, JNZ), szubrutinhvs (CALL), megszakts engedlyezs/tilts Cmzsi mdok - Abszolt: Kzvetlen trcmet adok meg - Relatv: Adott trcmhez val eltolst adom meg - Direkt: A megadott cmen operandust tallok - Indirekt: A megadott cmen egy jabb cmet tallok - Direkt regiszteres cmzs: a regiszterben a cm tallhat - Indirekt regiszteres cmzs: a regiszterben adatcm van , ahol a cmet talljuk Cmmdostsok : -Bzisregiszteres cmzs: Valdi cm = Bzisregiszterben megadott cm + eltolsi rtk(a cm helyett csak az eltolsi rtk az operandus, gy jelentsen lervidl az utasts) -Indexregiszteres cmzs: Valdi cm +Bzisregiszterben megadott cm + indexregiszterben megadott cm + eltolsi rtk. (olyan utastsoknl, ahol tbb egymst kvet adatot mozgatunk az indexregiszter automatikusan nvekszik) 5 Utastsszmll regiszter (PC v. IP): Ez a regiszter mindig a soron kvetkez utasts memriabeli cmt tartalmazza, a kezd rtkt, azaz aprogramelsutastsnaktrbelihelyt,kvlrl(pl.:azopercisrendszertl)kapja,aprogram indtsa eltt.Utasts regiszter: A vezrl egysg fontos rsze, amely a trbl kikeresett (lehvott) utastst fogadja be arra az idre, amg a vezrl egysg az utasts hatsra a mveletet elvgzi, s elindtja a vgrehajtst vezrl mikroprogramot. Korszer processzoroknl az utasts feldolgoz mdszer miatt ez a regiszter ilyen formban mr nem tallhat meg. Az utasts-feldolgozs elemi lpsei: Utastsvgrehajts norml gpi ciklusban trtnik.Neumann elv szmtgpek esetben az utastsok s az adatok ugyanabban a trban helyezkednek el. Ennek kvetkeztben az utasts s az adat elkeresse csak egyms utn trtnhet, ezt nevezik soros utasts-feldolgozsnak. Ms architektrkban, ahol kln program- s kln adattrol van trolnknt kln snrendszerrel, egy utastsi ciklusban trtnik a teljes utasts feldolgozsa - prhuzamos utasts-feldolgozs. 6 A processzor mkdsnek lnyege a programutastsok feldolgozsa. Ezen utastsok lpsekre trtn felbonts segti a mikroprogramozs, valamint az tlapolt vgrehajts lnyegnek megrtst. Egy utasts vgrehajtsa alapveten az albbi rszekbl tevdik ssze:Utastselkszts, utastslehvs.A processzor ebben a fzisban a kvetkez utasts memriacmt, amelyet az utastsszmll regiszter (PC) tartalmaz, tviszi a memria cmregiszterbe (MAR). Amennyiben nem rendelkezik ilyennel, a PC tartalma a cmsnt vezrl cm-pufferregiszterbe kerl. A processzor ez alapjn keresi ki a trbl az utastst, amely az utastsregiszterbe (IR) kerl.Utastsszmll regiszter tartalmnak nvelse. A PC tartalmnak automatikus nvelsvel elll a kvetkez utasts trolbeli helynek memriacme. A PC tartalma az utastshossznak megfelel szmmal n. Az opercis rendszer lltja be az utastsszmll kezd rtkt.Mveleti kd rtelmezse, az operandus cmnek meghatrozsa. A processzor a mveleti jelrsz dekdolsval meghatrozza, hogy milyen utastsokat kell vgrehajtania, valamint az utasts cmrsze alapjn meghatrozza a mvelethez hasznland operandus(ok) cmt. Amennyiben az utasts nem ignyel operandust (vezrls), a processzor a vgrehajtsi fzisra tr t.Adatok elksztse a mvelet elvgzshez. A kzponti egysg az elzekben kidolgozott cm alapjn kikeresi az operandus(ok)at a memribl s az utasts ltal meghatrozott helyre, amely az esetek tbbsgben az aritmetikai egysg akkumultora (AC), de lehet ms regiszter is.Vgrehajts. Megtrtnik az utasts ltal kijellt feladat elvgzse az elksztett operandussal. Vezrlstad utastsok esetn a processzor az utastsban lev cmet - amely meghatrozza, hogy a program honnan folytatdjk - berja a PC-be.Az eredmny elhelyezse. A kzponti egysg a kapott eredmnyt elhelyezi az elrt helyre, amely tbbnyire az akkumultor. Ezutn jrakezdi az utastsfeldolgozst. Rvidebben: A gpi utastsok vgrehajtsnak elemi lpsei a kvetkezk: Utastselkszts vagy lehvs Az utastsszmll regiszter tartalmnak nvelse.Az utasts dekdolsa Amveletvgrehajtshozszksgesadatokkiolvassaaftrbl,elksztsea vgrehajtshoz. A mveleti kd alapjn rtelmezett mvelet vgrehajtsa az elksztett operandusokkal.A mvelet eredmnyt a processzor berja az utastsban elrt trolhelyre. 7 2.A verem fogalma s mkdse, a veremmutat regiszter. A vermet kezel utastsok. A verem alkalmazsa szubrutinok kezelsben. A szubrutinra vonatkoz utastsok. A verem A szmtstechnikban a verem (angolul stack) a szmtgp memrijnak egy rsze, amelybe a processzor azokat a memriacmeket menti el, ahova egyes eljrsok befejeztvel visszatr. A verem olyan adatstruktra, amelybl a benne utoljra eltrolt adat olvashat ki elszr. ltalban az alprogramok, eljrsok, fggvnyek kezelst segti el, de hasznljk az aritmetikai mveleteknl az operandusok s rszeredmnyek trolsra. Veremkirats az a folyamat, amikor az informatikus a verem rtkeit kiratja monitorra vagy nyomtatra. Mkdse A stackben tbbnyire regiszterek tartalmt troljuk (mentjk el), tmenetileg. Ennek oka az, hogy a mikroprocesszor leggyorsabban a bels regiszterekkel tud mveleteket vgezni. A regiszterek szma viszont korltozott. Pl. gyakran elfordul, hogy az sszes regiszter mr olyan informcit tartalmaz, amely mg nem fellrhat, de az adott rszfeladat elvgzshez szksg van tovbbi regiszterek hasznlathoz. Ekkor valamely regiszter(ek) tartalmt ideiglenesen a stackbe tudjuk kivinni (majd ksbb a stackbl a regiszter tartalmt vissza tudjuk lltani) s a regiszterbe mr aktulisabb tartalmat tudunk betlteni. Ez a mvelet ltalban gyorsabb s knyelmesebb, mint a memriba rni a regisztertartalmat. Hiszen ilyenkor meg kellene vlasztani a cmzst, meg kellene jegyezni a trolsi cmet s a trolt adat hosszt. Rgebbi mikroprocesszoroknl n. bels stack ltezett, vagyis a processzoron bell volt a verem, ami jelentsen korltozta a processzor kapacitst. Ma minden processzornl a RAM-ban elhelyezhet 'kls stack' tallhat. Veremmutat regiszter (SP): A verem legfels elemt jelli ki. A veremtrol egy specilis trol, amely elssorban az alprogramok kezelst segti. A verem nem rsze a bels regisztereknek, ltalban a ftrolban kerl kialaktsra. Szervezse LIFO (Last in first out) jelleg, ami azt jelenti, hogy az utoljra bekerlt adat vehet ki elszr, s amit legelszr tettnk be, azt vehetjk ki utoljra (Tbb szint verem ltezhet, tbb SP is lehet). A "verem instrukcik" (PUSH, POP) automatikusan hivatkoznak az SP-re s automatikusan lltjk.8 A vermet kezel assembly utastsok: -PUSH op (a 2 byte-os operandust a verembe rja, (az SP rtke 2-vel cskken). Tele verembe nem lehet. -PUSHF (a STATUS verembe rdik. Ez az utasts a flag-ek mentsre szolgl.) - POP op (a 2 byte-os operandus fellrdik a verem tetejn lv (WORD) szval, az SP ltal cmzett sz tmsoldik, majd az SP 2-vel megn.). resbl kivenni nem lehet. Kiolvass s trls. - POPF (a verem tetejn lv sz fellrja a STATUS-t. Ezzel az utastssal tudjuk a flag-ek tartalmt helyrelltani.) Ezek az utastsok f haszna az, hogy a szubrutinok az ltaluk hasznlt regisztereket elmenthetik, majd futsukbefejezsekorvisszallthatjkanlkl,hogyerrestatikusmemriaterletetkelleneignybe vennnk.Figyelnikellavisszalltssorrendjre(fordtott)is.MindenPUSH-nakkelllennieegy POP prjnak is mert klnben hibsan dolgozhat a program. Szubrutin Olyanprogramrszamelyekafeladatbantbbszrelfordul,ismtldfolyamatokattakar (alprogram).Olyanutastsoksorozata,melyetaprogramtbbrszrlelrhetnk,scsak egyszerkerltrolsra.Tehtazutastssorozatokredundancijnakfeloldstoldjameg.Ahvs visszatrsicmeaverembentroldik.Ezalkalmatadazgynevezettrekurzvszubrutinok alkalmazsra, melyek nmagukat hvjk meg. Ez a mdszer a bonyolultabb (matematikai-rendezsi) problmk feloldst segti el. Szubrutinhvsi s visszatr utastsok -CALLop-Azutastsszmllpillanatnyirtkeaveremrekerl,majdazutastsszmll fellrdikazoperandussal,melylehetdirektcmvagytetszlegescmzsimddalelrtregiszter- vagymemriaoperandus.Lehetrvid(szegmensenbelli)vagyhossz(szegmenskzi) szubrutinhvs.) - RET[konstans] -Konstans megadsa nlkl: A verem tetejn lv rtkkel fellrja az utastsszmllt s gy a program visszatr a szubrutin hvsa utni sorra s onnan fut tovbb a program. -Konstans megadsval: ugyangy mkdik mint a RET de a visszatrsi cm kiolvassa utn azveremmutattakonstansrtkvelmegnveli.Ezzelamdszerrellehetaszubrutinnak paramtereket tadni s a szubrutin vgrehajtsa utn a paramtereket a parancs automatikusan kiveszi a verembl. Egyesmegszaktsokisidesorolhatkmivelamegszaktsvgrehajtsautnarendszeronnan folytatja a program vgrehajtst ahol a megszakts trtnt. A klnbsg az, hogy a szubrutinhvst a programozelrebetervezte,amegszaktshvsanemelretervezett,hanemvalamilyenesemny bekvetkeztnek a hatsra jn ltre.9 3.A Neumann-elvek. Utasts- s adatfolyam (SISD, SIMD, MISD s MIMD architektrk). Adatok szmtgpes brzolsa (fixpontos, lebegpontos, BCD, vektoros adatok, karakterek). Neumann elvek: -Teljesen elektronikus szmtgp -Kettes szmrendszer alkalmazsa -Aritmetikai egysg alkalmazsa (univerzlis Turing-gp) -Kzponti vezrlegysg alkalmazsa -Bels program- s adattrols Neumann-elven mkd szmtgpek: 1. A szmtgp legyen soros mkds:A gp az egyes utastsokat egyms utn, egyenknt hajtja vgre 2. A szmtgp a kettes szmrendszert hasznlja, s legyen teljesen elektronikus:A kettes szmrendszert s a rajta rtelmezett aritmetikai ill. logikai mveleteket knny megvalstani ktllapot ramkrkkel(pl.: 1- magasabb feszltsg, 0 - alacsonyabb feszltsg) 3. A szmtgpnek legyen bels memrija:A szmtgp gyors mkdse miatt nincs lehetsg arra, hogy minden egyes lps utn a kezel beavatkozzon a szmts menetbe. A bels memriban trolhatk az adatok s az egyes szmtsok rszeredmnyei, gy a gp bizonyos mveletsorokat automatikusan el tud vgezni. 4. A trolt program elve:A programot alkot utastsok kifejezhetk szmokkal, azaz adatknt kezelhetk. ezek a bels memriban trolhatk, mint brmelyik ms adat. Ezltal a szmtgp nllan kpes mkdni, hiszen az adatokat s az utastsokat egyarnt a memribl veszi el. 5. A szmtgp legyen univerzlis:A szmtgp klnfle feladatainak elvgzshez nem kell specilis berendezseket kszteni.Ugyanis, Turing angol matematikus bebizonytotta, hogy az olyan gp, amely el tud vgezni nhny alapvet mveletet, akkor az elvileg brmilyen szmts elvgzsre is alkalmas. Httrtrolk Kzponti feldolgoz egysgBeviteli eszkzKiviteli eszkz Memria 10 Szmtgp architektrk -SISD(SingleInstructionStreamSingleDataStream),azazegyetlenutastsfolyamsegyetlen adatfolyamfeldolgozsa.Azilyengpekegyvezrlegysggelstbbaritmetikaiegysggel rendelkeznek, egyidben egyetlen utasts vgrehajtsra alkalmasak. Ebbe a kategriba tartoznak a hagyomnyos, Neumann-elv szmtgpek. -SIMD(SingleInstruction StreamMultipleDataStream), azaz egyetlenutastsfolyam, tbbszrs adatfolyamfeldolgozsa.Ezekagpekegyvezrlegysggelstbbaritmetikaiegysggel rendelkezneksegyidbenegysugyanazazutaststhajtjavgretbbadaton.Ebbeakrbe sorolhatk a vektor- s tmbprocesszoros gpek. -MISD(Multiple Instruction Stream Single Data Stream), azaz tbb utastsfolyam alapjn egyetlen adatfolyamfeldolgozsa.Ilyentpusgpektulajdonkppennincsenek,bizonyosesetekbenide soroljk az un. pipeline feldolgozst alkalmaz szmtgpeket. -MIMD(MultipleInstructionStreamMultipleDataStream),azaztbbutastsfolyamstbb adatfolyamfeldolgozsa.Ebbeacsoportbatartozgpekklnbzmultiprocesszoros szmtgpek. Adatok szmtgpes brzolsa ltalnosan hasznlt szmrendszerek: -kettes (jelkszlet: 0,1) -tzes (jelkszlet: 0,1,2,3,4,5,6,7,8,9) -tizenhatos (jelkszlet: 0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F) Ezek a szmrendszerek egyms kzt konvertlhatk. Fixpontos szmbrzols Ebben a brzolsi mdban a binris pont helye - ami a bal oldalon tallhat egszeket elvlasztja a jobb oldalon lv trtektl - rgztett, s a szmokat tbbnyire kettes komplemens kdban brzoljk. A szmok brzolsnak kt fontos jellemzje van a felhasznls szempontjbl:-az brzoland szmok nagysga-az brzols pontossgaA kt jellemz az alkalmazott regisztermrettl s binris pont helytl fgg. Ha a binris pontot balra toljuk el, akkor-a szmok brzolsi tartomnya cskken-az brzols pontossga n-ha binris pont a regiszter bal szln van, akkor a szm fixpontos trt.11 Ha pedig a binris pont jobbra mozdul, akkor-a szmok brzolsi tartomnya n-az brzols pontossga cskken-ha a binris pont a regiszter jobb szln van, akkor a szm fixpontos egsz.A fixpontos brzols elnye a lebegpontos trolssal szemben, hogy a rgztett tizedeshelynek ksznheten annl jval gyorsabb mveletvgzst tesz lehetv, viszont brzolsi intervallumba s pontossga meglehetsen korltos. Az brzolhat szmokbinrisandecimlisan brzolhat szmtartomny 1000 0000 0000 0000 tl 215 -tl 0111 1111 1111 1111-ig.+ 2151-ig legnagyobb nem negatv:0111 1111 1111 1111+ 32 767 legkisebb nem negatv:0000 0000 0000 00000 legnagyobb negatv:1111 1111 1111 1111 1 legkisebb negatv:1000 0000 0000 0000 32768 Lebegpontos trolsi forma A tzes szmrendszerbeli szmot tvltjuk kettes szmrendszerbe. Ezt a kvetkez mdon tehetjk meg: A szm egsz rszt kettvel osztjuk. Az osztst addig folytatjuk, amg a hnyados nulla nem lesz. Az osztskor keletkez maradkokat alulrl felfel rjuk le. Az tvltott szm a kapott maradksor.A szm trtrszt kettvel szorozzuk. A kapott rtk egsz rszt lerjuk, a kettvel val szorzst a szm trtrszvel folytatjuk. Az trs addig tart, amg pontosan 1-et nem kapunk, vagy el nem rjk a kvnt kettedes trt pontossgot. A szorzskor keletkez egsz rszek sort fellrl lefel rjuk le. szmokat ebben az esetben normalizlt alakban hasznljuk. aholN2az brzoland binris szmMnormalizlt mantisszaE karakterisztikaA mantisszt leggyakrabban eljeles abszolt rtkes formtumban troljk. A normalizlsra ktfle gyakorlat terjed el.A lebegpontos brzols elnye a fixpontos trolssal szemben, hogy mivel esetben a tizedespont a szmjegyek kztt szabadon "vndorolhat", ezrt ltalban jval nagyobb intervallum brzolst teszi lehetv, mikzben kis szmoknl is nagy pontossgot biztost. 12 BCD (binary coded decimal) brzols:BCD kd A BCD kd a legknnyebben elllthat, ezrt taln a legltalnosabban hasznlt kd. Ennl a kdnl aszmokszmjegyeinekakettesszmrendszerbelirtkefelelmeg,ngyhelyirtkrekiegsztve. Eljeles BCD szmokat gy kpeznek, hogy n. vezrlsz-t tesznek a szmjegyek el. A vezrlsz tartalmaz egy eljelbitet (pozitv = 0, negatv = 1), az adatszavak szmt (0000 = 1 sz, 1111 = 16 sz) s a tizedespont utni szavak szmt. Mshogy: (Binrisan kdolt decimlis szmok) A kettes szmrendszer szerinti adattrols elssorban akkor elnys, ha aritmetikai mveleteket kvnunk vgezni a szmokkal, teht a mszaki-tudomnyos feladatok, szmtsignyes problmk megoldsakor elssorban. Ha a szmtsi munka egyszerbb, vagy nagytmeg adatot kell beolvasni, vagy kiratni, akkor clszer a tzes szmrendszer hasznlatt utnozni klnbz binris kdokkal. Ezekben a kdrendszerekben a tzes szmrendszerbeli szmok szmjegyeit egyenknt konvertljuk t az alkalmazott binris kdba. Mivel a tzes szmrendszerben tzfle szmjegyet hasznlunk, ezek brzolshoz legkevesebb 4 bit szksges Minden decimlis szmjegyet ngy biten brzolunk. 24791 00100100011110010001 Jellemzi: minden decimlis szmjegyet ngy biten brzolja a szmjegy binris alakjt. A szmot a szmjegyek ngybites alakjval rjuk fel, megtartva a helyi rtkeket. A BCD kdban felrt szmokkal a binrisaritmetika szerint vgznk mveleteket. A mvelet vgzs eredmnyeknt kapott binris jelsorozatot a szksges korrekcival vglegestjk. A decimlis szmnak nem a kettes szmrendszer bli alakjt rjuk fel BCD kdban, hanem egy binris jelsorozatot runk fel BCD kdban. 1924=0001100100100100 Pldul a 385710 decimlis szm megfelelje BCD kdban: 385710 = 0011 1000 0101 0111BCD Vektoros adatok A szmtgpek alkalmazsi terleteinek egy rsznl (tudomnyos-mszaki, szmtsok, modellezs, 3D grafika stb.) gyakran kell vektorokkal s mtrixokkal mveleteket vgrehajts. Ezt hatkonyan 13 elvgezni a SMID (egyszeres utastsfolyam, tbbszrs adatfolyam) architektrj gpekkel lehet, melyeket vektorszmtgpeknek hvunk. Karakteres szmkdok A szm tzes szmrendszerbeli alakjt kdoljuk, minden szmjegyet egy karakterknt brzolunk. Mveletek gy nem vgezhetk az gy kdolt szmokkal. Karakterek kdolsa Karakternek nevezzk a betket, rsjeleket, szmjegyeket stb. brzolsuk problmjt is meg kellett oldani, termszetesen binris alakban. Azaz minden karakterhez rendelni kell egy binris szmot. Ma a legelterjedtebb kdolsi rendszerekben 1 bjton kdoljk a karaktereket, ami 256 fle jel megklnbztetst teszi lehetv. Azt a tblzatot, amely lerja ezt a kapcsolatot, kdtblzatnak nevezzk.Az IBM kompatibilis szmtgpek vilgban a legfontosabb az ASCII kdrendszer, de msfajtk is lteznek. Pldul az EBCDIC vagy a UNICODE stb. ASCII KDRENDSZER Az ASCII kd az egsz vilgon elfogadott szabvny a karakterek kdolshoz. (American Standard Code for Information Interchange). Eredetileg 7 biten kdolta az amerikai ABC kis- s nagybetit, a szmjegyeket s rsjeleket valamint klnbz vezrljeleket. Ezt ksbb ms nemzeti karakterek, grafikus jelek brzolsa miatt 8 bitesre bvtettk. A sokfle nemzeti karakter brzolhatsga miatt tbb kdtblt hoztak ltre. Az els 128 kd mindegyikben ugyanazt jelenti (megegyezik az eredeti kdtblval), a kvetkez 128 kd orszgonknt vltozhat. Tartalmazza a nemzeti rsjeleket pl. kezetes betket, grafikus jeleket. Az USA kdtbla a 437-es szmot viseli, a 852-es un. Latin II. vagy szlv kdtbla tartalmazza a magyar kezetes betket is. Pl. GERG SEGTS szveg : 71,69,82,71,153,32,82,69,71,73,84,83 szmok binris alakja az ASCII kd szerintAz rsjeleket azok ASCII kdjaival is kirathatjuk a jobb oldali szmbillentyzetrl az billenty lenyomva tartsa mellett. 14 Megjelent az ASCII olyan kiterjesztse, amely az arab, knai, japn rsjeleket is szabvnyosan tudja kezelni. Ez az UNICODE kdrendszer.A Unicode 16 biten (2 bjton) trolja a karaktereket, gy 65536 karakter lersra alkalmas. A Unicode als 128 karaktere egybeesik az ASCII kdolssal. Az e fltti rszekben pedig szegmensekben helyezkednek el a klnbz nyelvcsoportokat ler karakterek. MSHOGY: ASCII - kdrendszer: (American Standard Codes for Information and Interchange). Az alapszabvny az Amerikai kdolsi rendszert, az ASCII kdrendszert hasznljk. Ez a kdrendszer teszi lehetv azt, hogy a klnbz programok kpesek legyenek hasznlni pl: a magyar ABC-T. Ez pedig napjainkban elengedhetetlen. Mivel orszgonknt klnbz betkre van szksg az ASCII kdtbla tbb vltozatt, hasznljk. Ilyen az amerikai 437-es jel, vagy a kelet- eurpai 852-es. Ezek a msodik 128 jelben trnek el egymstl. Szmos olyan nyelv van a vilgon, amelynek tbb jele van mint 256. ASCII: kt f fajtja: 1.128-fle karaktert kdol 7 bites binris kddal, s egy paritsbit (amit ellenrzsre hasznlnak), minden karakternek egy kettes szmrendszerbeli szm felel meg, klcsnsen s egyrtelmen.2.ASCII 8 bites (1 byte) kiterjesztse mr 2*128=256 karakter kdjt tartalmazza, az els 128 db karakter az eredeti ASCII kdot, a msodik 128 db karakter az egyb karakter, pldul kezetes betk kdjait, tartalmazza.Fontos megklnbztetni a karakter kdjt a karakter kptl, grafikai megjelenstl (ha van ilyen). Hiszen a karakter kpe is kdolhat bitsorozatokkal, s kdolva is van, klnben nem jelenhetnnek meg nyomtatskor. A karakter kpe sokkal tbb byte-on trolhat. 15 4.Az utasts-feldolgozs gyorstsa prhuzamostssal. A pipelining lnyege, szuperskalr processzorok. Fellp problmk s kezelsk. Pipeline Agyorstsmegoldhatagpmkdsnektemezstmeghatrozrajelfrekvencianvelsvel, aminekviszonttechnolgiaikorltaivannak.Ezrtkerltekeltrbeazokamdszerek,melyeka szmtgp gyorsabb mvelet vgrehajtst rendszertechnikai eszkzkkel biztostjk.Arendszertechnikaigyorstsegyiklegfontosabbmdszereazutastsvgrehajtsszintjntlapolt feldolgozs, melyet pipeliningnek neveznek. Pipeline lnyege Azegyikfziseredmnyeakvetkezfzisinduladattkpezi.Ezaztlapolmegoldsazt eredmnyezi,hogyegy-egyfeldolgozsifolyamatvgrehajtsiidtartamaugyannemvltozik,de ugyanannyiidalattlnyegesentbbfolyamatfejezhetbe.Eztaztlapoltfeldolgozsimdszert nevezikpipelining(adatcsatorns,futszalag)feldolgozsnak.Apipelineutasts-feldolgozst alkalmaz processzorokat utastsszinten prhuzamos mkds (Instruction Level Parallel), vagy ILP processzoroknak nevezzk. Azilyenmdontlapoltfolyamatokfeldolgozshoztartozegysgekmkdtetsektflemdon trtnhet: Aszinkrontemezssel,amelyesetbenazegymstkvetfokozatokjelzikegymsnak elemi feldolgozsi lpsk elkszltt, illetve azt, hogy kszek fogadni a kvetkez utasts az arra az egysgre elirt feladat elvgzshez. Mindegyik egysg amint befejezte tevkenysgt, tovbbadjaafeldolgozstakvetkezegysgnek,azazafeldolgozstovbbhaladsa folyamatos az adatcsatornn keresztl. Szinkrontemezssel,amelynlazegyesfokozatokazonosidbenkezdikfeldolgozsi lpseiket,afeldolgozsifolyamattemezstmindigalegtbbidtignybevevegysg szabja meg. Szuperskalr processzorok Aprocesszoronbellnemcsakgytudunkprhuzamostani,hogyagpiutastsokonbellielemi lpseketvgrehajtegysgektlapolvamkdnek,hanemavgrehajthardveregysgeketismeg lehet tbbszrzni. Az erforrsok hasznlatnak problmja abbl szrmazik, hogy a prhuzamostott folyamatokigengyakranugyanaztazerforrstkvnjkignybevennifeldolgozsukhoz(pl. leggyakrabbanamemriaokozilyengondot).Ennekegyiklehetsgesmegoldsaazerforrsok tbbszrzse,amelynyilvnvalantbbletkltsgeteredmnyez.Ezeketaprocesszorokat szuperskalr processzoroknak nevezzk, ha egy gpi ciklus alatt esetenknt tbb utastst is kpesek vgrehajtani,tbbvezrlegysg.Ennektermszetesenfelttele,hogyaprocesszoramveletvgz egysgekhez vezet, prhuzamos mkdsre kpes bels buszokkal rendelkezzen. 16 Fellp problmk s kezelsk Pipelining sorn fellp problmk kezelse 1.az utastsok elemi fzisainak vgrehajtshoz szksges id igen eltr lehet 2.azutasts sorosvgrehajtst avezrlstad utastsokmegzavarhatjk, mivel ekkornema soron kvetkez utastsokat kell betlteni a futszalagra. 3.a megszaktsok, kivtelek kezelse is megszakthatja a futszalag folyamatos feltltst 4.azutastsvgrehajtssornsokszorelfordul,hogyegyutastsamegelzutasts eredmnyadatra hivatkozik. Ezek kezelse: -NOP utastsok (fordtprg.) Utastsvrakoztats NOP=No Operation utastsok beiktatsval. -Utasts-trendezs (fordtprg.) Tartalmi megvltoztats nlkl trendezi az utastssorrendet. -Scoreboarding(hardver) Mindenregisztereknyvelsrekerl.Haegytovbbiutastsegyilyenregiszterhez akar hozzfrni, akkor az ksleltetsre kerl. -Data forwarding (hardver) Adat elreengeds. Processzoron belli megfelel ramkrk biztostjk. -Harvard architektra Az utastsolvass s az adatkiolvass, visszars tkzseire jelent megoldst. -A vezrlstad utastsok kezelse Kiemeltjelentsgfeladat.(Processzorlelltjaapipelinebetltstamgazugrs kimenetelenem egyrtelm. Vagy aprocesszor megbecsli az elgazs kiementelt.) Ha ez nem teljesl akkor a pipeline-ban lv utastssort trlni kell. Korszerprocesszorokspekulatvelgazsfeldolgozsa,melynlaprocesszor megprblja megjsolni az utasts kimenetelt. -Statikus:fordtprg.rtkelikiazugrsifeltteleketsmeghatrozzaalegnagyobb valsznsggel elfordul ugrsi cmeket s ennek megfelelen szevezi a pipelin-t. -Dinamikus:aprg.futsakzbenaprocesszoregytblzatbanvezetiaz ugrutastsokcmeitsezekkimenett,seztfelhasznlvaprbljamegjsolniaz elgazsok lehetsges kimenett. A szuperskalr processzoroknl ezrt tbb problmt kell megoldani 1.Prhuzamos dekdols, eldekdols Azeldekdolssornadekdolsfeladatainakegyrszemrakkorvgrehajtsrakerl, amikorazutastsokatamsodlagosgyorsttrblvagyamemriblazL1szint gyorsttrba rjk 2.Szuperskalrutasts-kibocsts(Utastsvrakoztats,Regisztertnevezs,Spekulatv elgazs kezels) 3.Prhuzamos vgrehajts Haaprocesszorazutastsokatprhuzamosanhajtjavgre,akkorazegyesvgrehajt egysgek az utastsok eredmnyeit az eredeti utastssorrendtl eltren is elllthatjk.Ezt csak gy lehet kezelni, ha az eredmnyadatok tmenetileg troldnak s vgleges helykre az eredetiutastssorrendnekmegfelelenkerlnekbersra.SoroskonzisztenciaAzeredeti utasts soros logikjnak megrzse. 17 5.Az aritmetikai-logikai egysg s regiszterei (akkumultor, flag). Fixpontos s lebegpontos mveletek, ezek vgrehajtsnak egysgei. Logikai mveletek. Aritmetikai egysg (ALU) A processzor msik fontos egysge az ALU, amely az utastsokban elrt aritmetikai, vagy logikai mveleteket hajtja vgre. Az aritmetikai egysg binris mveletek elvgzsre alkalmas, ha az elvgezend aritmetikai mveletek szma nagy, vagy lebegpontos (hatvnykitevs) formtum szmokkal kell mveleteket vgezni, akkor a fprocesszor mell elhelyeznek az erre a clra szolgl matematikai trsprocesszort (koprocessort) is. A nagyobb teljestmny gpek (i486 DX, MC68040, RISC) processzoraiba mr eleve beptik a lebegpontos rendszert.Ez az egysg a mveletvgzshez az operandusok s az eredmnyek ideiglenes trolsra egy kitntetett regisztert, az akkumultor regisztert (AC: Accumulator Regiszter) hasznlja. ALU f rszegysgei: -sszead egysg, amely kt operandus sszeadsra szolgl -lptetramkrk,amelyekaregiszterektartalmtmveletvgzskzbenjobbra,vagybalra lptetik, azaz tulajdonkppen 2-vel osztjk, vagy szorozzk azt -logikai ramkrk a logikai mveletek megoldshoz -regiszterek,azadatokideiglenestrolsra.EzeklehetnekazALUrsztkpez,kizrlagos hasznlatregiszterek,mintpl.tbbnyireazakkumultorregiszter(AC),vagyaprocesszor ltalnos cl regiszterei kzl egy, vagy tbb. Azaritmetikaimveletekvgrehajtsakor,azeredmnytlfggen,azllapotregiszteregyes jelzbitjeitaprocesszorbelltja.Amveletekeredmnytvisszatkrzlegfontosabbjelzbiteka kvetkezk: -tvitel (carry): ha az eredmny legmagasabb helyrtkn tvitel keletkezik, 1-es rtket vesz fel -nulla (zero): ha az eredmny nulla rtk, 1-es rtket vesz fel -eljel (sign): ha az eredmny negatv, akkor az rtke 1-es lesz -tlcsorduls (overflow): ha az eredmny nagyobb, mint a trolhat legnagyobb rtk, akkor rtke 1-es lesz. Akkumultor /AC/ Aszmtgpmegklnbztetettszmtsiregisztere.Azaritmetikaislogikaimveletek operandusait, vagyi a mveletek trgyt kpez mennyisgeket, illetve ezeknek az eredmnyeit a CPU kzponti regiszterben, az akkumultorban troljuk. Flag regiszterek(flagregiszter, amely a processzor mkdse kzben ltrejtt llapotok jelzit (igaz, vagy hamis), llapotregiszterek, vezrl regiszterek (Status Regiszter, Flag Regiszter, Control Regiszter) amelyek egy, vagy tbb regiszteren bell trolnak vezrl s ellenrz jeleket, a mveletek vgrehajtsnak eredmnye alapjn bekvetkez llapotjellemzit adja vissza.Ilyen jellemzk, pl.: az eredmny nulla (zero flag)a szmrtk tl nagy (overflow flag)tvitel keletkezse (carry flag) 18 Az llapotregiszternek lehetnek olyan bitjei, amelyek valamilyen vezrlsi elrst trolnak (pl.: valamely rszegysg hasznlatnak engedlyezse, memrialapozs engedlyezse, megszaktskrs kiszolglsa letiltsa). Ha a funkcibitek szma nagy, akkor nll vezrl regiszter (control register), s llapotjelz regiszter (status, vagy flag register) hasznlata szksges.Azaritmetikaimveletekvgrehajtsakor,azeredmnytlfggen,azllapotregiszter(FLAG register) egyes jelzbitjeit az ALU belltja. ltalban feltteles elgaztat utastsokkal krdezhet le, settlfggenvltoztathatmegazutastsvgrehajtssorrendje.Amveletekeredmnyt visszatkrz legfontosabb jelzbitek a kvetkezk: 1.tvitel (carry) jelzbitje: ha az eredmny legmagasabb helyrtkn tvitel keletkezik, rtke 1-et vesz fel. 2.Nulla (zero) jelzbitje: ha az eredmny nulla rtk, 1-es rtket vesz fel 3.Eljel(sign)jelzbitje:haazeredmnynegatv,1-esrtketveszfel.rtkeanumerikus adatokon vgzett mveletek eredmnynek eljele szerint kerl belltsra. 4.Tlcsorduls(overflow)jelzbitje:haazeredmnynagyobb,mintatrolhatlegnagyobb rtk,1-esrtketveszfel.Szintnnumerikusszmokonvgzettmveletekeredmnyeknt kerl belltsra (pl. szorzs) 5.Paritsbit: paritshiba esetn kerl belltsra. 6.Auxilary: kzbens tvitel az als 4 bitrl a fels 4 bitre 7.Trap: az utasts vgrehajtsa utn a processzor INT1-es megszaktst hoz ltre 8.Interrupt: a hardver megszaktskrelemek letiltsa Fix pontos Eztazbrzolstakisebb,kevesebbszmjegyblllszmokrshozhasznljk.Fixpontos szmtrolsiformnlaszmkettesszmrendszerbeliegytthatittroljukhelyirtkeiknek megfelelen egy rgztett nagysg memriaterleten. Ezek leggyakrabban o1 bjt mret, (BYTE) o2 bjt mret sz ( WORD ), o4 bjt mret dupla sz (DWORD). A fixpontos szmoknl fontos krds, hogy melyik pozcin helyezkedik el a szm egsz- s trtrszt elvlaszt jel, melyet a tizedesvessz analgijra kettedes pontnak nevezhetnk. Trolsi formja: a.bAhol: -a egsz szmjegy -. Kettedes jegy-b trtrsz szmjegyei Lebegpontos Hatvnykitevstrolsmd,kisebbsnagyobbszmjegyektrolshoz,atrolsiformjukat pontossguk hatrozza meg (hny biten),trterlet: 4-6-8bjt Trolsi formja: arp

- eljel, melyet egyetlen biten trolunk mindig -a mantissza, vagyis a fixpontos egsz -r radix, ez a szmrendszer alapszma, (ltalban 2-es) ez ami rgztett mindig, ezrt ezt mr nem kell trolni - p karakterisztika vagyis a hatvnykitev A lebegpontos brzolst az IEEE 754 szabvny rgzti. 19 IEEE 754 szabvny: A mantissza eljele 0 ha a szm pozitv s 1 ha negatv, a mantisszban lev fixpontos szm 1-re normalizltan rtend, azaz 1. a formj (binrisan-ezrt az els egyest nem is troljk), a szabvny a lebegpontos mveletvgrehajtshoz tbbfajta pontossgot definil: egyszeres=32bit, dupla=64bit, kiterjesztett=80bit, ngyszeres=128bit.

a*2p a- mantisszap- karakterisztikapl: -654.187*107 JellemzEgyszeres [bit] Dupla pontossg [bit] Kiterjesztett pontossg [bit] Ngyszeres pontossg [bit] Eljelbit1111 Karakterisztika8111515 Mantissza235264112 A lebegpontos szmokkal vgzett mveletek problmja a tlcsorduls s az alulcsorduls megfelel kezelse. A szabvny ezek kezelsre tovbbi adatformtumokat hatroz meg. Ezek : denormalizlt adatformtum:az igen kis szmrtkek szmtgpes kezelst segti nulla szmrtk (eljel: ; karakterisztika: 0; mantissza: 0), vgtelen rtk, tlcsordulsokat (igen nagy szmok) a vgtelen szmmal kezelhetjk nem meghatrozott szmrtk (Not a Number: NaN) Az gynevezett nem szmok azt a clt szolgljk, hogy programunk akkor se lljon le, ha az elvgzett mvelet (pldul vgtelen/vgtelen) matematikailag rtelmezhetetlen. Logikai mveletek Logikai csoport: az AC (akkumultor) s valamelyik regiszter, vagy memria tartalma kztt trtnik logikai mveletvgzs (S, VAGY, KIZR VAGY). Ide tartozik a shiftels mvelete is, mely az AC tartalmt lpteti. Hrom logikai alapmvelet:-NEM:egyoperandusosmveletet,eredmnyeazlltsellenkezje.Atrolhelyektartalmnak ellenkez rtkre lltsra lehet hasznlni. -S:aktoperandusosmveleteredmnyeakkorigaz,hamindktlltsegyidejlegigaz.Emvelet segtsgvel lehet kijellni, maszkolni egy trolhely tartalmnak tetszleges rszt. -VAGY:aktoperandusosmveleteredmnyeakkorigaz,havalamelyik,vagymindktlltsigaz. Kt trolhely sszeadsra hasznljk. Alaptrvnyek: 1.A+A=1 2.AA=0 3.(((A)=AKetts tagads 4.((A+B)= (A(B 5.((AB)= (A+(BDe-Morgan azonossgok 6.A+0=A 7.A*0=A 8.A+1=1 9.A*1=A 10. A+AB=A(1+B)=A A logikai mveletek megfeleli a gpi utastskszletben is megjelennek, ltalban az albbi lehetsget biztostva: -S kapcsolat kt trolhely tartalma kztt, maszkolshoz -VAGY kapcsolat kr jelsorozat sszefslshez, egyestshez -lptetsek, tlcsordulsok figyelshez. Ezeket a logikai mveleteket az ALU egyik f rszegysge vgzi el, mely logikai ramkrkbl ll. 20 6.A vezrlegysg feladata s jelei, vezrlsi pontok. Huzalozott s mikroprogramozott mveleti vezrls. CISC s RISC processzorok. A Vezrlegysg feladata (CU) A vezrlegysg mkdse sorn vezrljeleket ad ki a teljes szmtgp irnytsra. Ezek lehetnek: -a processzor bels vezrljelei, melyek a processzoron belli rszegysgek mkdst irnytjk pl. az aritmetikai egysg s a processzor rgiszterei kztti adattvitelt, -a processzoron kvli egysgek irnytst szolgl kls vezrljelek, melyek a processzor s a memria, a processzor s az input/output eszkzk kztti adattvitelt, illetve a megszaktskezelst s a snvezrlst irnytjk. CU: Biztostja, hogy a megfelel adatok a megfelel helyen s idben rendelkezsre lljanak. Irnytja az ramkrk mkdst. Az utastsszmll regiszter segtsgvel kiolvastatja a memribl annak amemriarekesznekatartalmt,amelyasoronkvetkezutaststtrolja.Azutastsmveleti kdrszealapjnmeghatrozza,hogysorrendbenmilyenmveletetkellvgrehajtani.Azutasts alapjnrtelmezi,hogymilyencmentallhatkamveletbenrsztvevadatok,vezrliezek kiolvasst,samegfelelregiszterbetrtntovbbtsukat.Azaritmetikai-logikaiegysggel vgrehajtatja a megfelel mveletet s belltja az utastsszmll j tartalmt. A mveleti vezrls trtnhet: -Huzalozottmdon(hardver):azutastselemilpseineksorrendjnekvezrlstbonyolult sorrendi, kombincis ramkrrel oldjk meg. -Mikroprogramozottmdon(szoftver):azelemitevkenysgeksorrendjtegytroltprogram,a mikroprogramutastsaivezrlik.Avezrlegysgazonrszt,melyamveletivezrlstoldja meg, mikrovezrlnek nevezzk. A mikroutastsok feladata az adatutak engedlyezse/tiltsa. Az utastsokktrszblllnak:akvetkezmikroutaststrbelicmblsavezrlsimezbl, amely az engedlyezett vezrlsi pontokat hatrozza meg.ltalban az egyszer utastsok huzalozottak, az sszetettek mikro programozottak. Struktrk:-Horizontlismikroprogramozsesetnavezrlsimezmindenegyesbitjeegy-egyvezrlsi pontot engedlyez/tilt. -Vertiklismikroprogramozskoramikroutastsokcsakegy-egyelemimveletvgrehajtst eredmnyezik. CISC-vezrls:az utastsok feldolgozsa egy mikroutastsokkal megvalstott rtelmezrendszer ignybe vtelvel trtnik. Teljes utasts kszlet szmtgp. RISC-vezrls: a felhasznli programot egy egyszer utastsokbl ll gpi kdra fordtjk, mely nem ignyel rtelmezrendszert. Cskkentett utasts kszlet szmtgp. 21 Bvebben Mveleti vezrlsMkds szempontjbl az utasts-vgrehajts lpsei tovbbi elemi mveletek sorozatra bonthatk fel. Ezek a mveletek adattvonalak kapuzst, bizonyos llapotok belltst eredmnyezik. Az opercis kd alapjn trtn vezrelhetsg csak lpsenknti vezrlsi lehetsg, valamint az adatutak kapuzsnak (engedlyezs/tilts) lehetsge alapjn valsthat meg.Az elemi mveletek vgzsre ktfle lehetsg van:Hardver md (huzalozott)Az elemi tevkenysgek sorrendjnek vezrlst bonyolult sorrendi, kombincis ramkrrel oldjk meg. Ez a szksges sorrendben adja ki az egyes kapuk mkdtetsre szolgl vezrl jeleket, amely gyors mkdst eredmnyez, de kltsges megolds.Egyszerbben kezelhet a programozhat logikval trtn megvalsts. Ezek az ramkrk programozhat S s VAGY kapukbl llnak. Huzalozott mdon gyors mkds rhet el, de viszonylagos drgasga miatt elssorban RISC processzoros gpeknl alkalmazzk, ahol fontos a nagy sebessg.Szoftver md (mikroprogramozott)Trolt program, egy gynevezett mikroprogram vezrli az elemi lpsek sorrendjt, mely program utastsait mikroutastsoknak nevezik. Ez a trolt program a ROM trban helyezkedik el. A mikroprogram kezdcmt a makroprogram opercis kdja adja meg.Lehetsg van a mikroprogram cserjre. Ekkor a mikroprogramot a gp RAM - jban kell trolni, gy a gpen egy teljesen ms utastskszlet emullhat. Ez a mdszer hatkonyabb s olcsbb, mint a huzalozott megolds s a hozzrt felhasznl szmra is tbb lehetsget nyjt a rendszer fejleszthetsgnek tern.A processzorok mveleti vezrlse ktfle struktrban oldhat meg. Ezek a horizontlis struktra s a vertiklis struktra. A klnbsg az utastsfeldolgozs prhuzamostsi fokban mrhet, amely vonatkozik:- az utasts s adatelksztsre- az opercis kd kirtkelsre- a mvelet vgrehajtsraA mikroutastsok szerkezetileg hasonltanak a gpi kd utastsokhoz. Kt rszbl llnak, az egyik a kvetkez mikroutasts cme a trolt mikroprogramban, a msik a vezrlsi mez, amely meghatrozza az engedlyezett vezrlsi pontokat. Ezen vezrlsi pontok engedlyezse teszi lehetv elemi mveletek vgzst. Egy utasts elemi lpseinek vezrlse sok ilyen pont meghatrozott sorrendben trtn vezrlst jelenti. A mikrovezrl egy mikrocmregisztert is tartalmaz a kvetkez mikroutasts cmnek nyilvntartsra. 22 CISC s RISC processzorok Kezdetben a szmtgpek gpi kdban programoztk, majd az Assembly nyelv vlt elterjedt. CISC (komplex utastskszlet szmtgpek) -egyre tbb s bonyolultabb utastst tartalmazott az utastskszlet -ezek hardver megvalstst mikroprogramvezrelt kellett megoldani, azaz egy gpi utasts vgrehajtsa tbb elemi lpsr felbontottk s az ezekre vonatkoz adatokat a szmtgp csal olvashat memrijban (ROM) troltk) RISC (reduklt utastskszlet szmtgpek) A mikroprogramvezrelt utasts vgrehajts komoly korltv vlt a szmtgpteljestmny nvelsnek. Ezrt olyan architektrt terveztek, melynl, -csak gyakori egyszer utastsok szerepeltek az utastskszletben, -lehetv vlt a mikroprogramozs kikszblse, azaz magas szint programnyelvrl fordts lnyegben a korbbi mikroutastsok szintjre trtnt. 23 7.A kzponti tr szerepe, ramkri megvalstsa. ROM s RAM ramkrk tpusai. Dinamikus RAM bels felptse. tlapolt memriakezels. Kzponti tr (memria) Kzponti tr, ftr A trolegysg trolja a program utastsait, s az adatokat. A trakba val rshoz ill. olvasshoz meg kell adni a keresett trol hely cmt, amit a trolhoz tartoz cmregiszter (MAR: Memory Address Register) fogad be s ennek tartalma vezrli a memria kivlaszt ramkreit. Az adatok szmra a trol bemenete ill. kimenete az adatregiszter (MDR: Memory Data Regiszter), amely a berand, vagy kiolvasott adatot ideiglenesen befogadja.Memria:szavankntcmezhettrolegysg,melynekrekeszeitroljkazutastsokatsaz adatokat egyarnt. Az, hogy egy rekesz tartalma adat vagy utasts, csak rtelmezs krdse, hiszen az brzolsmdjaazonos.Amemriknakgyorsanolvashatnaksrhatnakkelllennik,hiszen hozzfrsi idejk alapveten meghatrozza az utastssorozat vgrehajtsnak sebessgt.Legfontosabb erforrs a processzor mellett. A trolban tallhat a vgrehajts alatt lev program s a feldolgozsban hasznlt adatok. Alegkisebbtrolsiegysgeazegybinrisjel,abit.Fizikailaglegkisebbegysgkntkezelt trolterletarekesz(1byte).Mindenrekeszcmmelrendelkezik,amelyalapjnatrolhelyeta processzorki tudjavlasztani, s abbanadatot tud elhelyezni vagykiolvasni. A rekeszek cmet 0-val kezdd, szigoran nvekv sorszmok alkotjk. ROM s RAM ramkrk tpusai RAM (rhat s olvashat) -dinamikusRAM(DRAM)alacsonyteljestmnyigny,tartalmtrvididalattelveszti,ezrt annaktartalmtciklikusanfelkelljtani.Kismretemiatt(1tranzisztor)nagymrettrakatlehetbelle kialaktani. -statikusRAM(SRAM)gyorsmkdsisebessg,nemignyliazllandadatjtst(=bistabil multivibrtor) ROM (csak olvashat) - csak egyszer tlthet fel a gyrts sorn (ROM) - a felhasznl ltal egyszer feltlthet (PROM) - specilis mdon trlhet s programozhat (EPROM) - felhasznl ltal jrarhat (FLASH ROM) 24 Dinamikus RAM bels felptse tlapolt memriakezels (MEMORY INTERLEAVING) MemoryInterleaving(gyorststlapoltmemriakezelssel):amemritegymstlfggetlenl cmezhet s olvashat rszekre, gynevezett memriabankokra osztjuk fel. Felttelezve, hogy a proci ltalbanamemritcmfolyamatosanolvassa,a0-ikmemriabankblkiolvasottadathozzfrse alattaz1.memriabankbanlvkvetkezcmenlvadatmrmegcmezhet.Ezkiss leegyszerstve azt jelenti, hogy cmfolyamatos olvass esetn az adatok kiolvassa kb. ktszeres. 25 8.Gyorst (cache) trak feladata s mkdsi elve. Cache trak felptse s tpusai. Helyettestsi s adataktualizlsi stratgik. A cache trak Az adatok kiolvassakor az adatok nem ugorhatnak t szinteket, gy pldul a httrtrrl beolvasand adatnak t kell haladnia mindazon szinten, ami a CPU-hoz vezet. Mivel azonban a szinteket alkot eszkzk mkdsi sebessgben igen nagy klnbsgek is lehetnek, nem egyenletes az adatramls. Ennek kikszblsre a szintek kz pufferelsi clbl n. cache trak lettek kzbeiktatva. Ezek az adatok s utastsok tmeneti trolsra szolgl, viszonylag kismret (pr 100Kb), gyors mkds (4 ns) trolk, melyek a felhasznl szmra lthatatlanok. Cache trak a CPU s a ftr, illetve a ftr s a httrtrolk kzt tallhatk. A cache az elhelyezkedst tekintve lehet L1 (on chip) vagy L2 (off chip) cache. L1 cache: a CPU-n bell tallhatk, kapacitsa 8-32 Kb. L2 cache: a CPU-n kvl tallhat, kapacitsa 128-512 Kb. 2048 Kb Cache trak tpusai mkdsk alapjn: Teljesen asszociatv A ftrbl beolvasott blokkok brhova elhelyezhetk, brmelyik sorba kerlhetnek. Hogy melyik sorba kerlnek, a helyettestsi algoritmus feladata eldnteni.Kzvetlen lekpzs A blokk a cache csak egy konkrt sorba kerlhet. A kzvetlen lekpzs cache trolk olcsk s gyors visszakeresst biztostanak. N-utas csoport asszociatv cache Ez a troltpus tulajdonkppen tmenetet kpez a teljesen asszociatv s a kzvetlen lekpzs cache trolk kztt. A trol n sorbl ll rszre, csoportokra van osztva. A troln bell minden egyes csoport viszont teljesen asszociatv trolknt mkdik, ugyanis a csoport brmely sorba bekerlhet a blokk, ezt a helyettestsi algoritmus hatrozza meg. Cache-trak felptse Cache-trak tpusai Cache. Specilis gyorsttrak, amelyek hihetetlen sebessgk miatt kzkedveltek. ltalban olyan informcikat szoks benne trolni, amelyekre a lehet legrvidebb elrsi id alatt szksg lehet. Tpusai: -Csoport asszociatv cache. A teljesen asszociatv- s a kzvetlen lekpezs cache kztti tmenet (ksbb sz lesz mindkettrl). Maga a memria meghatrozott szm 26 sorbl ll csoportokra van osztva, amely csoportok nmagukban gy mkdnek mint 88 a teljesen asszociatv cache trak. A legtbb j tulajdonsgot hordozza, ugyanis rugalmas, kis szm sszehasonlt ramkr szksges hozz s viszonylag gyors. -Kzvetlen lekpezs cache. Egy-egy adatblokk csak meghatrozott helyre kerlhet. A meghatrozsrt egy gynevezett sorindex felels. Betltse kttt a blokkhelyek merevsge miatt, m visszakeresse rendkvl gyors, hiszen a sorindex tmutatst ad a processzornak. -Szelektor lekpezs cache. A csoport asszociatv cache-hez kzelt megolds tr. Ma mr egyre ritkbban hasznljk, ugyanis a processzor az adatcsoport helyt jelli ki asszociatv mdon. -Teljesen asszociatv cache. A beolvasott adatblokk brhov elhelyezhet benne, ennek helyt egy gynevezett helyettestsi algoritmus hatrozza meg. Betltse rugalmas, m visszakeresshez pontosan annyi keresramkrre van szksg, ahny sor tallhat benne (ugyanis a processzor sorrl-sorra haladva keresi az adott informcikat). Helyettestsi eljrsok:A cache-trak hatkonysgt az biztostja, ha kevsszer kell a tartalmukat cserlni. Errea legkevsb hasznlt blokkok cserjnek stratgijt alkalmazzk. Ez megvalsthat szmll regiszterek, lptet regiszterek hasznlatval, valamint megelzsi mtrix alapjn. Aktualizls: a cache-tr tartalmnak mdostsa utn a memriban is aktualizlni kell a tartalmat, hogy a program az aktulis rtkkel dolgozzon. -azonnali trskor a mdostott byte azonnal bersra kerl a memriba. Ha a mdostott byte-hoz tartoz blokk a cache-ben van, ennek tartalma is aktualizldik. -visszarsi, visszamsolsi eljrskor ha az adott byte-hoz tartoz blokk a cache-ben van, a ftrat csak a blokk cserjekor aktualizlja. Ha a blokk nincs a cache-ben, a blokkot beolvass utn aktualizlja. 27 9.A virtulis trkezels fogalma s legfontosabb eljrsai (lapozs s szegmentls, a virtulis cm lekpezse, TLB, lapcsere stratgik). Az albbi bra a virtulis trkezelst szemllteti. Az opercis rendszer betlt egy lapot a mgneslemezrl, s egy rg nem hasznltat kir a mgneslemezre. Virtulis trkezels Avirtulistrkezelsamodernopercisrendszerekelengedhetetlenkpessge.Lnyegeabbanll, hogyazopercisrendszerfelbontjaamegindtottprogramotlapokra-page.Ezafelosztsa mgneslemezen trtnik meg. Egy lap mrete 4 KB. Az opercis rendszer a lapokat megszmozza, s alemezenelfoglalthelyketegylaptblzat-bantartjanyilvn.Azoperatvmemriajvalnagyobb mret,mintegylap.Aprogramindtsakorbetltiazelsnhnylapot.Ezekmegkezdik mkdsket. Amikor egy mg a mgneslemezen lv lapra van szksg, akkor a mr betlttt lapok kzlalegrgebbenhasznltlapotkimsoljaalemezre,shelybemsoljaaztalapot,melyrea program futshoz szksg van. A lapcserk a lapok kis mrete miatt nagyon gyorsan hajtdnak vgre. A program ltal gyakran hasznlt lapok a memriban maradnak - rezidens rsz. Lokalitselve:aprogramokvgrehajtsuksornlegtbbszregykorltosmemriaterletenbell dolgoznak Gyakorisgelve:egyesprogramrszekkzttigennagyeltrseklehetnekabblaszempontbl, hogyaprogramvgrehajtssornmilyengyakranvanrjukszksg.PL.hibakezelrutinokritkn hasznlatosak. Lapozs Aprogramhoztbb,atrbansztszrtanelhelyezkedblokktartozik,azop.rendszerpedig gondoskodik arrl, hogy futs kzben szksg esetn a vezrls az egyik blokkbl a msikba kerljn. Ablokkokatlapoknak,amdszertpediglapkezelsnek,vagylapozsnaknevezzk.Lapozsnl minden blokk mrete azonos, a memriacmek egy lapcmre s egy eltolsra bonthatk. Az opercis rendszer egy laptblt kezel, mely a lapok memriabeli kezdcmeit tartalmazza. 28 Szegmentls Szegmentls esetn amemriablokkokklnbz mretek, gy aprogram egszmoduljait lehet a memribatlteni,amicskkentiahttrtrhozfordulst.Klnkezelhetjkaprogramkdot,az adatokat, a verem tartalmt. A szegmensek ftrba val betltse trtnhet: Az els szabad helyre A kvetkez szabad helyre, azaz az utolsnak betlttt szegmenstl vizsgljuk az els szabad helyet A legjobb helyre, ami azt jelenti, hogy kivlasztjuk a szabad trterletek kzl azt, amelyikbe ha betltjk a szegmenst, a lehet legkisebb szabad hely marad. A legrosszabb helyre, aminek clja az, hogy a betltst kveten a szegmens mellett a lehet legnagyobb szabad terlet maradjon. Szegmentlt virtulis trkezels lapozssal Ebben az esetben a szegmentlson bell alkalmazzk a lapozsos virtulis trkezelst, azaz a virtulis trszegmenseilapokblplnekfel..EzafajtavirtulistrkezelsthasznljkaPentiumCPU-k vdett zemmdban. Virtulis cm lekpezse Aszg-enaktulisanfutprogramfolyamatokutastsaiavituliscmeket,mintlogikaicmeket tartalmazzk.Tehtaprogramokavirtulistratgyltjk,minthaazakzponti tr rszelenne.A virtulistrterletmegcmzsevirtuliscmekkeltrtnik.Avirtuliscmetavirtulistrterlet megfelelblokkjnaksorszmblilletveegyrelatvcmblkpezzk.Avirtuliscmzsselelvileg megcmezhet memriaterletet virtulis cmtartomnynak nevezzk. Lapozsnlmindenfolyamatsajtlaptblvalrendelkezik.Memriahivatkozsnlacmnekazta rszt, amely a laptbla rekeszre mutat ki kell cserlni a rekesz tartalmval s kszen ll a hivatkozott cm. Szegmentlt cmzs esetn a cmszmts legfontosabb eszkze a szegmensler tbla: a tbla cme + a szegmens sorszma megadja a szegmens fizikai cmt, amelyhez a szegmensen belli eltolst hozzadva megkapjuk a hivatkozott memriarekesz cmt. A szegmenstbla tovbbi informcikat is tartalmaz a szegmensekrl: a szegmens a memriban van-e, megvltozott-e, s hasznlatban van-e. Minden folyamatnak nll szegmenstblja van, ami megakadlyozza, hogy egyms cmeire hivatkozzanak. Cmszmtsnl az eltolst sszehasonltjk a szegmens hosszval, ami tovbbi vdelmet nyjt. TLB A TLB a leggyakrabban hasznlt lapok lapcmfordtshoz szksges adatait tartalmazza. A lapozs gyorstst szolglja a TLB (Translation Lookaside Buffer) cache, amely a 32 leggyakrabban hasznlt lap adatait (deszkriptort) fogadja be. 29 Lapcsere stratgik Azonban el kell dnteni, hogy a lap a memrin bell hova kerljn bersra. Ennek megvalstsra tbb lapcsere algoritmus ltezik. A lapcserre tbbfle stratgia ltezik:-FIFO azt a lapot kell lecserlni, amelyik a legrgebben van a memriban -Optimlis Azt a lapot kell lecserlni, amelyre a legksbb lesz szksg (elmleti stratgia, szimulcikhoz hasznljk) -Msodik esly a lecserlend lapok kzl azt vlasztjuk, amely az elz lapcsere ta nem mdosult vagy nem hivatkoztak r -az LRU (Least Recently Used)Legrgebben hasznlt A virtulis trkezelsnl ezt alkalmazzk. Az eljrs megvalstshoz nyilvn kell tartani, hogy egy lapot mikor hasznltunk. Teht azt a lapot kell lecserlni, amelyre a legrgebben hivatkozott a folyamat. Ezt a mdszert csak hardver tmogats segtsgvel oldhat meg hatkonyan, megfelel gyorsasggal, mivel a hivatkozs idpontjt is szksges eltrolni.Ez az eljrs kevs laphibt eredmnyez, viszont cserbe igen nagy mrtkben megnveli az adminisztrcis feladatokat. -Mostanban nem hasznlt Az LRU mdszer enyhtett, knnyebben megvalsthat vltozata. Az opercis rendszer, ha a folyamat egy lapra hivatkozik, a laptbla egy bites mezjt igazra lltja. Lapcsere esetn azok kzl a lapok kzl kell vlasztani, amelyek hasznl bitje nulla. Ha egy laphoz mr legalbb egyszer fordultak, a jelzbit llapota igaz. Hogy egy lap ne maradhasson rkre a trban, a lapcsere algoritmus lapcserekor az sszes lap jelzbitjt nullzza 30 10.Az adatrgzts elve a mgneses httrtrolkon. A merevlemez fizikai felptse (szektor, sv, cilinder) s logikai felptse (klaszter, FAT, bootszektor). A merevlemezes egysg teljestmnyjellemzi (elrsi id, adattviteli sebessg). A szmtgpek megjelense ta tbbfle httrtr tpust fejlesztettek ki. Mindegyik trol clja olyan mennyisgadattrolsa,melymeghaladjaazoperatvtrmrett,snemvesztieltartalmta tpfeszltsgmegsznsekor.Ajelenlegalkalmazotttrolkkzlalegjelentsebbeka mgneslemezes trolk (winchester s floppy lemez). A merevlemezek ( winchester, Hard Disk Drive - HDD) Aleggyakrabbanalkalmazott,leguniverzlisabbanhasznlhathttrtroleszkzk.Segtsgkkel nagy adattviteli sebessg (2-40 Mbit/s) rthet el, igen nagy kapacitsak (1-400 GB) s viszonylag olcsk.Amgneslemezestrolkesetnazinformcihordozjaegynemmgnesezhetalapanyagonlv vkony,mgnesezhetrteg,illetveannakmgnesezettsgiirnyasszintje.Kzstulajdonsguk, hogymgnesezhetrteggelbortott,1,5-5,25colltmrjkorongokblllnakmelyetameghajt 5400-7200 fordulat/perc sebessggel forgat. Alemezen,akoncentrikuskrk,asvok(track)mentntroltadatokatsugrirnybanmozgathat olvas/rfejekolvassk,illetvergztik.Azllandforgsmiattafejeknemrnekhozza lemezekhez, hanem a keletkez lgprna miatt pr mikron tvolsgra vannak azok fellettl (ezek a repl fejek). Legtbbszr egy tengelyen tbb lemez is tallhat. Azegymsalattelhelyezkedsvokategyttesencilinderneknevezzk(hajlkonylemeznlkett,a merevlemeznl 8-10 vagy tbb),. A lemezeket teljesen zrt doboz vdi a legaprbb szennyezdsektl is. A svokon kvl egy-egy lemezoldal mint egy torta szeletei szektorokra is oszlik. A szektorok logikaifelptsektrszretagoljaazt:afej-sadatrszre.Afejrszazazonostshozszksges informcikat,valamint aszinkronizlshozszksges jeleket trolja.Azadatrszmagtatroland adatsort, valamint a megbzhatsg nvelse rdekben az n. ellenrz sszeget foglalja magban. A szektor egyes rszeit, valamint a szektorokat res rszek vlasztjk el egymstl. 31 Asvoksszektorokmetszspontjnlkialakulvekben,ablokkokjelentikalegkisebbtvihet adatmennyisget.Ablokkoktipikusmrete:0,5-64KB.Aszektoroksblokkokelksztse, ellenrzse szoftver ton, a formzs sorn trtnik. A blokkok cmzshez hrom adatra van szksg: lemezoldal, sv, szektor sorszmok. Egy blokk tvitelhez szksges idt hrom tnyez befolysolja: fejmozgsi id (seek time): kb.10ms, a fej elri a kvnt svot; elfordulsi id (latency time): kb. 10ms, a kivlasztott szektor fej al kerlsnek ideje; adattviteli sebessg (transfer time) a blokk adatainak tvitelhez szksges id; Mindenmerevlemez egyfizikaipartcibl ll, mely mrete azonosa lemez teljes terletvel. Ezt a terletettbblogikairszre,partciralehetosztani.Ktflelogikaipartcitklnbztetnkmeg: elsdlegessmsodlagospartci.AzopercisrendszerekesetnaDOSsaWin95csakaz elsdleges partcirl kpes elindulni, valamint csupn ngy elsdleges partci kezelsre kpesek. A partcikkalkapcsolatosinformcikatamerevlemezenelkelltrolni,hogyazokatazopercis rendszer kpes legyen felismerni s kezelni. Erre a clra szolgl a merevlemez partcis tblja, mely alemezlegklssvja.Apartcistblamindiga0.szmlogikaiszektor,a0.svona0.fejjel elrhet 1. fizikai sorszm szektor.Amerevlemezesegysgeknektbbflevltozatthasznljk,gypl.abeptett,cserlhets hordozhatlemezekhasznlatosak.Ezekkapacitsanapjainkrafokozatosannvekszik(tbbGB), mg a mret cskken. Betlt szektor (boot sector) A lemez partcionlsrl szl informcik a lemez els szektorban (azaz az els lemezfellet els svjnak els szektorban) troldnak. Ez a legels szektor a lemez master boot record-ja (MBR); ezt aszektortolvassabeaBIOSsindtjaeltartalmt,amikoraszmtgpelindul.AzMBRegykis programot tartalmaz, mely beolvassa a partcis tblt, ellenrzi, melyik partci az aktv (azaz boot-olhat),sbeolvassaannakazelsszektort,amitbootszektornakneveznk.Ezabootszektoregy msikkisprogramot tartalmaz,mely beolvassa az opercis rendszer elsrszeit az adott partcirl (ha boot-olhat), s elindtja azt. A MBR-bl csak egy van minden merevlemezen, mg a Boot Record megtallhat minden egyes partci legelejn. Ha van hrom partcink, akkor van egy MBR s hrom BR. Amennyiben a kettes partci az aktv akkor a MBR a kettes partci BR-t indtja el. Klaszter A logikai lemezkezels alapegysge a tbb szektorbl ll szektorcsoport, a klaszter (angolul cluster). A fjlok a lemezen klaszterekre vannak osztva, gy az opercis rendszer rni s olvasni a merevlemezt csak klaszterenknt tudja. Egy klaszterben tallhat szektoroknak a szma a lemez kapacitstl fgg, de mindig 2-nek valamelyik hatvnya. FAT (File Allocation Table) Alemezekllomnykezelsitblzataszolglarra,hogyazopercisrendszernyilvntartsa,s nyomonkvesseazt,hogyalemezmelyszektoraiszabadok,vagyfoglaltak.Afoglaltsg nyilvntartsa nem szektoronknt trtnik, hanem nagyobb egysgenknt, klaszterenknt (cluster)A FAT a klnbz file rszek pontos helyt lncolt formban trolja: a tblzatnak ugyanannyi eleme van,mintahnyblokkalemezensmindenrekesztartalmaafilekvetkezblokkjramutat sorszm, amennyiben van. Ha nincs kvetkez blokk, akkor ennek rtke nulla.AFATmreteigennagylehet,sszerepednt.Mivelsrnkellhasznlni,ezrtfolyamatosana memribankelltartani,amiszksebbmintahttrtr.AFATsrlseesetnakettszakadt file-okat visszalltsa szinte lehetetlen, ezrt a lncolsi mdszert alkalmaz opercis rendszerek (DOS, Windows) a biztonsg kedvrt kt ilyen tblzatot tartanak fenn.Amikoregyprogram file rst vagy olvasst kri, az opercis rendszer a kontroller segtsgvel a FATflmozgatjaazr/olvasfejeket.Arendszerinnenllaptjameg,hogyakeresettfilehol kezddik,illetveadat bersesetnazt,hogy hol tallhat az elsres hely altrehozandllomny szmra. 32 Hajlkonylemez Kiskapacits, kzepes elrsi sebessg eszkz, amelynek azonban nagy elnye, hogy a meghajtval ( az r/olvas fejjel) nincs egybeptve, ezrt knnyen cserlhet s szllthat. Szlltsnl vigyzni kell,hogymgnesesmezkzelbenekerljn,mertakkorelvesztiazinformcitartalmt(pl. bekapcsoltmobiltelefon,villamos).Kezdetbenaz5,25colloslemezekethasznltak,manapsgmr csakelvtvetallkozhatunkezzelatpussal.Jelenlega3,5colloslemezekvannakinkbb forgalomban.Megjelentegyjgenerciis,azLS-120-aselnevezshajlkonylemez.Klsreegy3,5collos lemezhezhasonlt,azonbanameghajtazr/olvasfejetsokkalpontosabbantudjapozcionlni, ezzelcskkentasvokszlessgesakzttklvtvolsg,vagyisegysvbantbbadatotlehet trolni.Ameghajtjakpes720Kbyte-os,illetve1,44Mbyte-oslemezekolvassra.HagyomnyoshajlkonylemezekegyikfajtjamgaZip-lemez.Trolkapacitsa25-100Mbyte kzttvan.AZip-meghajttprhuzamosportralehetcsatlakoztatni.Atrkapacitstsamreteketakvekteztblzatfoglaljassze(azelsoszlopbanadobozokon olvashat jellsek tallhatk): Magyarul3,5 collos5,25 collosSingle Density (SD)Egyoldalasnincs100-200 Kbyte Double Density (DD)Dupla oldalas720 Kbyte360 Kbyte High Density (HD)Magas felbonts1,44 MByte1,2 MByteLaser Servo (LS-120)Lzeres felbonts120 MByteNincs A tblzatbl is kiderl, hogy kisebb kapacitsnak is ksznheti elt nst az 5,25 collos (un. nagy lemez). Ezeket a lemezeket rsvdett a kvekezflekppen lehet tenni:5,25 collos: a nylst kell leragasztani3,5 collos: a lemez bal als sarkban lv ablakot kell nyitott tenniMskpp: Azadatoktrolsraavkonymanyaghordozrafelvittmgnesezhetrtegszolgl,melyetmerev tokbanhelyeznekel.Azadatokalemezfelletnlevkoncentrikuskrk,svok(track)mentn helyezkednekel.Asvokszektorokravannakfelosztva.Aszektorokssvokmetszspontjban alakulkiablokk,atipikusblokkmret512KB.Ameghajtbahelyezettlemeztaberendezs360 fordulat/percfordulatszmmalforgatja,sazolvasfejalemezfellethezhozzrverja/olvassaa troltadatokat.Alemezbehelyezsnekpillanatbanahardverrzkeliazt,segy-ktfordulat segtsgvelfelmriannakllapott.Afolyamatosforgatscsakakkorindulbe,haafelhasznlaz adottmeghajtthasznlnikvnja.Emiattezalemezfajtaelgglass(max500kbit/s).Alemez tartalmaafellrstlmechanikusanvdhet(eltolhatmanyagretesz).Ktfajtamretbenkszl, 5,25 , s 3,5 Kapacitsa: max 1.44 Mbjt 33 A merevlemezes egysg teljestmnyjellemzi (elrsi id, adattviteli sebessg) A merevlemez jellemz adatai: -kapacitsa 4-150 Gbjt;-forgsi sebessge 5400, 7200, 10 000, 14 000 fordulat percenknt;-lemeztmrje 5-30 cm;-hozzfrsi ideje (napjainkban) 4-8 msec.A mgneslemez hozzfrsi ideje (Disk Access Time) alatt egy adatblokk kiolvassnak idejt rtjk. Ez nyilvnvalan csak tlagrtkknt rtelmezhet, mivel ez nagyon fgg az olvasfejnek a kiolvass megkezdse eltti helyzettl, amint a kvetkez kpletbl is kiderl: Hozzfrsi id = Pozicionlsi id tlaga + Forgsi id + Adattviteli id + Vezrlsi id. 34 11.A megszaktsi rendszer (megszaktsok tpusai, a megszakts kiszolglsa, vektortblzat) s alkalmazsai. A megszakts-vezrl feladatai. Megszaktsok Aszmtgpekmunkjnaksszehangolsbansegtagpekmegszaktsirendszere.Igengyakran keletkeznekolyanesemnyekamelyekafeldolgozsszempontjblvratlanok.Ezeketaz esemnyeket is kezelni kell, gy, hogy a feldolgozs egszt a legkevsb zavarja.Kivltjuk lehet: -aszoftver-valamilyenfutskzbenihibval(osztsnullval,tlcsordulsstb.).Ezek szinkron esemnyek, kezelsre a processzor egy kiszolgl rutint indt el -vagyahardver-pl.valamelyikperifriakezdemnyeziadattvitellebonyoltsnak idejre. Ezek aszinkron esemnyek. Esemny csoportostsa keletkezs szerint: -szinkron(vrhat)esemnyek-meghatrozhathelyen,idpontokbanugyanott keletkeznek pl. adatbeolvass, kirs. -aszinkronvrhatesemnyek-programfutsasornvrhat,deidpontjuk szempontjbl ismeretlen, vratlan esemnyekpl. DMA adattvitel. -aszinkronvratlanesemnyek-idpontjukismeretlen.Pldul:ramkimarads, hardverhiba. Az ilyen esemnyek kezelsre szolgl a megszaktsi rendszer. A megszaktsi krelem egy jelzs a processzornakvalamelyesemnybekvetkeztrl.Amegszaktsafutfolyamatfelfggesztsea megszaktskrelemhatsra,annakkirtkelsre,kiszolglsra.Akiszolglsraegyhardver-szoftveregyttesszolgl,amelyegyttesenvgzielaszksgestevkenysgeket.Ezamegszakts krelem kiszolglsa. Maszkols Egyeseszkzkesetbenamegszaktslehetsgeengedlyezhetvagytilthat.Azengedlyezs vagytiltsegyregiszterbitjeinekabelltsvaltrtnik.Eztnevezzkmaszkolsnak.Vannak maszkolhat(kiszolglsukletilthat)snemmaszkolhat(nemtilthatle,ezekmindigrvnyre jutnak) megszaktsok. (NMI - Non Maskable Interrupt - nem maszkolhat megszakts) Kt forrsa lehet a megszaktsi krelemnek:- szoftver: a megszakts krelmek programbl lettek kezdemnyezve, ezek nem maszkolhatak- hardver: a megszakts krelmek tbbsge maszkolhat, de vannak nem maszkolhatak is, pldul valamilyen slyos hardverhiba esetn A megszaktsok kiszolglsnl tbb krds van, amit meg kell oldani: -keletkezsi hely megllaptsa, melyik eszkz kezdemnyezte -megszakts lehetsgek szablyozsa, megszaktsok maszkolsok -tbb egyidben trtn megszaktsi krelem prioritsnak a szablyozsa -a tbbszrs megszakts-kiszolgls megoldsa, az idkzben rkez jabb krelmek kezelse A megszaktsi krelem keletkezsi helynek megllaptsra kt f rendszer alkalmazhat:-Szoftvermdszerek:egyrutinsorramegvizsgljaamegszaktskrelemszempontjblszba jheteszkzkllapotjelzjt,saholszksg,ottelindtjaamegfelelkiszolglprogramot.Ezt nevezik lekrdezses megszaktsnak. polling -Hardvermdszerek:egymegszakts-vezrlszablyozza-programmalvagyanlkl-aberkez krelmek kiszolglst. Amikroszmtgpekmegszaktsrendszerei,vezrliegyvagytbbmegszaktsvezetkkel rendelkeznek. Egy megszakts vonal esetn a keletkezsi hely meghatrozsa trtnhet szoftver ton, lekrdezses mdszerrel. A hardver ton trtn meghatrozs sorosan trtnik.35 Tbb megszakts vonal esetn minden eszkz sajt megszaktst kr vezetkkel rendelkezik, ezzel a krelem helye egyrtelmen megllapthat. Vektoros mdszer alkalmazsa a legltalnosabban hasznlt forma. Amegszaktst kr eszkz a kiszolgl rutin kezdcmt hatrozza meg az albbi mdok valamelyikvel: -amegszaktstkreszkzegysorszmotadtaprocesszornak,amelyakiszolglrutinok kezdcmeittartalmaztblzatbankijelliamegfelelkiszolglrutinkezdcmt.Eza mdszeravektorosmegszaktskiszolglsalegelterjedtebbmdszer.Arutinokkezdcmeit tartalmaztblzatotmegszaktsivektortblnaknevezzk.Amegszaktsivektortblaa memriban a 00000h - s cmen kezdden van trolva, teht a memria kezdetn.- ugyanaz az eljrs mint az elz esetben, annyi klnbsggel, hogy a vektortblt a processzor trolja. Ez az autovektoros eljrs. A megszaktsi rendszer lehet egyszint vagy tbbszint: -Azegyszintmegszaktsrendszerbennincs lehetsg akiszolgl rutinmegszaktsraegy jabb megszakts ltal,-tbbszintmegszaktsrendszerekbenamegszaktstkiszolglrutinismegszakthat,decsak bizonyos szablyok betartsval: -A kiszolgl rutin a vele egyez, vagy nla alacsonyabb priorits krelmeket letiltja -A kiszolgl rutin a folyamat kezdetekor ideiglenesen alacsonyabb prioritsi szintre sorolja magt -Akiszolglrutinideiglenesenjprioritsokatrendelazegyeseszkzkhz,sgya kiszolgls alatt ms prioritsi rend rvnyesl AzINTELprocesszorokalaphelyzetbenktszintmegszaktsirendszerrelrendelkeznek:egy maszkolhat(INT)snemmaszkolhat(NMI)megszaktsivonallal.Mivelezaktmegszaktsi lehetsgagyakorlatifelhasznlsbannemelegend,ezrtklnmegszakts-vezrlthasznlnak amely8(illetve2darabvezrlesetn16)megszaktsikrelmettudfeldolgozni.Amegszakts bemeneteksorrendjeegyttalprioritsisorrendetisjelent.Aprocesszorokvalszemmdbana vektortbla,mgvdettzemmdbanamegszaktsirutinokdeszkriptoraittartalmazmegszaktsi deszkriptor tbla (IDT) alapjn dolgozzk fel a megszaktsi krelmet. A megszaktsok tpusai priorits szerint: NMI nem maszkolhat megszakts: nem tilthat le, kritikus esemnyt jelez - kivtel IRQ interrupt request: letilthat hardver megszakts, kls eszkzk kezdemnyezik (8-16 db) INT szoftver megszakts: a programban kiadott INT sorszm utastssal a megszaktst kiszolgl rutinra ugorhatunk (amit a hardver egysg hv IRQ-val) (256 db) 36 12.Az I/O adattvitel tpusai. A kzvetlen memria-hozzfrs (DMA) lnyege s vgrehajtsa. A DMA-vezrl regiszterei s mkdse. Az I/O adattvitel tpusai Az tvitel fizikailag trtnhet bitenknt, ilyenkor soros adattvitelrl (szimplex,flduplex,full-duplex) beszlnk, ill. egyszerre tbb vezetken tbb bit tovbbtsval, ezt nevezzk prhuzamos adattvitelnek. Tovbb az tvitel trtnhet:-szinkron mdon, rajellel temezve,-aszinkron mdon pl. handshake-eljrssal. Prhuzamos adattvitel (PIO Paralel Input Output) A prhuzamos adattvitelnek elnye, hogy gyorsabb a sorosnl, de mivel drgbb, jobbra csak a szmtgp kzelben elhelyezhet perifrik csatlakoztatsra hasznlatos (printer) illetve kszlkek belsejben elhelyezked rszegysgek, lemezmeghajtk sszekapcsolsra hasznljk.Termszetesen kln vezetk(ek) (ahny bit annyi vezetk)szksgesek a AD-VEV szinkronizmus megvalstsra is. Ilyen megoldssal mkdnek a szmtgpek adat-, vezrl- s cmbuszai, vagy perifrik esetn a nyomtat, szkenner.4-6 m A mikroszmtgpes rendszerekben a legnagyobb szmban a prhuzamos adattvitel lehet - megszaktsos, - kzvetlen trol hozzfrses (DMA)-valamintaprogramozott I/Otvitel (nagygpesrendszerekesetbennllI/Oprocesszor vezrli) Soros adattvitel (SIO Serial Input Output) Soros tvitel esetn az informcis biteket egyenknt, sorban egyms utn visszk t. Ezrt egy kdolt bitcsoporttviteliidejeaprhuzamostvitelhezkpestmegn,deszmoselnytrejtezakialakts: szlsesetbenelegendegyvezetkprazsszekttetsfizikaimegvalstshoz,amijelents kltsgcskkenttnyez.Azinformcitvitelsebessgelassabb,dehanveljkazadattvitel sebessgt (napjainkban folyamatosan ez trtnik) akkor ez a lasssg nem igazn korltoz tnyez. Manapsg egyre nagyobb sebessg soros adattvitel megvalstsra nylt lehetsg (USB 11Mb/s, USB2.0450Mb/s,IEEE1394port)).Asorosadattviteltalkalmazzktvadat-feldolgozssorna szg. hlzatokban. 50-100 m Mivelaszmtgpenbellazadattovbbtsprhuzamosformbantrtnik,ezrtasoros adattvitelhezelbbszksgvanegyprhuzamos-soros,illetveilyenjelekfogadsakor,egy visszaalakt soros-prhuzamos talaktsra. Anagyobbtvolsgratrtnadattovbbtshoz,atelefonvonalakatlehetignybevenni,amelyek hasznlathozajeleketrkellltetniegyhangfrekvencishordozjelre.Azerreaclraszolgl eszkz a modem. Akt,modemenkeresztlsszekttt,berendezsegymssalflduplex,illetveduplexzemmdban tudkapcsolatottartani.Aflduplexzemmdnlazadattovbbtsmindktirnybanlehetsges,de felvltva(advevpl.).Ateljesduplexlehetsges,deegyidbenmindktirnybanlehetadatokat tovbbtani. A soros adattvitel lehet aszinkron s szinkron temezs. Szinkron tvitel: Szinkron adattvitelnl, az egymst kvet jelektemezetten, rajellel vezrelve, szinkronizltan kvetik egymst. Az adatok tvitele blokkos formban trtnik, amelyet kiegsztenek 37 mg szinkronizl bitekkel is. Az egyes adatokhoz tartoz jelek csak egy meghatrozott alapidtartam egsz szm tbbszrsei lehetnek (rajel miatt). Aszinkrontvitel:Azaszinkrontemezsadattvitelnl,akarakterektemezsnlklkvetik egymst,aztvittbitekmennyisgevltozislehet.Astart/stopbitekmiattajelsorozatelgg redundns, teht informcitartalom szempontjbl felesleges jeleket is tartalmaz, ugyanakkor a vev oldalonnincsszinkronizlvaavtelsemiattnagyobbsebessgtvitelnembiztonsgos.Az aszinkrontvitelnlnemszksgkppenvanfolyamatoskapcsolatazadsavevkztt,ezek szinkronban csak az adattvitel ideje alatt vannak. 110, 300, 1200, 2400, 9600, 19200 (bit/s sszehasonltva:Aszinkrontvitelnlaredundanciaalacsonyabb,deakapcsoldhardver bonyolultabb. Az alkalmazhat sebessg magasabb, mint az aszinkron tviteleknl. A kzvetlen memria-hozzfrs (DMA) lnyege s vgrehajtsa Az n. DMA vezrl segtsgvel a processzort kikerlve, kzvetlen adattvitel lehetsges a memria s a perifria kztt. Ezt nevezik kzvetlen memria hozzfrsnek Ennek egyrszt az az elnye, hogy mivelazadattviteltegyspecilisanerreaclrakialaktotthardvervgzi,aztbbnyiregyorsabb, minthaaCPUvgezn(nemkellkzbenamemriblutastsokatolvasnisnemkellazadatota CPU-nkeresztlramoltatni.Msrszt,aDMA-skezelstehermentestiaprocesszort(egyszerbb lesz program) A DMA-s kezelst leginkbb nagy sebessg, blokkos adattvitelt ignyl perifriknl alkalmazzk (floppy disk, winchester). MEMRIA PERIFRIAadatDMA-s perif ria kezelsMEMRIA PERIFRIA CPUProgramozott adattviteladat adata.) b.)DMC Az adat tja DMA-s s programozott kezels esetn Aztvitellebonyoltstazn.DMAvezrl(DMC,DMAController)vgzi.Ezegyspecilis perifria, amely felprogramozsa utn busz master funkcit kpes elltni (tvenni a CPU-tl). A DMA vezrlfkntaperifriasamemriakzttitvitelCPU-nlgyorsabbelvgzsreksztett specilis hardver elem. A DMA-vezrl regiszterei s mkdse -Cmregiszter: mindig az tvitelben szerepl memriarekesz cmt tartalmazza, rtke az tvitel sorn automatikusan n. -Szmll regiszter: az tvitel elejn az tviend szavak szmt tartalmazza, rtke az vitel sorn automatikusan cskken. -llapotregiszter (parancsregiszter): tartalma az tvitel mdjt s irnyt hatrozza meg. 38 13.A sn (busz) feladata, logikai felptse, tpusai. Snvezrls (szinkron, aszinkron). Master s slave eszkzk. Buszarbitrci (soros s prhuzamos snfoglals). Amikroszmtgpsnrendszere(buszrendszere)egytbbtucatvezetkblllvezetkrendszer, amelyenazadatok,vezrljelek,eszkzcmekmeghatrozottmdonvihetkt.Asnrendszer szablyozottmdonktisszeaszmtgpklnbzrszeit.Mivelmindeneszkzugyanarraa snrendszerre kapcsoldik, az tvitel ltrehozsakor: - meg kell oldani az adattvitelben rsztvev eszkzk kijellst, - meg kell hatrozni az adattvitel irnyt, - meg kell oldani a kapcsolatban rsztvev eszkzk mkdsnek sszehangolst. Asnrendszerhasznlatnakelnye,hogyaszabvnyostottjelhasznlatsvezetkkiosztsmiatt knnyencserlhetkacsatlakoztatotteszkzk,illetveazokvezrlkrtyisgygyrttl,gptl fggetlenn vlik azok hasznlata. A snrendszer elhelyezkedse szerint kt nagy csoportba oszthat: Bels snrendszer: a processzoron belli egysgek sszektsre szolgl, rajele megegyezik a CPU rajelvel Kls snrendszer: a processzor s az azon kvl lv egysgek sszektsre szolgl Bels snrendszer Kialaktstazelrnikvntteljestmnyszabjameg.Nagyobbteljestmnyprocesszorokesetben, aztvitelgyorstsardekben,3-sinesrendszerkialaktsaaclszer,amelynlacmsnmellett, kln adatsn van rsra s olvassra. Ezzel a kzel egyidej rs s olvass megoldhat. Egyszerbb megoldst ad a 2-sines (adat s cmsn) rendszer, amely ltalnosan elterjedt megolds a processzorok krben.Akzsadatscmsnhasznlatacsakanagyonegyszer,clfeladatokrahasznlt processzoroknl alkalmazott. Kls snrendszer 1. sszekapcsolt terletek alapjn lehet: - helyi sn (local bus), amely a processzorhoz kzvetlenl kapcsold rszt jelenti, teht a processzor hajtjameg.Errekapcsoldnakazokazeszkzk(pl.memria,grafikuskrtya),amelyekesetbena gyorsasg lnyeges;-rendszersn(systembus),amelyaprocesszortktisszeegysnmeghajtkzbeiktatsvalagp egyb rszeivel, elssorban az I/O eszkzkkel;- memriasn (memory bus), amely nem minden esetben kpez nll rszt, de nagyobb rendszernl clszer levlasztani a rendszersnrl a memria terlett. 2. A snrendszer felptse szerint (tartalmilag) hrom rszre oszthat: - cmsn, amely az eszkzk cmzst szolglja, azok cmt tovbbtja rajta a processzor, szlessge 32 (esetleg 64) bitnek megfelelen ugyanennyi vezetk; -adatsn,amelyenkeresztlatovbbtandadatotkldi,vagyfogadjaaprocesszor.Azadatsn szlessge tbbnyire 32 (vagy 64) bit, illetve ugyanennyi vezetk; -vezrlsn,amelynekvezetkeitaprocesszoravezrljelekkikldsre,vagyazokfogadsra hasznlja fel. A vezrljelek szma vltoz, ltalban 10-15 krl van minimlisan. -elvlasztsnvezrl:Asnrendszerhezszorosanhozztartozikahelyisntarendszersntl elvlaszt snvezrl (snmeghajt) egysg (bus interface), amely szablyozza a snfoglalsokat. Snvezrls (buszvezrls) mdjai: -szinkrontemezsbuszok,amelyeksajtrajellelrendelkezneksezektemeiszabjkmega buszon zajl folyamatok, mveletek idbeli lefutst; -aszinkrontemezsbuszok,amelyeksajtrajellelnemrendelkezneksafolyamatoks mveletek lefutst, az egymst kvet elemi lpsek befejezse szablyozza. 39 Master s slave eszkzk Snhasznlat (buszhasznlat): Asntegyidbencsakegyeszkzprhasznlhatja.Abuszhasznlattvalamelyikeszkz kezdemnyezi, amelyet aktv eszkznek (master) neveznek, szemben a kapcsolatban rsztvev msik, passzv eszkzzel (slave), amely csak fogadja s vgrehajtja az aktv eszkztl szrmaz vezrlseket.A mikroszmtgpeknl a busz irnytst megszerz eszkz: - a processzor, vagy- valamelyik DMA-t alkalmaz I/O eszkz lehet. Mindenbusztevkenysghezmeghatrozottidtartam,temszmszksges.Aztazidtartamot, amelyegyadattvitelifolyamatlefutshoz-egykvetkeztevkenysgmegindtsilehetsgig- kell, buszciklusnak (bus cycle) nevezzk Buszarbitci:Snfoglals(buszfoglals-busarbitration):Azadattviteleklebonyoltshoz egyidben tbb aktv eszkz (master) is ignyelheti a busz hasznlatt. Ilyenkor valamilyen eljrssal el kell dnteni, hogy melyik eszkz kapja meg elszr a buszhasznlat jogt. A buszhasznlat jognak eldntsre szolgl folyamatot nevezik buszfoglalsnak, busz arbitrcinak (bus arbitration). A snfoglals irnti ignyek kiszolglsa kt mdon trtnhet: -prhuzamoskiszolglsimd(prioritsos):alkalmazsakormindeneszkznllbuszkrs buszengedlyez vezetkkel rendelkezik. A berkez ignyeket a vezrl logika sorolja, dekdolja s a legmagasabb priorits eszkz szmra engedlyezi a busz hasznlatt. -soros kiszolglsi md (lnc): alkalmazsakor az eszkzk sorba vannak ktve s a lnc mentn az elhelyezkedskszabjameg,hogymikorkaphatjkmegasnhasznlatt.Amelyikeszkza legkzelebb van a vezrlhz, annak a prioritsa a legmagasabb. Mindkt esetben a jogosultsg megllaptsa trtnhet: -centralizltmdon,amelyesetbenegykzpontiprioritsvezrllogikaszabjamegahozzfrs sorrendjt -decentralizlt mdon,amelyesetbenapriorizllogikaelosztott formbanvalsulmeg,azegyes eszkzk vezrli ltal 40 14.Az I/O eszkzvezrlk, interfszek feladata, regiszterei, cmzse. Soros s prhuzamos port s adattvitel. Az ad s vev szinkronizlsa. Az I/O eszkzvezrlk, interfszek feladata, regiszterei, cmzse Eszkzvezrlk A nagyobb teljestmny processzorokat alkalmaz Pentium gpcsald megjelense, a grafikus felhasznli fellet hasznlatnak ltalnoss vlsa jelentsen megnvelte az I/O buszrendszer adattviteli teljestmnyvel kapcsolatos ignyeket. Lnyeges kvetelmnny vlt, hogy a snrendszer "intelligens" legyen, azaz pldul meghatrozott felttelek mellett a processzortl relatve fggetlenl is kpes legyen mkdni. A mai s az 1990-es vek PC-iben I/O buszrendszerknt a PCI (Peripherial Component Interconnect, azaz a perifrikat sszekt) snt hasznljk. A PCI busz alapkoncepcija az I/O egysgek szabvnyos csatlakoztatst biztost snrendszer (I/O sn) s a processzor-trol alrendszer (rendszersn) hatrozott sztvlasztsa volt. A kt buszrendszert az alaplapi vezrlramkr-kszletben tallhat PCI-Bridge (PCI-hd) ramkrk kapcsoljk ssze. A PCI buszhoz kapcsolt eszkzket PCI-egysgeknek (PCI-Agent) nevezik, ezekbl maximum 10 db lehet. Ilyen PCI-egysg lehet a SCSI adapter, a hlzati csatol (pldul Ethernet), vagy egy monitorvezrl krtya. Fizikai megvalstsukat tekintve a PCI-egysgek lehetnek az alaplapra integrltak vagy a PCI sn slotjaiba illeszthet PCI-krtyk. Az I/O eszkzk s a processzor kapcsolatt az eszkzvezrlkben tallhat regiszterek biztostjk. Minden egyes eszkzvezrl funkcionlisan legalbb a kvetkez tpus tmeneti trolkat tartalmazza: -parancs (command) regiszter, mely az eszkzvezrl ltal vgrehajtand mveletekhez szksges informcikat trolja. -llapot (status) regiszter, melyben az eszkzvezrl az I/O eszkz aktulis llapotra vonatkoz informcikat trolja (pl. egy merevlemezre egy blokk kirsa megkezddtt, vagy a nyomtatbl kifogyott a papr) -az adatkirs illetve beolvass pufferregiszterei, melyek a folyamatban lv I/O mveletek adatait troljk. A processzor az eszkzvezrlket alapveten kt mdon irnytja (cmezheti): -kzvetlen I/O utastsokkal (mitn az llapotregiszter lekrdezsvel megllaptotta, hogy az eszkz az utasts vgrehajtsra kpes llapotban van), a parancsregiszter belltsval s a pufferregiszterek rsval vagy olvassval. -kzvetett mdon, amikor a cmzs gy trtnik, mintha az I/O eszkz trolja a ftr rsze lenne (memory mapped addressing, ilyen pl. a grafikus memria). Interfsz: Az interfsz kt funkcionlis egysg sszekapcsolhatsgt s egyttmkdst biztost elrsoksszessge.A szmtgps aperifrik kzti informcitvitel cljbl biztostani kell az egyesegysgekillesztstsarendszersszehangoltmkdst.Ezrtaszmtgpahardver eszkzkhz vezrl s illeszt (interfsz) ramkrkn keresztl csatlakozik. A port (illeszt) Aportolyaninterface,melyaperifriliseszkzkkeltartjaakapcsolatot,biztostjaaszabvnyos csatlakozst a CPU s a perifrilis egysgek kztt a rendszersn kzbeiktatsval.Az adattvitel ktfle lehet: soros s prhuzamos. 41 Soros portmkdse:A soros(serial) port az egyik legrgebbi, ltalnoscl kommunikcis port.AzinterfsztazRS-232Cszabvnyjelli,tipikusanazegrsamodemcsatlakozikr.Az adatbitekavezetkenegymsutnkerlnektkldsre.Egyvezetkenegyirnytvitelvalsthat meg, kb. 30-100 m-ig hasznlhat. A PC 4 db soros illesztt tmogat (COM 1-4). Az adattvitel szinkron vagy aszinkron mdon trtnhet. Szinkrontvitel:Szinkronadattvitelnl,azegymstkvetjelektemezetten,rajellel vezrelve,szinkronizltankvetikegymst.Azadatoktviteleblokkosformbantrtnik, amelyet kiegsztenek mg szinkronizl bitekkel is. Aszinkrontvitel:Azaszinkrontemezsadattvitelnl,akarakterektemezsnlkl kvetikegymst,aztvittbitekmennyisgevltozislehet.Astart/stopbitekkeleltott jelsorozatotadatkeretneknevezzk.Elggredundns,tehtinformcitartalom szempontjbl felesleges jeleket is tartalmazAz USB (Universal Serial Bus) egy jonnan kifejlesztett nagy sebessg csatlakozsi port, melyet a soros s prhuzamos portok kivltsra szntak. Egy USB soros csatlakozsi rendszer porton keresztl maximum127klsperifriacsatlakoztathat.Napjainkbananyomtatksszkennerektbbsge rendelkezik ilyen csatlakoztatsi lehetsggel is. Az USB szabvny tovbbfejlesztseknt megjelent a nagyobb tviteli sebessget biztost USB 2.0. csatlakoztatsa Mivel az USB tpramot is szolgltat a snkbelenkeresztl,akisteljestmny(100mAalatti)berendezsekheznemkelltpkbels hlzati adapter. NapjainkbanazIEEE1394szabvnysoroskommunikcisport-melyneklegismertebbvltozata az Apple FireWire mrkanev termke - az egyik legnagyobb adattviteli sebessget biztost eszkz. Egy IEEE 1394 portra maximum 63 kls eszkz csatlakozhat. Egyik jellemz alkalmazsi terlete a multimdis eszkzk, pldul digitlis videokamerk szmtgphez trtn Prhuzamosportmkdse:Aprhuzamosinterfszprhuzamosmkds.ltalbana nyomtatcsatlakoztatstoldjameg,brlehetakrscannerisacsatlakozeszkz,esetlegktPC kzvetlen kapcsolatt valsthatjuk meg. (a vezetk nem haladhatja meg az 5 mtert)Az adattvitel hromfle lehet: Csak elre irny Csak vissza irny ktirny A PC 4 prhuzamos port ltt tmogatja (LPT 1-4). Az LPT 1 port neve PRN. SPP (SErial Parallel Port) szabvnyos prhuzamos port, ltalban egy irny EPP (Enhanced Parallel Port) bvtett prhuzamos port ECP (Extended Capability Port) kiterjesztett kpessg port (DMA hasznlatra van szksg) Az ad s vev szinkronizlsa Az tvitt bitsorozatot hasznljuk fel az ad s vev rajelnek sszehangolshoz, szinkronba hozshoz. Ez azt jelenti, hogy elrunk egy specilis bitsorozatot , amit szinkronizl jelnek neveznk, aminek feladata az ad s vev mkdsnek szinkronizlsa, az rajelkpzs idbeli sszehangolsa. Szablyrendszer: a szinkronizl bitmintnak mindig meg kell elznie az rdemi adatbiteket. Mskpp: Annak a pillanatnak a megjellsre, amelyikben a vevkszlknek rtelmeznie kell az adatot, rajelet hasznlunk. Az rajel le-, vagy felfut lt hasznlhatjuk az idpont jelzsre. Egy soros adatot az ad egysgnek azeltt kell ltrehoznia, mieltt a vevnek rtelmeznie kell. Ez az oka annak, hogy az adoldali, s a vevoldali rajelfrekvencia azonos, viszont fzisban klnbz, mivel az adatjel llapotvltozshoz idre van szksg. Soros adattovbbts lehetsges rajel nlkl is, viszont ekkor gondoskodni kell szinkron vagy aszinkron mdon az adatblokkok kezdetnek a jelzsrl. 42 15.Monitorok tpusai, paramterei, mkdsi elve. A monitorvezrl krtya feladata, felptse, jellemzi (felbonts, sznmlysg, kpmemria mrete) s mkdse. Monitor fajtk: A ma hasznlatos monitorok alapveten ktfle kategriba sorolhatak: CRT (Cathod Raz Tube - katdsugrcs): hagyomnyos monitor, mkdsben a TV-re hasonlt. A kperny tartalmt egy elektronsugr rajzolja fel a fnykibocst rteggel bevont felletre, a kperny bal fels sarktl kezdden, jobbra s lefel haladva, prhuzamos svokra bontott rszekben. Egy teljes kperny tartalom kirajzolsa 1/50 sec-ig tart. A legfontosabb egysgk a katdsugrcs, melynek elektrongyi rajzolja a kpet. A kperny egy elemi monitorpontjt vrs-zld-kk foszforpontok alkotjk LCD(liquidcrystaldisplay),TFT(ThinFilmTransistor):mkdsielvklnyege,hogyaz gynevezettfolyadkkristlyokfeszltsghatsramegvltoztatjkkristlyszerkezetket.Mivela folyadkkristlyokatklselektromostrrellehetgyvezrelni,hogykvnsgratetszleges mrtkbenelforduljanak,gyeljkpolariztort,mgjkfnyforrsthelyezveaztbocstott fnymennyisgetszablyoznitudjuk.Mindezekutnmrcsakazkell,hogyelhelyezznknhny neoncsvetakpernymgtt,amelyeketlehetsgszerintnagyfrekvencival(relatvenagy,1000 Hzkrli)tpllunk,hogyavillogsnezavarjaaszemet.Kellmgegypiros,zldilletvekk sznszr,erreegyvezrelhetfolyadkkristlyosrteg,majdegypolariztor,skszisaTFT kijelznk.Mivel az LCD technolginl nincskatdsugr, gy akpnem is tud vibrlni, mg kisebb frisstsi idnl sem. A legkevsb ismert tpus a gzplazms monitor, amelyben a gzok a bennk lv mozg elektronok hatsrafnytbocstanakki.Azilyenkijelzkbenionizltneon-vagyargongztzrnakktolyan veglapkz,melyekbevzszintesensfgglegesenvezetkekvannakbegyazva.Ezenvezetkek metszspontjai hatrozzk meg a fnyt kibocst kppontokat. Fontos, hogy a CRT-k pixelein vltoz intenzitssal lehet kpet megjelenteni. Teht ha nem egyezik a kperny s a megjelentend kp felbontsa, az nem olyan szembetn. A TFT-k esetn egy pixel vagy ki van jelezve, vagy nem, gy ha a felbonts nem egyezik, rosszabb minsg kpet kapunk.. A kperny vgigpsztzsban ktfle eljrst alkalmaznak Folytonosegymstkvetsoronkntipsztzs(non-interlacing),amelyfinomabbfelbontst,de gyakoribb kpfelfrisstst eredmnyez. A korszerbb monitorok ezt a megoldst alkalmazzk, mivel a msik esetn villodz eredmnyt kaphatunk. Vltottsoroselszrakppratlansoronkntkerlkirajzolsra,majdasugrvisszafutakp elejre,saprossorokkvetkeznek.Finomabbfelbontst,dealacsonyabbfrisstsifrekvencit eredmnyez. A vltott soros psztzsnl flkpenknt 1/50 sec szksges, gy a teljes kp kirajzolsa 1/25 sec alatt trtnik. Pixel: (kppont) a kperny legkisebb olyan egysge, amelyet a szmtgp kezelni tud. Felbontkpessg:Akpernytegyfajtamtrixnakfoghatjukfel,melynekmindenegyeselemeegy pixel,amelyekrendszerezettensorokbansoszlopokbanhelyezkednekel.Amonitor felbontkpessgeakpernynfggleges,svzszintesirnybanmegjelenthetkppontok, raszterpontok szmt hatrozza meg. Kpfrissts azt jelenti, hogy az elektronsugarak msodpercenknt hnyszor psztzzk vgig a teljes kpernyt.85Hz-nlkevesebbkpfrisstsifrekvencialthatanvibrlkpeteredmnyez.Azegy kpsormegrajzolsnaksebessgtsorfrekvencinak(horizontalfrequency)nevezzk; mrtkegysge a kHz. 43 Sznmlysg:Azegyeskppontokmindegyikehordozakppontsznvelkapcsolatosinformcit, amely mennyisge a szmtgp grafikus krtyjtl fggen vltozik. A kppont sznvel kapcsolatos informci mennyisge 1,2,4,8,16,24, vagy 32 bit mennyisg lehet. Az egy kpponton megjelenthet sznekszmtnevezzksznmlysgnek.AsznmlysgfggaPCvideokrtytl,hiszenagrafikus krtyaazltalahasznltmemriaterletntroljaakppontoksznvelkapcsolatosinformcikat. Minlnagyobbasznmlysg,annlnagyobbmemriaszksgesakppontokszninformciinak trolsra.Jelenleg elterjedt sznmlysgek: 8 bit 256 szn, 16 bit 65536 szn (High Color), 24 bit 16 777 216 szn (True Color, 3 szn 3 bjt) A monitorok mrete A kppontok kztti tvolsg a megjelentett kp pontjai kztti tvolsgot jelenti. Ezt az rtket a gyrtk a monitor adatlapjn mm-ben meg szoktk adni. Minl kisebb az rtk, annl jobb a felbonts, tisztbb kpe van a monitornak. A mai monitoroknl 0,2 0,28 mm. Egy msik fontos adat a beszerelt kpcs tljnak mrete. Itt kt rtkrl is sz van, a valdi fizikai mretrl, illetve a valsgban lthat mretrl. A gyrtk ltal megadott mrtk mindig a kpcs fizikai tljt adja meg, nem pedig a megjelenthet kpt. Ennek oka, hogy a kpcsvet a manyag tartba kell valamilyen mdon rgzteni, ez pedig a kpmret cskkenst vonja maga utn. Kpvlts: Ahhoz, hogy az emberi szem folyamatos mozgsnak rzkelje a kpet, msodpercenknt legalbb 25 kpvltsnak kell lennie. Mrtkegysge a frame/sec,Ha ennl kevesebbszer rajzoldik fel a kp, akkor szaggatottnak rezzk. Ez nem ugyanaz, mint a kpfrisstsi frekvencia. Monitorvezrl krtyk Amonitorokalehetlegkevesebbramkrttartalmazzkaknnybvthetsgrdekben.A monitorok meghajtshoz szksges tovbbi ramkrk egy krtyn tallhatk meg, a monitorvezrl krtyn.A videokrtya hrom f rszbl ll: -a videochip alkotja azokat a jeleket, melyek a monitoron kpek formjban megjelennek -valamilyen fajta RAM, amely azrt szksges, hogy a krtya a teljes kpre emlkezzen minden pillanatban, ne csak annak egy rszre. A videokrtykban ltalban 1, 2 ,4, 8 vagy 256 vagy tbb Mbyte RAM van. Alapveten RAM mennyisgtl fgg, hogy milyen felbonts s sznmlysg kpet lthatunk. -egy digitl/analg konverter, mely a digitlis jelekbl llt el analg jeleket. A digitl/analg konverterre azrt van szksg mert a katdsugrcsves monitorok hagyomnyos analg jelekkel dolgoznak. Avideokrtyamkdsegyleginkbbaprocesszorraemlkeztetazzalaklnbsggel,hogya videokrtya csak a kpellltst vgzi. Manapsg a videokrtyk a sokkal nagyobb adattvitelre kpes PCI-buszokracsatlakoznak,vagyamgannlisgyorsabb-kizrlagavideokrtykszmra kifejlesztett - AGP buszokhoz. A videokrtykba manapsg egyre inkbb integrlva vannak gyorstkrtyk, de sokszor ms hasznos funkcival is rendelkeznek: pldul televzi-csatornk vtelre s megjelentsre is alkalmasak. Gyorstkrtyk:Agrafikusrendszerekterjedsvelavideokrtyksebessgenemvoltmegfelel. Azismtldfeladatokat(ablakrajzolsa,kitltssznnel,vonalhzsa,stb.)hardveresenkellett gyorstani.AgyorstkrtyatvesziaCPU-tlakpalkotssalkapcsolatosmveleteket.Amodern gyorstkrtykegyretbbmveletettudnakvgezni,melyhezklnmemriallrendelkezskre. 2D-s mveletek pl. ablak eltols, vonalhzs, kr rajzols, terlet kitlts, mely sorn csak a kiindul koordintkat kell tadni a gyorstkrtynak. A videokrtyknak sajt memrijuk van a krtyra integrlva, melynek mrete akr 128MB is lehet. ltalbanspecilisVRAM-ot(VideoRAM)hazsnlnak,melyegyidbentudadatotolvasnisrni, mivel2csatornjavan. Manapsg mr nagyteljestmny processzorok iskerlnek avideokrtykra, 44 melyekfknta3Dmegjelentsnlavektorgrafikusadatokraszteresadatokkvalkonvertlsra szolgl.Mivelezeketaszmtsokatnemakzpontiprocesszornakkellelvgeznie,hanemazerre specializldott videoprocesszornak, a megjelentett kp gyorsabb s szebb is. Amozgkpmegjelentsmrtkegysgeaframe/sec(framepersec,FPS),azazamegjelenthet teljes kpek msodpercenknt. Vannakspecilisvideokrtyk,melyekafilmeklejtszsraszakosodtak(pl.MPEG2dekdol krtya, TV-Tuner krtya). 45 16.Analg s digitlis hrkzlsi csatornk jellemzse (kapacits, zajok hatsa), tviteli kzegek. Vonalak megosztsnak mdszerei. Digitlis jelek kdolsa. A paritsbit s a CRC. Modemek feladata. ISDN, ATM, DSL technolgik. Akzlemny,valamilyencsatornnjutelacmzetthez.Ahrkzlsicsatornaolyanberendezs, amelykpesabemenetelnlinformcitfelvenni,sakimenetelnlleadni.Fizikaivaljukbana csatornknagyonsokflklehetnek:aleveg,atelefonvezetk,azoptikaivegszl,azllnyek idegszlai, a knyv, a videolemez stb. Osztlyozni is tbb szempontbl lehet ket.-trbeli csatornk a tr valamelyik pontjbl egy-vagy tbb msik pontjba (telefonvezetk) -idbeli csatornk a T idponttl a (T + t) idpontba szlltjk az informcikat (videolemez) Termszetesen ez amegklnbztets csak alnyegi jegyekrevonatkozik,mivel az informcinak a trbelicsatornbanisidrevanszksge,hogyclbajusson,aszbelicsatornkonislehettrben szlltani az informcit. A tr- s idbeli csatornkat szoks - ksleltets nlkl, ramkrkapcsolt ( maghatrozott sebessggel, ksleltets nlkl szlltjk az zeneteket) - ksleltetssel mkd, zenetkapcsolt csatornknak ( tetszleges ideig troljk, azaz ksleltetik az zenet tovbbadst). Ms szempontbl beszlhetnk- termszetes (idegrost) - mestersges csatornkrl (telefonkbel),Valamint - analg (a hanghullmokat hordoz leveg) - digitlis csatornkrl (illetve a szmtgp adattviv csatorni). Az tvitel clja elsdlegesen a jeleknek a cmzetthez val eljuttatsa, a jeleknek a cmzetthez val eljuttatsa tviteli csatornban trtnik, amit az sszekttets idejre bocstanak a hv s a hvott rendelkezsre. Ezek a jelek lehetnek-analgjelek,amiaztjelenti,hogypillanatrtkkmeghatrozottfrekvenciasamlitd tartomnyban tetszleges rtket vehet fel. - digitlis jelek, melyek pillanatrtkei 0 vagy 1, a kt llapot kztti tvlts gyakorisga, a jeltviteli sebessgltalbanlland.Azanalgjeleketgyakrandigitalizltformbanvisszkt, visszaalaktsuk csak a cmzettnl trtnik. Ad.Amegfogalmazottinformcittovbbtjaakommunikciscsatornba,annakmegfelelen kdolva (modullva) Vev: A kommunikcis csatornbl jv informcit dekdolja, tovbbtja a feldolgozsra. Kommunikcis csatorna: A kommunikci szntere, rajta kommunikl a vev-ad, hat a csatornra akrnyezetzavarhatsokkal,valamintvesztesgeiisvannak,ezeketazadban-vevbenkell korriglni Azinformciforrssanyelegymstlrendszerinttrbenelvanvlasztva.Acsatornabiztostja villamos sszekttetst a kt pont kztt. Az ad ltal vgrehajtott jelfeldolgozsi mdok legfontosabbja-ersts,-szrs s a-modulci.Ezek kzl is kiemelkedik fontossgban a modulci. Egy hrkzl rendszerben a modulci clja az, hogyazzenetjeljellemzitillesszkacsatornajellemzihez,hogycskkentskazajsaz interferenciahatst,hogyugyanazonacsatornnegyidejlegtbbjeletistovbbtsunk,vagyrr legynk bizonyos berendezsekbl szrmaz korltokon. Egy hrkzl rendszer sikere nagymrtkben az alkalmazott modulcitl fgg. 46 Hrkzl csatorna A modulcis eljrson, illetve az informciforrs kimen zenetnek formjn alapulva a hrkzlsi rendszereket hrom f csoportra oszthatjuk: - Analg hrkzl rendszerek : Analg informcik analg modulci