effect of mask-roughness on printed contact-size variation in extreme-ultraviolet lithography

7
Effect of mask-roughness on printed contact-size variation in extreme-ultraviolet lithography Patrick P. Naulleau Relying on reflective mask technology, extreme-ultraviolet (EUV) lithography is particularly vulnerable to mask substrate roughness. Previous research has shown mask roughness to play a significant role in printed line-edge roughness (LER). Here the analysis of mask-roughness effects is extended to printed contact-size variations. Unlike LER, illumination partial coherence is found to have little affect on the results for contacts that are near the diffraction limit. Analysis shows that, given the current state-of- the-art EUV mask, mask roughness has a significant effect on the process window for small contacts. The analysis also shows that a significant portion of the contact-size variation observed in recent 0.1- numerical-aperture EUV exposures can be attributed to the mask-roughness effect studied here. © 2005 Optical Society of America OCIS codes: 110.3960, 110.4980, 030.5770, 030.6140, 260.7200. 1. Introduction As extreme-ultraviolet (EUV) lithography 1 progresses toward commercialization, 2,3 questions relating to yield become of increasing concern. The question of how shot noise effects yield on printed contacts has been addressed, 4 showing that there may be problems with using highly sensitive resists for contacts in the 30-nm regime. Moreover, the effect of mask surface roughness on printed line-edge roughness (LER) has also been addressed, 5–7 indicat- ing that such roughness could play a significant role for LER in the 30-nm regime. Here we consider the effect of mask surface roughness on printed contact- size variation and show that, from a yield perspec- tive, this roughness places stringent, yet achievable, requirements on the mask. Both analytical and mod- eling approaches are presented. Mask surface roughness has been shown to be an important potential contributor to LER owing to the phase-roughness coupling to speckle in the aerial image. 5–7 EUV lithography, which utilizes reflection masks, is particularly vulnerable to this problem be- cause mask roughness geometrically maps to phase roughness with an additional factor of 2 owing to the reflection. The reflection mask combined with an op- erational wavelength of approximately 13.5 nm re- sults in significant phase modulation with even small amounts of surface roughness. Although the litho- graphic process involves reimaging the mask to the wafer, the process remains sensitive to phase errors at the mask as a result of the band-limited imaging process. Moreover, in the presence of defocus, the imaging condition no longer strictly holds, and mask phase errors couple directly to intensity variations in the image plane. The problem becomes increasingly severe as the illumination coherence is increased. 8 Another important metric in lithographic imaging is the capability of printing contact holes. It is evident that contacts are also adversely affected by speckle in the aerial image, particularly when the contact size is close to the diffraction limit, and the size of the con- tact in the image plane is equivalent to the speckle size, which itself is set by the diffraction limit of the optic. Under these conditions, the effect of speckle is to cause a global intensity change in the contact aer- ial image. This intensity change is random from con- tact to contact; thus, under these conditions, a field of contacts suffers printed size variations. 2. Analysis Solving the general problem of partially coherent im- age formation in the presence of object-plane phase roughness quickly becomes intractable even under the small-phase perturbation approximation. 5 The more specific case of contact printing, however, lends The author ([email protected]) is with the Center for X-Ray Optics, Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720. Received 28 July 2004; revised manuscript received 20 Septem- ber 2004; accepted 22 September 2004. 0003-6935/05/020183-07$15.00/0 © 2005 Optical Society of America 10 January 2005 Vol. 44, No. 2 APPLIED OPTICS 183

Upload: patrick-p

Post on 05-Oct-2016

213 views

Category:

Documents


1 download

TRANSCRIPT

Effect of mask-roughness on printed contact-sizevariation in extreme-ultraviolet lithography

Patrick P. Naulleau

Relying on reflective mask technology, extreme-ultraviolet (EUV) lithography is particularly vulnerableto mask substrate roughness. Previous research has shown mask roughness to play a significant role inprinted line-edge roughness (LER). Here the analysis of mask-roughness effects is extended to printedcontact-size variations. Unlike LER, illumination partial coherence is found to have little affect on theresults for contacts that are near the diffraction limit. Analysis shows that, given the current state-of-the-art EUV mask, mask roughness has a significant effect on the process window for small contacts. Theanalysis also shows that a significant portion of the contact-size variation observed in recent 0.1-numerical-aperture EUV exposures can be attributed to the mask-roughness effect studied here. © 2005Optical Society of America

OCIS codes: 110.3960, 110.4980, 030.5770, 030.6140, 260.7200.

1. Introduction

As extreme-ultraviolet (EUV) lithography1

progresses toward commercialization,2,3 questionsrelating to yield become of increasing concern. Thequestion of how shot noise effects yield on printedcontacts has been addressed,4 showing that theremay be problems with using highly sensitive resistsfor contacts in the 30-nm regime. Moreover, the effectof mask surface roughness on printed line-edgeroughness (LER) has also been addressed,5–7 indicat-ing that such roughness could play a significant rolefor LER in the 30-nm regime. Here we consider theeffect of mask surface roughness on printed contact-size variation and show that, from a yield perspec-tive, this roughness places stringent, yet achievable,requirements on the mask. Both analytical and mod-eling approaches are presented.

Mask surface roughness has been shown to be animportant potential contributor to LER owing to thephase-roughness coupling to speckle in the aerialimage.5–7 EUV lithography, which utilizes reflectionmasks, is particularly vulnerable to this problem be-cause mask roughness geometrically maps to phase

roughness with an additional factor of 2 owing to thereflection. The reflection mask combined with an op-erational wavelength of approximately 13.5 nm re-sults in significant phase modulation with even smallamounts of surface roughness. Although the litho-graphic process involves reimaging the mask to thewafer, the process remains sensitive to phase errorsat the mask as a result of the band-limited imagingprocess. Moreover, in the presence of defocus, theimaging condition no longer strictly holds, and maskphase errors couple directly to intensity variations inthe image plane. The problem becomes increasinglysevere as the illumination coherence is increased.8

Another important metric in lithographic imagingis the capability of printing contact holes. It is evidentthat contacts are also adversely affected by speckle inthe aerial image, particularly when the contact size isclose to the diffraction limit, and the size of the con-tact in the image plane is equivalent to the specklesize, which itself is set by the diffraction limit of theoptic. Under these conditions, the effect of speckle isto cause a global intensity change in the contact aer-ial image. This intensity change is random from con-tact to contact; thus, under these conditions, a field ofcontacts suffers printed size variations.

2. Analysis

Solving the general problem of partially coherent im-age formation in the presence of object-plane phaseroughness quickly becomes intractable even underthe small-phase perturbation approximation.5 Themore specific case of contact printing, however, lends

The author ([email protected]) is with the Center for X-RayOptics, Lawrence Berkeley National Laboratory, 1 Cyclotron Road,Berkeley, California 94720.

Received 28 July 2004; revised manuscript received 20 Septem-ber 2004; accepted 22 September 2004.

0003-6935/05/020183-07$15.00/0© 2005 Optical Society of America

10 January 2005 � Vol. 44, No. 2 � APPLIED OPTICS 183

itself well to several simplifications. Considering onlycontact sizes that are close to the diffraction limit orsmaller, and assuming an illumination partial coher-ence of 0.9 and smaller, the image-formation problemcan be simplified to the coherent imaging case. Co-herent imaging analysis holds because, under theaforementioned restrictions, the illumination coher-ence area is larger than the entire contact. Moreover,because we are dealing with structures that are closeto the diffraction limit, the morphology of the imagedstructure can be assumed to be approximately equiv-alent to the optical system’s point-spread function,and all we need to concern ourselves with is the globalintensity of the structure.

Because the contacts of interest here are near thediffraction limit, any structure within the contact,phase or otherwise, is not resolved. Thus every pointwithin the contact in object space can be assumed tocontribute to all points in the imaged contact. Theintensity of the imaged contact can now be treated asa coherent summation of all the points in the contactin object space. Assuming mask roughness, or ran-dom phase, this summation takes the form of a ran-dom walk whose statistics can be determinedanalytically. The statistics of this random walk areequivalent to the statistics of contact-to-contact in-tensity variations within a field of contacts and thusprovide insight into printed contact-size variations.

The accuracy of this approach depends on an accu-rate description of the mask roughness. As previouslydescribed,7 in most of the cases relevant to the moder-ate roughness of interest here, the effect of the roughmask can readily be modeled as a pure phase distri-bution, in which the phase is determined from thegeometric path-length differences imparted by assum-ing that the EUV light is reflected from the top surfaceof the multi-layer-coated mask.9 In practice, this sim-plified representation of the Bragg reflector10 worksbecause the vast majority of the nonconformal multi-layer growth occurs within a small number of layersthat are closest to the substrate. Within the relativelyshallow EUV penetration region of a typical multilayercontaining 40 or more bilayers, the layer growth tendsto be conformal for the roughnesses of interest here.Using this simplified approach, one needs only to mea-sure the topographic profile of the final multi-layer-coated mask to determine the phase roughness. Thisapproach is a significantly simpler task than measur-ing the substrate before coating and then modeling themultilayer growth11,12 and the three-dimensional re-flected field.13–15

A random walk, or random phasor sum,16 can beexpressed as

a exp(j�) �1

�N�k�1

N

�k exp(j�k), (1)

where N is the number of independent phasors and�k��N and �k are the amplitude and phase, respec-tively of the kth phasor. For the EUV-mask-roughness case of interest here, the phase variations

are relatively small and generally cannot be ex-pressed as uniformly distributed from 0 to 2�. Fol-lowing Goodman16 and assuming the probabilitydensity function of the phase to be symmetrical about0, the mean of the random-walk amplitude and thevariance of the real and imaginary parts of the ran-dom walk become

a � �N�kM�(1),

�r2 � � �2� � 2� �1 � M�(2)� � (�)2M�

2(1),

�i2 � � �2� � 2� �1 � M�(2)�, (2)

where M� is the characteristic function of the randomvariable representing the phase. Having assumed asymmetric probability density function, the covari-ance of the real and imaginary parts is 0 (Ref. 16).

Assuming the phase to be a zero-mean Gaussianrandom variable with variance �2, M� can be writtenas17

M�() � exp(��22 � 2). (3)

Further assuming the amplitude of all the phasors tobe unity (corresponding uniform reflectivity acrossthe contact on the mask), Eq. (2) simplifies to

a � �N exp(��2 � 2),

�r2 � (1 � 2)�1 � exp(�2�2)� � exp(��2),

�i2 � (1 � 2)�1 � exp(�2�2)�. (4)

Assuming small-phase disturbances and being in-terested only in the amplitude of the random walk,we can ignore the variance of the imaginary part,noting that it has little effect on the amplitude. More-over, noting that the relevant number of independentphasors N can be expressed as the area of a singlecontact on the mask �Ac� divided by the correlationarea of the intracontact mask roughness �Ar�, we canwrite the normalized amplitude variance as

�a2 �

�r2

a2�

(1 � 2)�1 � exp(�2�2)� � exp(��2)

�(Ac � Ar)1�2 exp(��2 � 2)�2

. (5)

Note that the intracontact correlation area is, by def-inition, smaller than the general roughness correla-tion area because limiting the analysis area to thecontact area can be viewed as a high-pass filteringprocess.

Finally, to determine the printed size variation ofinterest here, it is preferable to work with the nor-malized standard deviation of the intensity instead ofthe amplitude variance described in Eq. (5). Workingunder the constraint of small-amplitude variance ontop of a relatively large mean amplitude, the stan-

184 APPLIED OPTICS � Vol. 44, No. 2 � 10 January 2005

dard deviation of the normalized intensity can beshown to be

�I �2(1 � 2)�1 � exp(�2�2)� � exp(��2)1�2

(Ac � Ar)exp(��2 � 2). (6)

Because printed contact-size variation is proportionalto intensity variation, Eq. (6) shows that the contactsize-variation problem becomes more severe as thecorrelation area of the roughness approaches the areaof the contact. This relationship diminishes the effec-tiveness of multilayer smoothing approaches18,19

used to mitigate mask roughness, because thesemethods tend to increase the correlation area as theydecrease the roughness. It should be noted, however,that if the correlation area could be extended to thepoint at which it is larger than the contact itself, thenthe roughness-induced intensity-variation problemwould be eliminated altogether.

Strictly speaking, the analysis above holds only forlarge N; however, depending on the correlation areaof the intracontact roughness, Ac�Ar could be rathersmall. To quantify this potential error, the numericalrandom-walk result over a 5000-element sample setis compared with the analytical result above as afunction of N. Figure 1(a) shows the numerical andanalytical results on the same axis, and Fig. 1(b)

shows the fractional error results (the analytical an-swer minus the numerical answer divided by the nu-merical answer). The analytical approximationconsistently overestimates the intensity standard de-viation as N drops below 10. For N greater than 10,the analytical approximation works well. Numericalanalysis has also been used to verify that the result inFig. 1 is independent of �. In practice, when dealingwith N values of less than 10, the analytic solutioncan be used to provide a conservative estimation ofthe intensity variations, or an empirical correctionfactor can be applied to the analytical solution basedon the plot in Fig. 1.

The final step is to consider the coupling of theintensity variation to printed contact-size variation.This coupling depends simply on the slope of theaerial image. To remain consistent with the normal-ized intensity standard deviation representationabove, the normalized aerial-image slope is used. Theprinted contact-size standard deviation becomes

�W � �I

dW

dI, (7)

where W is the printed contact width.

3. Numerical Examples

Mask yield and, in turn, the minimization of maskdefects are important considerations for EUV lithog-raphy.20 Mask roughness coupling to printed contact-size variation has an effect on mask yield that issimilar to more conventional defects. Using the anal-ysis presented above one can determine, for example,the maximum mask roughness that can be allowed toachieve a desired mask yield for a mask containing agiven number of contacts. For the analysis given be-low, the following parameters are chosen:

(1) A lithographic system that utilizes a 0.25-N.A.13.5-nm wavelength optic.

(2) A 4� mask with 120-nm nominally square con-tacts on the mask (30 nm as printed).

(3) An intracontact mask-roughness correlationarea of approximately 5000 nm2 (this corresponds toa coherence diameter of 80 nm, a typical value for acurrent state-of-the-art EUV mask).

(4) A desired mask yield of 99%, with each maskcontaining 109 contacts.

(5) A contact failure defined as greater than a10% width change within a single-sided focal rangeof 100 nm.

It is important to note that the focus can be as-sumed to affect only the image slope, not the contact-to-contact intensity variation, because under theconstraints described above, the effect of the rough-ness is simply to modulate the overall intensity ofeach contact and not the morphology of the imagedcontact. In the defocused case the normalization usedto determine the normalized aerial image is with re-spect to the in-focus image, thereby accounting forthe reduced image slope with defocus.

Fig. 1. (a) Comparison of the numerical random-walk results overa 5000-element sample with the analytical results as a function ofN. (b) Fractional error (the analytical answer minus the numericalanswer divided by the numerical answer).

10 January 2005 � Vol. 44, No. 2 � APPLIED OPTICS 185

Based on the parameters enumerated above, N iscalculated to be approximately 3; thus the correctionfactor depicted in Fig. 1 is necessary to achieve theutmost accuracy (the calculated �I must be divided by1.24). Moreover, based on these parameters and apartial coherence of 0.7, aerial-image calculations21

can be used to show that dI�dW is 0.019 nm�1.Achieving the desired 99% yield at the given contactdensity implies a failure rate of 10�11 or less. Assum-ing Gaussian statistics for the printed contact-sizevariation, this failure rate corresponds to width de-viation of greater than 4.5�; thus �W must be lessthan or equal to 0.67 nm [10% of the critical dimen-sion (CD) divided by 4.5]. Applying the equationsabove, we obtain the rms mask-roughness limit of0.15 nm within the frequency-range limit set by thecontact size. This compares favorably with currentsubstrate manufacturing capabilities combined withion-assisted multilayer deposition techniques,22,23 inwhich recent results have yielded rms roughnesses ofapproximately 0.084 nm within a frequency band cov-ering periods of 120 nm and smaller. Figure 2 showsthe power spectral density (PSD) from a representa-tive state-of-the-art EUV mask blank.

Another potential area of concern for mask rough-ness is the effect it might have on the printed contactprocess-window error budget. Given all the otherprocess-window constraints, such as focus, dose,mask defects, mask CD errors, shot noise, it would bepreferable for the mask-roughness term to be essen-tially negligible. Because the mask-roughness-induced CD error cannot be assumed to beuncorrelated with some of the significant full-fielderror sources, such as defocus and dose, a safe limitfor the mask-roughness term might be 5% of the totalerror budget. Given a 10% 3� process-window toler-ance, the total error budget for 30-nm contacts is 3nm. Thus the total acceptable mask-roughness-induced 3� printed size variation would be 0.15 nm.This corresponds to a �W that is less than or equal to0.05 nm. By use of the system parameters describedin the above example, the rms mask-roughness limitwithin the frequency limit set by the contact size isnow 0.045 nm, which is approximately a factor of 2

better than current fabrication limits. At current fab-rication limits (approximately 0.084-nm intracontactrms roughness for the CD and reduction factor con-sidered here), the mask roughness would account fora total of 0.53-nm 3� printed contact-size variation,which is a significant fraction of the total error bud-get.

4. Computer Modeling Study and Validation

The problem of mask-roughness-induced printedcontact-size variation can also be studied through acomputer-modeling approach as previously de-scribed with respect to LER analysis.7 The model-ing relies on calculation of the partially coherentimage-formation equations21 coupled with a random-phase object description of the mask. Aberrations anddefocus are readily accounted for through the descrip-tion of the modeled imaging system pupil function.Commercial examples of programs that provide thisaerial-image modeling capability include PROLITH24

and SOLID-C.25 This computer-modeling approachnot only provides a technique for more generalizedanalysis of the mask-roughness problem, it also veri-fies the analytical approach presented above.

For the modeling discussed below, a 4� reduction,0.25-N.A. EUV optical system is assumed. The phaseroughness used to represent the mask is generatedaccording to the PSD in Fig. 2. A 5 � 5 field of con-tacts with a 3-to-1 pitch-to-CD ratio is modeled. Theaerial-image modeling has an image-space pixel res-olution of 1.0 nm. The continuous aerial image isbinarized to model an ideal resist process. The bina-rization threshold is selected to achieve the coded CDof 30 nm at best focus. The rms variation in thebest-fit diameter of each of the 25 printed contacts isthen determined. Figure 3 shows a plot of the mod-eled rms printed contact-size variation for 30-nm con-tacts through focus. To improve the statistics of themodeling, the simulation is performed 11 times, eachtime with an independent realization of the mask

Fig. 2. Power spectral density (PSD) from a representative state-of-the-art EUV mask blank.

Fig. 3. Plot of the modeled rms printed contact-size variation for30-nm contacts through focus. To improve the statistics of themodeling, the simulation is performed 11 times, each time with anindependent realization of the mask roughness. The error bars arederived from the rms spread of the 11 simulations. Also shown isthe through-focus behavior determined analytically by use of theanalysis presented here.

186 APPLIED OPTICS � Vol. 44, No. 2 � 10 January 2005

roughness. The error bars are derived from the rmsspread of the 11 simulations. Note that the additionalerror source that arises from trying to determine anrms variation from a sample set of only 25 contactswas found to be insignificant compared with the vari-ations induced by the different realizations of themask roughness. Also shown in Fig. 3 is the through-focus behavior determined analytically by use of theanalysis presented here. The comparison demon-strates good agreement with the simulation results.

The underlying basis for the analytical approachpresented here is that the illumination coherencearea is larger than the contact features of interest,allowing a coherent random-walk summation to beused to represent the intensity of the imaged contact.This implies that partial coherence should have littleaffect on the results. This assertion can be testedthrough simulation. By use of the same simulationparameters as described above, Fig. 4 shows a plot ofthe modeled rms printed contact-size variation for90-nm defocus as a function of partial coherence.Again, 11 independent simulations are performed foreach coherence setting to improve the statistics. Theerror bars are determined as described above. Ignor-ing the outlier at a partial coherence of 0.1, the mod-eled data suggest only a slight downward trend inprinted size variation as the partial coherence in-creases. This trend, however, appears to be insignif-icant compared with the error bars. This resultdiffers markedly from the mask-roughness-inducedLER situation,5–7 in which partial coherence has asignificant effect on the LER. The analytical ap-proach, on the other hand, predicts a gradually in-creasing trend with partial coherence. This trendarises from the dependence of the normalized aerial-image slope �dI�dW� on the partial coherence. Nev-ertheless, the two methods agree within statisticaluncertainty of the modeled data, except for the 0.1partial-coherence case. The potential breakdown of

the analytical approach at this low partial-coherencesetting (large illumination coherence area) may bedue to the fact that the method does not account forcontributions from adjacent contacts. At a partial co-herence of 0.1, the coherence area is large enough tocover several adjacent contacts, an effect that is ac-counted for in the computer modeling but not in theanalysis presented here.

5. Print-Based Extreme-Ultraviolet Study

Recent EUV exposure tests performed at a variable-coherence static-exposure station installed at Law-rence Berkeley National Laboratory26 were used tocharacterize the performance of a 0.1-N.A. full-fieldEUV optic27 (the EUV engineering test stand28 Set-2optic). Exposures collected during these tests serve asa valuable source of data for comparison with EUVmodeling and analysis research such as presentedhere. Analysis of contact prints from this systemshows a significant amount of printed contact-sizevariation. Figure 5 shows a 10 � 10 field of 70-nmcontacts on a 210-nm pitch printed with an illumina-tion partial coherence of 0.7. The exposure reveals arms printed contact-size variation of 4.9 nm. It isimportant to note that the variation is reproducible,including the specific size relationship of the variouscontacts. This indicates that the variation is notcaused by shot noise4 but rather by a systematic ef-fect such as the mask roughness studied here.

Another potential systematic error source iscontact-size variation on the mask. Analysis of themask contact-size variation for the mask used toprint the contacts in Fig. 5, combined with a calcu-lated mask-error enhancement factor of 3 for the pa-rameters described above, yields an expected printedcontact-size variation of 1.8 nm, almost a factor of 3smaller than that observed experimentally. Thusother systematic effects must also be contributing tothe observed variation.

To assess the potential importance of the mask-roughness effect presented here, details on maskroughness are required. An atomic force microscopeimage of the reflector surface of the mask used inthese prints is shown in Fig. 6. With a rms roughness

Fig. 4. Plot of the modeled rms printed contact-size variation on30-nm contacts for 90-nm defocus as a function of partial coher-ence. Again, 11 independent simulations are performed for eachcoherence setting to improve the statistics. The error bars aredetermined as described in Fig. 3. Also shown is the analyticallydetermined behavior. The two methods agree within statisticaluncertainty of the modeled data, except for the 0.1 partial-coherence case.

Fig. 5. Field of 70-nm contacts printed by use of the 0.1-N.A. ETSSet-2 optic in the Berkeley Static Exposure Station. The illumina-tion partial coherence was 0.7. The exposure reveals a rms printedcontact-size variation of 4.2 nm.

10 January 2005 � Vol. 44, No. 2 � APPLIED OPTICS 187

of 0.54 nm, this older-generation mask is significantlyrougher than the current state-of-the-art mask rep-resented by the PSD in Fig. 2. Moreover, this older-generation mask did not employ advanced smoothingtechniques, meaning that the correlation area is alsosignificantly smaller. The intracontact correlation di-ameter is approximately 30 nm, yielding a value of111 for Ac�Ar in the case of 70-nm contact on a 4 �

mask. Based on the parameters above, dI�dW can beshown to be 0.009 nm�1, yielding a rms contact-sizevariation ��W� of 3.2 nm. With the assumption thatthis error is uncorrelated with the mask contact-sizevariation error, the total predicted printed contact-size variation becomes 3.7 nm, which is consistentwith the observed results. The remainder of the ob-served error, not accounted for in the analysis pre-sented here, may be due to contact-to-contactintensity variations that arise from footing or resid-ual contamination variations at the bottom of thecontacts as result of an imperfect etch process.

6. Summary

The problem of mask-reflection surface roughnesscoupling to printed contact-size variation has beenanalyzed from the point of view of a random phasorsum. Good agreement between analysis and indepen-dent computer modeling demonstrates the validity ofthis approach. The results show that, unlike mask-roughness-induced LER, the contact-size-variationeffect is not sensitive to illumination coherence incontacts that are near the diffraction limit. Analysishas also shown that, given the current state-of-the-

art EUV mask, mask roughness will have a signifi-cant effect on the process window for small contacts.An approximate factor-of 2 improvement in maskroughness would be necessary to minimize this effect.Finally, the analysis also shows that observedcontact-size variation in ETS-based static exposuresis consistent with the hypothesis that this variationcomes, at least in part, from the mask-roughness ef-fect studied here.

This research was performed at the LawrenceBerkeley National Laboratory and was supported bythe Extreme Ultraviolet Limited Liability Companyand by International Sematech. The LawrenceBerkeley National Laboratory is operated under theauspices of the Director, Office of Science, Office ofBasic Energy Science, of the U.S. Department ofEnergy.

References1. R. Stulen and D. Sweeney, “Extreme ultraviolet lithography,”

IEEE J. Quantum Electron. 35, 694–699 (1999).2. H. Meiling, J. Benschop, R. Hartman, P. Kürz, P. Høghøj, R.

Geyl, and N. Harned, “EXSTATIC: ASML’s �-tool developmentfor EUVL,” in Emerging Lithographic Technologies VI, R. L.Engelstad, ed., Proc. SPIE 4688, 1–10 (2002).

3. K. Hamamoto, T. Watanabe, H. Hada, H. Komano, S. Kish-imura, S. Okazaki, and H. Kinoshita, “Fine pattern replicationon 10 � 10-mm exposure area using the ETS-1 laboratory toolin HIT,” in Emerging Lithographic Technologies VI, R. L. En-gelstad, ed., Proc. SPIE 4688, 664–671 (2002).

4. S. Lee, R. Bristol, and J. Bjorkholm, “Shot noise effect onprinting small contacts in EUVL,” in Emerging LithographicTechnologies VII, R. L. Engelstad, ed., Proc. SPIE 5037, 890–899 (2003).

5. N. Beaudry and T. Milster, “Effects of mask roughness andcondenser scattering in EUVL systems,” in Emerging Litho-graphic Technologies III, Y. Vladimirsky, ed., Proc. SPIE 3676,653–662 (1999).

6. N. Beaudry and T. Milster, “Effects of object roughness onpartially coherent image formation,” Opt. Lett. 25, 454–456(2000).

7. P. Naulleau, “Relevance of mask-roughness-induced printedline-edge roughness in recent and future extreme-ultravioletlithography tests,” Appl. Opt. 43 4025–4032 (2004).

8. J. W. Goodman, Statistical Optics (Wiley, New York, 1985),Chap. 7, pp. 286–360.

9. E. Gullikson, C. Cerjan, D. Stearns, P. Mirkarimi, and D.Sweeney, “Practical approach for modeling extreme ultravioletlithography mask defects,” J. Vac. Sci. Technol. B 20, 81–86(2002).

10. J. H. Underwood and T. W. Barbee, Jr., “Layered syntheticmicrostructures as Bragg diffractors for x rays and extremeultraviolet: theory and predicted performance,” Appl. Opt. 20,3027–3034 (1981).

11. D. Stearns, “Stochastic model for thin film growth and ero-sion,” Appl. Phys. Lett. 62, 1745–1747 (1993).

12. D. Stearns and E. Gullikson, “Nonspecular scattering fromextreme ultraviolet multilayer coatings,” Physica B 283,84–91 (2000).

13. Z. Zhengrong, K. Lucas, J. Cobb, S. Hector, and A. Strojwas,“Rigorous EUV mask simulator using 2D and 3D waveguidemethods,” in Emerging Lithographic Technologies VII, R. L.Engelstad, ed., Proc. SPIE 5037, 494–503 (2003).

14. A. Erdmann, C. Kalus, T. Schmoller, and A. Wolter, “Efficientsimulation of light diffraction from three-dimensional EUV

Fig. 6. Atomic force microscope image of the reflector surface ofthe mask used to print the contacts in Fig. 5. With a rms roughnessof 0.54 nm, this older-generation mask is significantly rougherthan the current state-of-the-art mask represented by the PSD inFig. 2.

188 APPLIED OPTICS � Vol. 44, No. 2 � 10 January 2005

masks using field decomposition techniques,” in EmergingLithographic Technologies VII, R. L. Engelstad, ed., Proc. SPIE5037, 482–493 (2003).

15. T. Pistor, T. Y. Deng, and A. Neureuther, “Extreme ultravioletmask defect simulation: Low-profile defects,” J. Vac. Sci. Tech-nol. B 18, 2926–2929 (2000).

16. J. W. Goodman, Statistical Optics (Wiley, New York, 1985),Appendix B, 533–538.

17. J. W. Goodman, Statistical Optics (Wiley, New York, 1985),Chap. 2, pp. 7–59.

18. P. Mirkarimi, E. Spiller, D. Stearns, V. Sperry, and S. Baker,“An ion-assisted Mo–Si deposition process for planarizing ret-icle substrates for extreme ultraviolet lithography,” IEEE J.Quantum Electron. 37, 1514–1516 (2001).

19. T. Ogawa, M. Ito, H. Yamanashi, H. Hoko, E. Hoshino, and S.Okazaki, “Simulation studies of roughness-smoothing effect ofmolybdenum/silicon multilayer coating based on resputteringmodel,” in Emerging Lithographic Technologies VI, R. L. En-gelstad, ed., Proc. SPIE 4688, 716–724 (2002).

20. S. Hector, “EUVL masks: requirements and potential solu-tions,” in Emerging Lithographic Technologies VI, R. L. En-gelstad, ed., Proc. SPIE 4688, 134–149 (2002).

21. J. W. Goodman, Statistical Optics (Wiley, New York, 1985),Chap. 7, pp. 286–360.

22. P. Mirkarimi, E. Spiller, S. Baker, V. Sperry, D. Stearns, andE. Gullikson, “Developing a viable multilayer coating processfor extreme ultraviolet lithography relicles,” J. Microlithogr.,Microfabr., Microsyst. 3, 139–145 (2004).

23. E. Spiller, S. Baker, P. Mirkarimi, V. Sperry, E. Gullikson, and

D. Stearns, “High-performance Mo-Si multilayer coatings forextreme-ultraviolet lithography by ion-beam deposition,” Appl.Opt. 42, 4049–4058 (2003).

24. PROLITH is a registered trademark of KLA-Tencor Corpora-tion, 160 Rio Robles, San Jose, Calif. 95134.

25. SOLID-C is a registered trademark of SIGMA-C GmbH,Thomas-Dehler-Str. 9, 81737 München, Germany.

26. P. Naulleau, K. Goldberg, E. Anderson, D. Attwood, P. Batson,J. Bokor, P. Denham, E. Gullikson, B. Harteneck, B. Hoef, K.Jackson, D. Olynick, S. Rekawa, F. Salmassi, K. Blaedel, H.Chapman, L. Hale, P. Mirkarimi, R. Soufli, E. Spiller, D.Sweeney, J. Taylor, C. Walton, D. O’Connell, R. Stulen, D.Tichenor, C. Gwyn, P. Yan, and G. Zhang, “Sub-70-nm EUVlithography at the Advanced Light Source Static MicrofieldExposure Station using the ETS Set-2 Optic,” J. Vac. Sci.Technol. B 20, 2829–2833 (2002).

27. D. W. Sweeney, R. Hudyma, H. N. Chapman, and D. Shafer,“EUV optical design for a 100-nm CD imaging system,” inEmerging Lithographic Technologies II, Y. Vladimirsky, ed.,Proc. SPIE 3331, 2–10 (1998).

28. D. O’Connell, S. Lee, D. Tichenor, W. Ballard, L. Bernardez,J. Goldsmith, S. Haney, K. Jefferson, T. Johnson, A. Leung,W. Replogle, J. Bjorkholm, E. Panning, P. Naulleau, H.Chapman, S. Wurm, G. Kubiak, and C. Gwyn, “Lithographiccharacterization of improved projection optics in the EUVLEngineering Test Stand,” in Emerging Lithographic Tech-nologies VII, R. L. Engelstad, ed., Proc. SPIE 5037, 83–94(2003).

10 January 2005 � Vol. 44, No. 2 � APPLIED OPTICS 189