control ad as

17
1 TEMA 1: EL SIMULADOR PSPICE 1. Introducción. 2. Arquitectura de o peración del Pspice. 2.1. Ficheros y librerías de modelos. 3. Dibujando el circuito utilizando Schematics. 3.1. Situando componentes. 3.2. Conexiones. 3.3. Repetición de la última acción. 3.4. Movimiento de los símbolos. 3.5. Edición de los atributos de cada símbolo. 3.6. Componentes analógicos. 3.6.1. Componentes breakout. 3.6.2. Componentes pasivos principales. 3.6.3. Dispositivos semiconductores y subcircuitos. 3.6.4. Conmutador ideal. 3.7. Fuentes de excitación. 3.7.1. Fuentes independientes. 3.7.2. Atributos de las fuentes independientes. 3.7.3. Editor de estímulos. 3.7.4. Fuentes controladas. 3.7.5. Fuentes controladas de comportamiento  programable. 4. Tipos de análisis. 5. Variables de salida.

Upload: mauricio-flores

Post on 19-Jul-2015

21 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 1/17

1

TEMA 1: EL SIMULADOR PSPICE

1. Introducción.

2. Arquitectura de operación del Pspice.

2.1. Ficheros y librerías de modelos.

3. Dibujando el circuito utilizando Schematics.3.1. Situando componentes.

3.2. Conexiones.

3.3. Repetición de la última acción.

3.4. Movimiento de los símbolos.

3.5. Edición de los atributos de cada símbolo.

3.6. Componentes analógicos.

3.6.1. Componentes breakout.

3.6.2. Componentes pasivos principales.

3.6.3. Dispositivos semiconductores y subcircuitos.

3.6.4. Conmutador ideal.3.7. Fuentes de excitación.

3.7.1. Fuentes independientes.

3.7.2. Atributos de las fuentes independientes.

3.7.3. Editor de estímulos.

3.7.4. Fuentes controladas.

3.7.5. Fuentes controladas de comportamiento

programable.

4. Tipos de análisis.

5. Variables de salida.

Page 2: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 2/17

2

Tema 1: El simulador PSpice

1. Introducción.

SPICE: Simulation Program with Integrated Circuits Emphasis

Fue desarrollado en 1972 en la Universidad de Berkeley.

SPICE2: versión mejorada, desarrollada en 1975.

PSpice: Versión adaptada a PC’s con simulador - analógico

- digital

Vamos a utilizar la Versión de Evaluación 6.2. Por ser de evaluación presenta las

siguientes limitaciones:

- No podemos tener más de 64 nodos en el circuito (caso

analógico)

- No podemos tener más de 25 partes por página.

- No podemos tener más de 10 transistores.

- 3 ó 4 amplificadores operacionales pueden dar un mal

funcionamiento.

- Sólo pueden estar seleccionados 12 ficheros de librería .LIB

(de modelos) o .SLB (de símbolos) como máximo.

Los programas utilizados dentro del entorno PSpice son:

- Schematics: Editor Gráfico de Circuitos.

- PSpice: Simulador de Circuitos analógicos, digitales y

analógico/digitales.

- Probe: Analizador gráfico de formas de onda para ver y

manipular los resultados de la simulación PSpice. Sería el

equivalente al osciloscopio.

- Stimulus Editor: Para la generación de estímulos

(generadores) tanto analógicos como digitales.

- Parts: Para la creación de modelos de dispositivos

semiconductores y definición de subcircuitos.

El circuito es dibujado utilizando Schematics. Los símbolos, con sus

correspondientes modelos y definiciones de subcircuito que describen sus

características eléctricas, son situados y conectados en el esquemático.

Para realizar la simulación del circuito este debe ser guardado previamente.

Mediante la opción Análysis - Setup se define el tipo y características de la

simulación a realizar. Seguidamente ya se puede realizar la simulación con Analysis -

Run Probe.

Page 3: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 3/17

3

2. Arquitectura de operación del PSpice.

Schematics proporciona a PSpice una descripción de los dispositivos

en el circuito y de como están conectados a través del Conjunto de Ficheros de

Circuito ( Circuit File Set). Todos estos ficheros del Circuit File Set tienen el mismonombre que el del esquemático (<nombre>.sch) pero con una extensión distinta, que

nos dice de qué fichero se trata.

PSpice interpreta la información del Circuit File Set junto con los modelos y

subcircuitos del Model Library y otros modelos definidos para un esquemático

particular.

Al realizar la simulación, PSpice produce el fichero de datos del Probe y el

fichero de salida PSpice. El fichero de datos del Probe es leído por el Probe

permitiendo visualizar las trazas y resultados. El fichero de salida del PSpice puede

ser observado directamente por el usuario.

a) Circuit File Set.

Está compuesto por los siguientes ficheros:

- fichero de circuito primario (<nombre>.cir) que contiene los

comandos de análisis, control en la simulación y referencias

a los ficheros necesarios para que el circuito pueda ser

simulado.

- fichero netlist (<nombre>.net), el cual describe los

componentes y conexiones en el circuito.

Podemos observar dicho fichero con Analysis / Examine Netlist.

- fichero alias (<nombre>.als) que proporciona un enlace entre

el Schematics con sus partes (“Parts”) y nombres de pines

(“pin names”) y el PSpice con sus dispositivos y nombres de

nodo (enlace símbolo - definición eléctrica de este).

La generación del Circuit File Set es automática utilizando los comandos

Analysis/Create Netlist o Analysis/Run Probe.

b) Fichero de datos del Probe. (<nombre>.dat)

Contiene los resultados de la simulación para ser visualizados y manipulados

interactivamente con el programa de análisis gráfico de formas de onda, Probe.

c) Fichero de salida PSpice. (<nombre>.out)

Se trata de un fichero texto ASCII que contiene una lista y tablas para

describir el cicuito de entrada, las directivas de análisis, y los resultados de las

simulaciones especificadas.

Podemos observar dicho fichero con Analysis / Examine Output.

Page 4: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 4/17

4

d) Model Library.(Symbol Library y Package Library)

PSpice está dotado de una librería de modelos (Model Library) conteniendo un

conjunto de ficheros con las definiciones de los más comunes dispositivos analógicosy digitales. Estas definiciones de dispositivos pueden ser de dos tipos: modelos de

dispositivos (definición eléctrica de dicho dispositivo) y subcircuitos (agrupaciones

funcionales de componentes cuyos elementos pueden ser conectados en circuitos

externos). Cada definición corresponde a un símbolo en la librería de símbolos

(Symbol Library) y en la mayoría de los casos, una definición de encapsulado en la

librería de encapsulados (Package Library).

Se pueden definir los propios modelos y subcircuitos de dos formas:

1) Utilizando el “Model Editor” en Schematics.

2) Utilizando la utilidad “Parts”.

e) Custom Include Files.

Son ficheros definidos por el usuario conteniendo entre otros:

- definiciones de modelos en la utilidad Parts.

- funciones definidas por el usuario que son utilizadas en

expresiones numéricas.

2.1. Ficheros y librerías de modelos

Ficheros de modelos

La Model Library está compuesta por un conjunto de ficheros texto ASCII que

contienen las definiciones de modelo y de subcircuito. Por convenio, estos nombres

de fichero tienen la extensión .LIB. Estos ficheros tienen, típicamente, una

correspondencia uno a uno con los ficheros que componen la Symbol Library (con

extensión .SLB).

Los ficheros de modelos contienen solamente definiciones de modelos

(utilizando el comando .MODEL), de subcircuito (utilizando la construcción

.SUBCKT y .ENDS), comentarios (“*” en la primera columna de cada fila),

parámetros (utilizando el comando .PARAM) y referencias a otras declaraciones de

modelo (utilizando .LIB).

Según convenio PSpice, los nombres de las partes analógicas siempre

empiezan con una letra que refleja el tipo de dispositivo. Por ejemplo: “Q” para

transistor bipolar. Así, el transistor conocido comercialmente como “2N2222” se

llama “Q2N2222” en la Symbol Lybrary y en la Model Library. Para un diodo esta

letra identificativa es la D. En el caso de definición de subcircuitos esta letra es

siempre “X”.

Ficheros índice.

Page 5: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 5/17

5

Los ficheros de modelos que están configurados como ficheros librería

(excepto los ficheros Include) son únicamente referenciados desde otros ficheros en el

esquemático dado que tienen un fichero índice asociado. El fichero índice es utilizado

por el PSpice para localizar las definiciones de modelo de dispositivos y subcircuitos

sin tener que leer cada definición en el fichero de modelos.

Antes de buscar un fichero de modelos, Pspice busca un fichero índice en elmismo directorio. Si no encuentra uno, o si el fichero de modelos ha sido modificado,

construye uno automáticamente. El fichero índice tiene el mismo nombre que el

fichero de modelos, pero con extensión .IND.

Ficheros de modelo locales y globales

En Analysis / “Library and Include Files” se muestran tres listas conteniendo

los ficheros de modelo, includes y estímulos de cada esquemático. En cada linea se

especifica un fichero.

En la instalación del programa Pspice todos los ficheros de modelos son

añadidos a la lista con carácter global . Si hacemos Analysis / Library and Include

Files vemos como aparece nom.lib* en la lista de ficheros de modelos (Library Files).

El asterisco a la derecha del nombre del fichero indica que “nom.lib” tiene caracter

global pudiendo ser utilizado por todos los esquemáticos.

Pueden ser añadidos nuevos ficheros a las listas mediante el campo File Name y

entonces teclear Add Library, Add Include, Add Stimulus, Add Library*, Add

Include* o Add Stimulus*. Los comandos con asterisco configuran el fichero como un

fichero global.

Al teclear Delete se borra el fichero seleccionado de la lista, con lo que el

fichero deja de poder ser utilizado por el esquemático. Si tecleamos en Change se

copia el fichero seleccionado desde la lista al campo de edición y se borra de la lista, permitiendo modificarlo. Con Browse se nos conduce a un diálogo permitiendo

buscar en la estructura del directorio y seleccionar un nombre de fichero.

Para asegurar que los ficheros de modelos añadidos serán localizados hay que

tener en cuenta que PSpice primero busca en el directorio en el que reside el

esquemático y seguidamente en los directorios especificados en el campo “Library

Path” de Options/Editor Configuration.

Por ello, o bien se sitúan todos los ficheros de modelos en el directorio de

librería estándar, o bien se actualiza el campo Options/Editor Configuration / Library

Path, o bien se utiliza el camino completo al añadir un fichero de modelos en Library

and Include Files. En el caso en que, para la localización de los ficheros, se requiera

más de un directorio, los paths de los directorios pueden ser listados en el campo

Library Path (separados por ;).

Los ficheros de librería con extensión .SLB (de símbolos) y .PLB (de

encapsulado) se dan de alta en Options/Editor Configuration/Library Settings.

Page 6: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 6/17

6

3. Dibujando el circuito utilizando Schematic

3.1. Situando componentes.

Cuando se empieza a dibujar un esquemático, lo primero que hacemos essituar los distintos símbolos en la página del esquemático.

Para seleccionar un símbolo hacemos:

Draw/Get New Part (ó <CTRL G>)

Si seleccionamos Browse podemos observar los distintos ficheros que

componen la Symbol Library. Si seleccionamos un fichero de la lista, su contenido

puede observarse en la lista Parts.

El componente seleccionado aparecerá en el campo Part Name. Seleccionamos

OK para situarlo en el esquematico.

El símbolo de este componente seleccionado podrá ser situado en el

esquemático tantas veces como se desee. Cada vez que tecleamos la parte izquierda

del ratón tenemos un nuevo símbolo. Para acabar tecleamos dos veces o una vez a la

derecha.

3.2. Conexiones.

Para colocar las conexiones entre componentes seleccionamos Draw/Wire (ó

<CTRL W>). Tecleando una vez, empieza la conexión, extendemos la conexión la

longitud deseada y hasta la posición requerida, entonces tecleamos de nuevo para

finalizar el segmento y automáticamente empieza un nuevo segmento. Con dobleclick (o una vez a la derecha) finalizamos la acción. Sin embargo, para continuar

dibujando conexiones, podemos teclear dos veces la parte derecha del ratón o

<SPACE>.

A la hora de dibujar las conexiones hay dos opciones interesantes:

Rubberband y Orthogonal.

Si seleccionamos Options/Display Options [X] Rubberband. Esta opción

permite mantener las conexiones entre las partes cuando estas se mueven en la página.

Si seleccionamos Options/Display Options [X] Orthogonal las conexiones

aparecerán siempre como líneas horizontales y verticales. Por defecto, Orthogonal

está a “ON” y Rubberband a “OFF”.

3.3. Repetición de la última acción.

Para repetir la última acción existen dos opciones:

• Doble click en la parte derecha del ratón.

• <SPACE> (barra espaciadora).

Page 7: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 7/17

7

3.4. Movimiento de los símbolos

• Edit/Flip (ó <CTRL F>): se produce una imagen especular del símbolo.

• Edit/Rotate (ó <CTRL R>): rota el símbolo 90º en el sentido de las agujas

de reloj.

3.5. Edición de los atributos de cada símbolo.

Cada símbolo de la Symbol Library tiene una lista de atributos. Si

seleccionamos un componente y tecleamos dos veces en este nos aparecerá la lista de

atributos que lo definen.

Los atributos con “*” no pueden ser ni cambiados ni borrados en este editor de

Schematics. Sólo pueden ser cambiados en el Symbol Editor.

Se puede cambiar la manera en que un símbolo y sus atributos aparecen en el

esquemático tecleando Change Display. Los cambios realizados no tienen efecto en elfichero librería de símbolos, únicamente en el fichero esquemático.

3.6. Componentes analógicos.

La Symbol Library proporciona un extenso conjunto de componentes (Parts)

analógicos y digitales para ser utilizados en nuestro diseño.

3.6.1. Componentes Breakout. (fichero breakout.slb)

Schematics proporciona un conjunto de componentes “breakout”( transistores,

conmutadores, diodos, etc). Por defecto, el nombre del modelo es el mismo que el de

la parte, con todos los parámetros del modelo del dispositivo puestas al valor por defecto. Estos parámetros pueden ser cambiados a nuestra conveniencia, con lo cual

podemos crear nuevos modelos.

3.6.2. Componentes pasivos principales.

Componente Símbolo Atributos Descripción Librería

Capacidad C VALUE capacitancia (F) analog.slb

IC tensión inicial

Inductor L VALUE inductancia (H) analog.slbIC corriente inicial

Resistencia R VALUE resistencia (Ω) analog.slb

Transformador XFRM_LINEAR L1_VALUE inductancia primario

L2_VALUE inductancia secundario analog.slb

COUPLING acoplo (0-1)

XFRM_NONLINEAR L1_TURNS nº vueltas primario

L2_TURNS nº vueltas secundario breakout.slb

COUPLING acoplo (0-1)

MODEL modelo del nucleo

Page 8: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 8/17

8

3.6.3.Dispositivos semiconductores y subcircuitos.

Podemos encontrar distintos tipos de dispositivos comerciales tales como:

transistores bipolares, diodos (de poca potencia), JFET, Mosfet, amplificadores

operacionales, comparadores, tiristores, triacs, optoacopladores y temporizador 555en la librería EVAL.SLB.

3.6.4. Conmutador ideal

Componente Símbolo Librería

Conmutador controlado por tensión Sbreak breakout.slb

Conmutador controlado por corriente Wbreak breakout.slb

Los parámetros del modelo del conmutador controlado por tensión son los

siguientes:

• RON : Resistencia entre los nodos de salida del conmutador

en el estado ON (1Ω por defecto).

• ROFF : Resistencia entre los nodos de salida del

conmutador en el estado OFF (1MΩ por defecto).

• VON : tensión de control para estado ON (1V por defecto).

• VOFF : tensión de control para estado OFF (0V por

defecto).

La resistencia entre los nodos de salida depende de la tensión entre los nodos

de control.

La resistencia varía continuamente entre RON y ROFF; siendo RON para

VON y ROFF para VOFF.

Los parámetros del modelo del conmutador controlado por corriente son los

siguientes:

• RON : Resistencia entre los nodos de salida del conmutador

en el estado ON (1Ω por defecto).• ROFF : Resistencia entre los nodos de salida del

conmutador en el estado OFF (1MΩ por defecto).

• ION : corriente de control para estado ON (1mA por

defecto).

• IOFF : corriente de control para estado OFF (0mA por

defecto).

La resistencia entre los nodos de salida del conmutador depende de la

corriente de control.

La resistencia varía continuamente entre RON y ROFF; siendo RON para ION

y ROFF para IOFF.

Page 9: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 9/17

9

3.7. Fuentes de excitación.

3.7.1. Fuentes independientes (source.slb)

a) De tensión.

• VSRC: fuente genérica.

• VEXP: varía de forma exponencial

• VPULSE: Pulso

• VPWL: lineal a tramos.

• VPWL_ENH: lineal a tramos / repetidas veces.

• VPWL_FILE: lineal a tramos / fichero.

• VSFFM: FM, modulada en frecuencia.

• VSIN: Onda seno.

b) De corriente.

• ISRC: fuente genérica.

• IEXP: varía de forma exponencial

• IPULSE: Pulso

• IPWL: lineal a tramos.

• IPWL_ENH: lineal a tramos / repetidas veces.

• IPWL_FILE: lineal a tramos / fichero.

• ISFFM: FM, modulada en frecuencia.

• ISIN: Onda seno.

3.7.2. Atributos de las fuentes independientes

a) VSRC (ISRC)

• DC: Valor de continua

• AC: Especificaciones de alterna <magnitud> [fase]

• TRAN: Especificaciones transitorias

<palabra_clave> (<parámetros>) donde la palabra clave es

EXP, PULSE, PWL, SFFM o SIN, y los parámetros deben

ser listados en el mismo orden que los de las fuentes del

mismo nombre mostradas más adelante.

b) VEXP (IEXP)

• DC, AC: Igual que en VSRC e ISRC.

• V1 (I1): tensión inicial (corriente inicial).

• V2 (I2): tensión de pico (corriente de pico).

• TD1: tiempo de retraso hasta el flanco de subida.

• TC1: constante de tiempo del flanco de subida.

• TD2: tiempo de retraso hasta el flanco de bajada.• TC2: constante de tiempo del flanco de bajada.

Page 10: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 10/17

10

c) VPULSE (IPULSE)

• DC, AC: Igual que en VSRC e ISRC.

• V1 (I1): tensión inicial (corriente inicial).

• V2 (I2): tensión final (corriente final).

• TD : tiempo de retardo.

• TR : tiempo de subida.

• TF : tiempo de bajada.

• PW : anchura del pulso.

• PER : periodo.

d) VPWL (IPWL)

• DC, AC: Igual que en VSRC e ISRC.

• T1, V1, T2,V2,…, Tn, Vn

(T1, I1, T2, I2,…, Tn, In)

Tn: tiempo (segundos)

Vn (In): tensión (corriente) en Tn

e) VPWL_ENH (IPWL_ENH)

• DC, AC: Igual que en VSRC e ISRC.

•TSF : factor de escala de tiempos (los valores de tiempo dela lista se multiplican por ese factor).

• VSF : factor de escala de valores (los valores de tensión -

corriente- de la lista se multiplican por este factor).

• FIRST- nPAIRS SECOND - nPAIRS => listas de valores (Tn, Vn -In-)

THIRD - nPAIRS donde los paréntesis y comas son

opcionales.

• REPEAT_VALUE : - En blanco: no se repiten segmentos

- FOR <n repeticiones> (se repiten las

tres listas de valores n veces)- FOREVER

f) VPWL_FILE (IPWL_FILE)

• DC, AC: Igual que en VSRC e ISRC.

• TSF : factor de escala de tiempos (los valores de tiempo de

la lista se multiplican por ese factor).

• VSF : factor de escala de valores (los valores de tensión -

corriente- de la lista se multiplican por este factor).

• FILE: fichero conteniendo los pares de puntos (Tn, Vn -In-)•REPEAT_VALUE : - En blanco: no se repiten segmentos

Page 11: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 11/17

11

- FOR <n repeticiones> (se repiten las

tres listas de valores n veces)

- FOREVER

g) VSFFM (ISFFM)

• DC, AC: Igual que en VSRC e ISRC.

• VOFF (IOFF): nivel de continua superpuesto a la onda de

alterna.

• VAMPL (IAMPL): Amplitud de pico de tensión (corriente).

• FC: frecuencia de la onda portadora.

• MOD: índice de modulación.

• FM: frecuencia de modulación.

h) VSIN (ISIN)

• DC, AC: Igual que en VSRC e ISRC.

• VOFF (IOFF): nivel de continua superpuesto a la onda de

alterna.

• VAMPL (IAMPL): Amplitud de pico de tensión (corriente).

• FREQ: frecuencia.

• TD: tiempo de retardo.

• DF: factor de amortiguamiento (para generar una sinusoide

amortiguada).

• PHASE: fase (la fase sólo tiene sentido si se referencia aotra de fase cero, aislada no nos dice nada).

Dependiendo del tipo de análisis (ver apartado 4) los atributos utilizados serán

unos u otros. Así, para análisis DC el valor que será tenido en cuenta es el valor de

continua especificado en el atributo DC, para análisis AC los atributos que se tienen

en cuenta son la amplitud y fase especificados en AC y para análisis transitorio se

tienen en cuenta las especificaciones transitorias (por ejemplo V1, V2, TD1, TC1,

TD2, TC2 en VEXP) que nos dan la variación de la fuente con el tiempo excepto para

el caso en que la tensión (o corriente) sea constante en que se tendrá en cuenta el

atributo DC de VSRC (ISRC).

3.7.3. Editor de estímulos

El editor de estímulos proporciona una manera interactiva de crear y editar

fuentes independientes (VSTIM, ISTIM en source.slb).

3.7.4. Fuentes controladas.

Las hay de cuatro tipos: control de tensión o corriente combinado con el tipo

de fuente que sea (de tensión o de corriente).

• V/V: fuente de tensión controlada por tensión (E en analog.slb).

Page 12: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 12/17

12

• I/V: fuente de tensión controlada por corriente (H en analog.slb).

• V/I: fuente de corriente controlada por tensión (G en analog.slb).

• I/I: fuente de corriente controlada por corriente (F en analog.slb).

El atributo GAIN es, dependiendo del caso, y respectivamente, la ganancia, la

transresistencia, la transconductancia o la ganancia en corriente.

3.7.5. Fuentes controladas de comportamiento programable (ABM,SLB).

Son bloques que pueden ser descritos funcionalmente por una expresión

matemática o una tabla.

• EVALUE, GVALUE: La salida es una función de la tensión a la entrada (EXPR).

Permiten la utilización de una función de transferencia que puede ser escrita

como una expresión matemática en notación estándar.La expresión (EXPR) puede contener constantes y parámetros tales como

tensiones, corrientes y tiempo. Las tensiones pueden corresponder a la tensión en un

nodo, tal como V(5), o la tensión a través de dos nodos, tal como V(4,5). Las

corrientes pueden ser corrientes a través de una fuente de tensión como por ejemplo

I(Vsense).

Esta expresión puede contener funciones tales como:

• ABS( ) : la salida es el valor absoluto de la entrada.

• SQRT( ) : la salida es la raiz cuadrada de la entrada.

• PWR (input, exp): la salida es el resultado de elevar el

valor absoluto de la entrada a la potencia especificada en

exp.

• PWRS (input, exp): la salida es el resultado de elevar el

valor de la entrada (con signo) a la potencia especificada en

exp.

• LOG ( ): La salida es el logaritmo neperiano de la entrada.

• LOG10( ): La salida es el logaritmo en base 10 de la

entrada.

• EXP ( ): La salida es ex donde x es la entrada.

• SIN ( ): La salida es el seno de la entrada (entrada en

radianes).• COS( ): La salida es el coseno de la entrada (entrada en

radianes).

• TAN ( ): La salida es la tangente de la entrada (entrada en

radianes).

• ATAN ( ) ó ARCTAN ( ): La salida es la TAN-1 de la

entrada en radianes.

Ejemplos:

EXPR = 5 * SQRT(V (%IN+, %IN-))

EXPR = 5 * PWR (V(%IN+, %IN-),2)

• ESUM, GSUM,EMULT, GMULT

Page 13: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 13/17

13

La salida es la suma o producto de las dos fuentes de entrada.

• ETABLE, GTABLE

Utilizan una función de transferencia descrita por una tabla.Tiene como parámetros:

TABLE

EXPR

Primeramente, EXPR es evaluada, siendo utilizada como entrada a la tabla.

La tabla consta de pares de valores, el primero de los cuales es la entrada y el segundo

es la correspondiente salida. Para valores de EXPR fuera del rango de la tabla, la

salida del dispositivo es una constante con un valor igual a la salida para la entrada

más grande (o más pequeña). Esta característica puede ser utilizada para imponer un

límite superior o inferior a la salida.Ejemplo:

EXPR = V(%IN+, %IN-) integrador de constante de

XFORM = 1/(1+0.001*S) tiempo 1ms

• ELAPLACE, GLAPLACE.

Utiliza una función de transferencia mediante la transformada de Laplace.

Tiene como parámetros:

XFORM

EXPR

La entrada a la transformada es el valor de la expresión (EXPR). XFORM es

una expresión en la variable de Laplace, s.

La salida depende del tipo de análisis hecho. Para DC, la salida es

simplemente el valor de la expresión multiplicado por la ganancia a frecuencia cero (

que no es más que el valor de XFORM para s=0).

Para AC, XFORM es calculada sustituyendo s por jw, donde w=2πf.

Para el análisis transitorio, el valor de EXPR es evaluado en el tiempo. La

salida es el producto de convolución de EXPR y la respuesta al impulso de XFORM.

• EFREQ, GFREQ.

Utiliza una función de transferencia descrita por una tabla de respuesta en

frecuencia.

Tiene como parámetros:

TABLE

EXPR

Este tipo de fuente es descrito por una tabla de respuestas en frecuencia. La

entrada a la tabla es el valor de EXPR (por defecto la caída de tensión entre los pines

de entrada).

La tabla contiene la magnitud en dB y la fase en grados de la respuesta paracada frecuencia. Se utiliza interpolación entre entradas. La fase es interpolada

Page 14: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 14/17

14

linealmente y la magnitud logarítmicamente. Para frecuencias fuera del rango de la

tabla, se utiliza magnitud cero.

La tabla de frecuencias debe estar ordenada de menor a mayor.

La salida depende del tipo de análisis realizado. Para DC, la salida es el

producto del valor de EXPR por la magnitud a frecuencia cero en la tabla. Para AC, la

salida a una frecuencia determinada es el producto de la ganancia de EXPR y el valor dado en la tabla, todo ello evaluado a dicha frecuencia.

Para el análisis transitorio, el valor de EXPR se evalúa en el tiempo. La salida

es el producto de convolución de EXPR por la respuesta al impulso de la función de

transferencia descrita por la tabla.

4. Tipos de análisis

Haciendo Analysis / Setup podemos observar los distintos tipos de análisis

posibles.

A) Análisis estándar. Los hay de varios tipos:

DC sweep: En este tipo de análisis se calculan los valores de tensiones, corrientes

y estados digitales del circuito en el estado estacionario cuando una determinada

fuente, parámetro de un modelo o temperatura varían dentro de un rango

determinado de valores.

Bias Point Detail: Adicional cálculo de datos. El cálculo de los datos es realizado

automáticamente por Pspice.

DC sensibility: Calcula la sensitividad de la tensión de un nodo a cada parámetro

de componente.

Transfer Function: Permite calcular ganancia, impedancia de entrada y de salida.

Respuesta transitoria (Transient). Se calculan las tensiones, corrientes y estados

digitales en el tiempo, en respuesta a fuentes variables con el tiempo.

Parámetros:

• Print Step: tiempo-paso-impresión. Es la resolución gráfica,

bien en la pantalla o en la impresora.

• Final Time: Tiempo final, hasta que el simulador calcula

(fondo de escala).

• No-Print-Delay: tiempo de no impresión. Tiempo a partir del cual podemos visualizar o volcar por impresora las

imágenes.

• Step Ceiling: resolución numérica.

Por defecto: tiempo final/50.

• Use Init. Conditions: Utilización de condiciones iniciales

(corriente inicial a través de la bobina o tensión inicial en el

condensador).

AC Sweep. Se calculan las tensiones y corrientes del circuito, tanto en magnitud

como en fase, cuando varía la frecuencia de una o varias fuentes. En consecuencia

se pueden obtener los diagramas de Bode (respuesta en frecuencia).

Page 15: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 15/17

15

A diferencia del análisis DC no se especifica una fuente de entrada. Sin

embargo, cada fuente independiente ha de tener sus propias especificaciones AC de

magnitud y fase.

En el caso de existir una única fuente alterna de entrada, lo habitual es esta

tenga una magnitud de 1V (para así calcular fácilmente la ganancia en tantos por uno

al medir la salida del circuito) y una fase cero. La fase es cero por defecto.En el análisis AC, las contribuciones de todas las fuentes (en el caso en que

haya varias) se propagan a través del circuito y se suman en todos los nodos.

El barrido puede ser: lineal, por octavas o por decadas entre “Start Freq” y

“End Freq”. “Pts/Decada” se refiere el número de puntos de medida entre “Start Freq”

y “End Freq”, o sea, la resolución.

Noise Analysis (dentro de AC Sweep) .

Junto con el análisis de la respuesta en frecuencia del circuito se puede

hacer un análisis de ruido. La contribución de cada generador de ruido es propagada a

un nodo de salida para cada frecuencia especificada en el análisis AC. En este tipo de

análisis, estas contribuciones propagadas, así como la suma RMS, son calculadas para

cada frecuencia.

Fourier Analysis (dentro de Transient).

Junto con el análisis de la respuesta transitoria puede obtenerse un análisis de

Fourier. En este se calculan las componentes de Fourier de los resultados del análisis

transitorio.

B) Simple Multi-run Analysis (multiples simulaciones)

Parametric: Se repite el análisis estándar (por ejemplo el transitorio) cuando un

parámetro, fuente o la temperatura de operación varía a través de una serie de valores.Tenemos un análisis estándar para cada valor.

Temperature: Se repite el análisis estándar cuando la temperatura de operación varía a

través de una lista de valores.

C) Análisis estadístico.

Monte Carlo: Calcula las variaciones que experimenta la respuesta del circuito

ante cambios en los valores de los componentes debido a la variación aleatoria de los

parámetros de los modelos de todos los dispositivos para los cuales se ha especificadouna tolerancia.

Sensitivity/Worst Case: Calcula la respuesta del circuito ante cambios en los

valores de los componentes por variación de un parámetro del modelo de un

dispositivo (que tiene definida una tolerancia), culminando en un único “run” en el

que los valores de los parámetros modelo de todos los dispositivos se han puesto en el

peor caso posible.

Page 16: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 16/17

16

5. Variables de salida.

Una vez realizada la simulación pasamos al Probe. En este hacemos Trace /

Add para visualizar una forma de onda. Vamos a ver las expresiones a utilizar para

visualizarlas.

1) Análisis DC y transitorio.

Forma general Significado Ejemplo

V(<nodo>) tensión en el nodo V(3); tensión entre

el nodo 3 y tierra

V(<+nodo>,<-nodo>) Caída de tensión V(3,2); caída de tensión

entre los nodos + y - entre los nodos 3 y 2.

V(<nombre>) Caída de tensión V(R1); caída de tensión

entre los dos terminales en la resistencia R1.

del dispositivo.

Vx (<nombre>) ó Caída de tensión VB(Q3) ó V(Q3:B): caída

V(<nombre>:x) del terminal x del de tensión de la base de Q3

dispositivo a tierra a tierra.

Vxy(<nombre>) Caída de tensión VGS(M13); caída de

entre los terminales tensión entre la puerta y lax e y de un fuente de M13

dispositivo de 3

ó 4 terminales.

I(<nombre>) Corriente a través I(D5); corriente a través

del dispositivo de D5

Ix(<nombre>) ó Corriente a través IG(J10) ó I(J10:G);

I(<nombre>:x) del terminal x de un corriente de puerta de

dispositivo de 3 ó 4 J10

terminales de salida.

D(<nombre>) Valor digital del nodo D(QA); valor del

digital indicado nodo digital QA

Page 17: Control Ad As

5/16/2018 Control Ad As - slidepdf.com

http://slidepdf.com/reader/full/control-ad-as 17/17

17

2) Análisis AC.

Para el análisis AC, a las variables de salida vistas anteriormente se les puede

añadir un sufijo:

Sufijo Significado Ejemplo

ninguno magnitud V(2,3); magnitud de la

tensión compleja entre los

nodos 2 y 3.

M magnitud VM(2); magnitud de la

tensión en el nodo 2.

DB magnitud en VDB ( R1 ); magnitud en

decibelios decibelios de la tensión a

través de R1.

P fase VBEP ( Q3); fase de la

caída de tensión base -

emisor de Q3.

R Parte real IR ( VIN ); Parte real de la

corriente a través de VIN.

I Parte imaginaria II ( R13 ); Parte imaginariade la corriente a través de

R13.