chemistry and lithography

878

Upload: ana-violeta-girao

Post on 20-Apr-2015

760 views

Category:

Documents


46 download

TRANSCRIPT

Bellingham, Washington USALibrary of Congress Cataloging-in-Publication Data Okoroanyanwu, Uzodinma. Chemistry and lithography / Uzodinma Okoroanyanwu. p. cm. -- (Press monograph ; 192) ISBN 978-0-8194-7562-6 1. Lithography. 2. Chemistry, Technical. I. Society of Photo-optical Instrumentation Engineers. II. Title. NE2425.O38 2010 621.3815'31--dc22 2009036266 Published by SPIE P.O. Box 10 Bellingham, Washington 98227-0010 USA Phone: +1 360.676.3290 Fax: +1 360.647.1445 Email: [email protected] Web: http://spie.org and John Wiley & Sons, Inc. 111 River Street Hoboken, New Jersey 07030 Phone: +1 201.748.6000 Fax: +1 201.748.6088 ISBN: 9781118030028 Copyright 2010 Society of Photo-Optical Instrumentation Engineers All rights reserved. No part of this publication may be reproduced or distributed in any form or by any means without written permission of the publisher. The content of this book reflects the work and thought of the author(s). Every effort has been made to publish reliable and accurate information herein, but the publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon. Printed in the United States of America. Dedicated to the memory of the late Professor William C. Gardiner, Jr.,of The University of Texas at Austin, under whom I studied.ContentsPreface xxiAcronyms and Abbreviations xxvPart I: Origins, Inventions, and the Evolution of Lithography 11 Introduction to Lithography 32 Invention of Lithography and Photolithography 92.1 Introduction 92.2 Invention of Lithography 112.3 Invention of Photolithography 172.4 Pioneers of Photography 182.4.1 Joseph Nicephore NiepceThe inventor ofphotography and photolithography 192.4.2 Louis Jacques Mande Daguerre 252.4.3 William Henry Fox Talbot 263 Optical and Chemical Origins of Lithography 293.1 Introduction 293.2 Key Developments that Enabled the Invention andDevelopment of Lithography 333.2.1 Developments in optical physics 333.2.1.1 Tactile and emission theories of light 333.2.1.2 Early studies in optics and catoptrics 343.2.1.3 On the nature of light 383.2.1.4 Electromagnetic theory 503.2.1.5 Electromagnetic spectrum 553.2.1.6 Absorption of light 583.2.1.7 Chemical effects of light 583.2.1.8 The discovery of electrons 613.2.1.9 The discovery of x rays 623.2.1.10 Radioactivity 63vii3.2.1.11 The beginnings of quantum theory 643.2.1.12 Molecular theory of matter 653.2.1.13 Blackbody radiation 663.2.1.14 Plancks quantum hypothesis for blackbodyradiation 673.2.1.15 Einsteins quantum hypothesis for thephotoelectric effect 693.2.1.16 Bright and dark line spectra 713.2.1.17 Nuclear model of the atom 743.2.1.18 Bohrs model of the hydrogen atom 753.2.1.19 Implications of Bohrs theory 783.2.1.20 Quantum theory of light 793.2.1.21 Einsteins theory of relativity 833.2.2 Developments in optical instruments and glassmakingtechnologies 853.2.3 Developments in chemistry 953.2.3.1 The four-element theory 953.2.3.2 Chemistry as a distinct discipline 973.2.3.3 Alchemy 983.2.3.4 Early theories of combustion and calcination 993.2.3.5 Phlogiston theory 1003.2.3.6 Discovery of simple gases in common air 1023.2.3.7 Foundation of modern chemistry 1043.2.3.8 Post-Lavoisian evolution of chemistry 1093.2.3.9 Development of various elds in chemistry 1174 Evolution of Lithography 1374.1 Introduction 1374.2 Offset Lithography 1414.3 The Printed Circuit Board and the Developmentof the Electronics Industry 1424.4 The Transistor and Microelectronics Revolution 1454.4.1 The invention of the transistor 1454.4.2 Limits of discrete transistors 1474.5 The Integrated Circuit 1484.6 Other Notable Developments in TransistorTechnology 1484.7 Overall Device Technology Trends 1524.8 Semiconductor Lithography 1574.8.1 Optical lithography 1604.8.2 Challenges of decreasing exposure wavelength inoptical lithography 1654.9 X-ray Lithography 1654.10 Electron-Beam Lithography 1674.11 Ion-Beam Lithography 1694.12 Extreme Ultraviolet Lithography 170viii Contents4.13 Soft Lithography 1704.13.1 Microcontact printing 1714.13.2 Micromolding in capillaries 1724.13.3 Nanoskiving 1724.13.4 Step-and-ash imprint lithography 1724.13.5 Nanoimprint lithography 1724.14 Proximal Probe Lithography 1734.15 Atom Lithography 1754.16 Stereolithography 1764.17 Molecular Self-Assembly Lithography 176Part II: Lithographic Chemicals 1795 Lithographic Chemicals 1815.1 Introduction 1815.2 Resists 1815.2.1 Resist solvents 1845.2.2 Manufacture of resists 1845.3 Antireection Coatings 1865.4 Resist Developers and Rinses 1875.5 Resist Strippers and Cleaners 1895.6 Offset Lithographic Inks and Fountain Solutions 1935.6.1 Offset lithographic inks 1935.6.2 Fountain solutions 1946 Negative Resists 1956.1 Introduction 1956.2 Resins 1966.3 Types of Negative Resists 1996.3.1 Non-radiation-based negative resists 1996.3.1.1 Wax-lampblack-soap resists 1996.3.2 Radiation-induced negative resists 2006.3.2.1 Negative resists based on radiation-inducedcross-linking reactions 2006.3.3 Chemically amplied cross-linking negative resists 2246.3.3.1 Chemically amplied negative phenolic resistsbased on acid-catalyzed condensation/intermolecular dehydration cross-linkingreactions 2246.3.3.2 Chemically amplied negative resistsbased on radiation-induced polaritychanges 2266.3.4 Non-chemically amplied negative resists based onradiation-induced polarity changes 2276.3.4.1 Metal-chalcogenide resists 227Contents ix6.3.4.2 Ylide resists 2326.3.4.3 Diazo resists 2336.3.5 Chemically amplied negative resists based onradiation-induced polarity changes 2346.3.5.1 Chemically amplied negative resists basedon acid-catalyzed pinacol rearrangement 2346.3.5.2 Chemically amplied negative resists basedon acid-catalyzed intramolecular dehydration 2366.3.5.3 Chemically amplied condensation/intermolecular dehydration negative resistsbased on acid-catalyzed cross-linking withacid-sensitive electrophile (cross-linking agent) 2386.3.5.4 Chemically amplied methacrylate negativeresists based on acid-catalyzed esterication 2466.3.5.5 Chemically amplied methacrylate negativeresists based on acid-catalyzed deprotectionand development in supercritical CO2 2476.4 General Considerations on the Chemistry of Cross-Linking 2526.5 Negative Resists Arising from Polymerization of Monomersin the Presence of Polyfunctional Components 2566.6 General Considerations on the Chemistry of PhotoinitiatedRadical Polymerization Employed in Negative Resist Systems 2576.6.1 Photogeneration of radicals 2586.6.1.1 Initiators based on photofragmentation 2586.6.2 Radicals generated by hydrogen abstraction 2626.6.2.1 Other practical initiator systems based onhydrogen abstraction 2636.6.3 Dye-sensitized initiation 2666.6.4 The initiation step 2696.6.5 Propagation versus termination and the kineticchain length 2706.6.5.1 The steady state approximation 2706.7 General Considerations on Photoinitiated CondensationPolymerization 2726.7.1 The thiol-ene system 2726.8 General Considerations on the Photoinitiated CationicPolymerization Employed in Negative Resist Systems 2736.8.1 Initiation by onium salts 2736.8.1.1 Initiation 2736.8.1.2 Propagation 2746.9 Practical Negative Resist Compositions Arising fromPhotopolymerization of Monomers in the Presence ofPolyfunctional Components 2806.9.1 Negative resist composition 2806.9.2 Binders 280x Contents6.10 Lithographic Applications of Photopolymerization NegativeResists 2806.10.1 Lithographic offset plates 2816.10.2 Dry resists 2816.10.3 Printed circuit boards 2826.10.4 Solder mask 2836.10.5 IC device fabrication 2837 Positive Resists 2857.1 Introduction 2857.2 Types of Positive Resists 2867.2.1 Non-chemically amplied positive resists 2867.2.1.1 Non-chemically amplied positive resistsbased on functional group polarity switch 2867.2.1.2 Non-chemically amplied positive resistsbased on main chain scission 3237.2.2 Chemical amplication positive resists: the chemicalamplication concept 3357.2.2.1 Acid generators 3367.2.2.2 Chemical amplication positive resists andtheir imaging mechanisms 3437.2.2.3 Chemical amplication positive resists basedon deprotection 3437.2.2.4 Chemical amplication positive resists basedon Claisen rearrangement 3857.2.2.5 Chemical amplication positive resists basedon depolymerization 3877.3 Resist Materials for Multilayer Resist Systems 3917.3.1 Hard mask resist materials 3927.3.2 Top surface imaging resists 3937.3.3 Bilayer resists 3938 General Considerations on the Radiation and Photochemistryof Resists 3958.1 Interaction of Radiation with Resists 3958.2 Excited State Complexes 3978.2.1 Excimers 3978.2.2 Exciplexes 3988.3 Energy Transfer 3998.3.1 Dipole resonance transfer 4008.3.2 Exchange transfer 4018.3.3 The Perrin formula 4028.4 Energy Migration in Resist Polymers 4038.5 Spectral Sensitization 4068.6 Sensitization by Energy Transfer 407Contents xi8.6.1 Triplet sensitization 4078.6.2 Sensitization by electron transfer 4088.7 Radiation Chemistry Versus Photochemistry of Resists 4098.8 Radiation Chemical Yield and Dosimetry 4118.9 Radiation Chemistry of Polymers 4118.9.1 Backbone scission and cross-linking 4118.9.2 Determination of the scission yield 4128.9.3 Determination of the cross-linking yield Gx 4138.10 Sensitivity and Exposure Radiation 4148.11 Exposure Mechanisms of Resists and Exposure Radiation 4159 Antireection Coatings and Reectivity Control 4199.1 Introduction 4199.2 Antireection Coating Strategies 4219.2.1 Top antireection coatings 4219.2.2 Theory of top antireection coatings 4249.2.3 Reection and transmission amplitudes 4249.3 Bottom Antireection Coatings 4289.3.1 Organic bottom antireection coatings 4289.3.1.1 Design approaches to organic bottomantireection coatings 4289.3.2 Inorganic bottom antireection coatings 4319.4 Applications of Bottom Antireection Coatings 4329.4.1 Suppression of standing waves and reectivity effects 4329.4.2 Feature CD trimming 4359.4.3 Damascene applications involving silicon-containingresists and silicon-containing hard mask materials withantireection properties 4379.5 Organic versus Inorganic Bottom Antireection Coating andRework/Stripping Issues 4389.6 Bottom Antireection CoatingResist Interactions 4389.7 Theory of Bottom Antireection Coatings 4409.7.1 Reectivity of absorbing layers 4409.7.2 Electric eld in photoresist lms 4419.7.3 Bulk standing wave intensity 4449.7.4 Substrate reectivity and photoresist absorbance 4459.7.5 Relative swing amplitude 4469.8 Bottom Antireection Coatings for High-NA Imaging 448Part III: The Practice of Lithography 44910 Stone, Plate, and Offset Lithography 45110.1 Stone and Plate Lithography 45110.2 Offset Lithography 455xii Contents10.3 The Offset Lithographic Press 45610.4 Components of an Offset Lithographic Press 45710.4.1 Paper-feeding system 45710.4.2 Damping system 45710.4.3 Inking system 45710.5 Types of Offset Lithographic Inks 45810.6 Fabrication of Lithographic Offset Plates 45810.7 The Offset Lithographic Process 45910.8 Waterless Offset Lithography 46011 The Semiconductor Lithographic Process 46311.1 Introduction 46311.2 Adhesion Promotion 46411.2.1 Priming of silicon dioxide surface with HMDS 46611.3 Resist Coating 46811.3.1 The resist spin-coating process 46811.4 Characterizing Ultrathin Resist Processes 47211.4.1 Instabilities in ultrathin resist lms 47311.4.2 Spin coating and instabilities in ultrathin resist lms 47311.4.3 Hydrodynamics of ultrathin resist lms 47411.4.4 Instabilities and thermophysical properties ofultrathin resist lms 47611.4.5 Ultrathin lms and defectivity 48211.5 Soft Bake/Prebake 48511.6 Alignment 48811.7 Exposure 48911.8 Postexposure Bake 49111.8.1 Deprotection kinetics of representative resistpolymer systems 49311.9 Monitoring Photoacid Generation in Thin Photoresist Filmsby Means of Fluorescence Spectroscopy 49811.10 Postexposure Bake Sensitivity 50111.11 Consequences of Acid Diffusion 50211.12 Development 50411.12.1 Resist development methods 50511.12.2 Types of development processes 50611.12.2.1 Chemical development 50611.12.2.2 Physical development 50711.12.3 Development rate characterization 50711.12.3.1 Laser interferometry 50711.12.3.2 Quartz crystal microbalance 50911.13 Dissolution Mechanism of Resist Polymers 51111.14 Dissolution Mechanism of Phenolic Resists 51311.15 Comparison of Dissolution Characteristics of Novolacand Poly(hydroxystyrene)-based Resists 513Contents xiii11.16 General Facts about the Dissolution Mechanismof DNQ/Novolac Resists 51511.16.1 Mechanistic models for DNQ/novolacdissolution 51711.16.1.1 The membrane model 51811.16.1.2 The secondary structure model 51911.16.1.3 The critical deprotonation model 52211.16.1.4 The percolation model of resistdissolution 52511.16.1.5 The critical ionization model 52711.16.1.6 The stone wall model of novolacdissolution 52711.16.1.7 Effects of resin and inhibitor structureon dissolution rate 52711.17 Resist Development Issues 53211.17.1 Pattern collapse 53211.18 Postdevelopment Bake and Resist Stabilization Treatments 53611.18.1 Postdevelopment bake 53611.18.2 UV radiation curing 53711.18.3 Electron-beam curing of resists 54011.18.3.1 Radiation chemistry of electron-beamcuring 54211.18.3.2 Effects of electron-beam curing 54211.19 Measurement and Inspection 54311.20 Etching 54411.20.1 Wet etching 54411.20.2 Dry etching 54511.20.2.1 Plasma etching 54611.20.2.2 Reactive-ion etching 54711.21 Rework/Stripping 54812 Lithographic Modeling 55112.1 Introduction 55112.2 Historical Background 55112.3 Structure of a Lithographic Model 55412.3.1 Aerial image 55612.3.2 Standing waves 55612.3.3 Prebake 55612.3.4 Exposure 55612.3.5 Postexposure bake 55612.3.6 Development 55712.4 Basic Imaging Theory 55712.5 Accounting for Aberrations 56012.6 Aerial Image Formation Models 56312.6.1 Scalar models for calculating aerial image intensity 56312.6.1.1 Zero-order scalar model 564xiv Contents12.6.1.2 First-order scalar model 56412.6.1.3 High-NA scalar model 56512.6.2 Full scalar and vector models 56512.7 Standing Wave Models 56612.8 Exposure Models 57012.8.1 Adapting exposure kinetics of DNQto the Dill equations 57412.9 Postexposure Bake Models 57912.9.1 Adapting exposure kinetics of chemicalamplication resists to the Dill equations 58212.10 Development Models 58912.10.1 The kinetic development model or Mack model 59012.10.2 The enhanced kinetic development model orenhanced Mack model 59212.10.3 The lumped parameter model 59312.10.4 Resist prole 59812.11 Accuracy of Lithographic Models 59912.12 Applications/Uses of Lithographic Modeling 60012.12.1 Research applications 60112.12.2 Process development applications 60212.12.3 Manufacturing and instructional applications 60313 Optical Lithography 60513.1 Introduction 60513.2 Elements of Optical Lithography 60613.2.1 Radiation sources 60813.2.1.1 High-pressure arc lamps 60813.2.1.2 Exciplex and excimer laser sources 60913.2.2 Illumination system 61613.2.3 Mask and reticles 61813.2.3.1 Classication of masks 62013.2.3.2 Mask types and mask materials 62013.2.3.3 Fabrication of photomasks 62313.2.3.4 Mask-making resists 62613.2.3.5 Etching of mask-making resists 62613.2.4 Pellicles 62713.2.5 Exposure optics system 62813.3 UV Photochemistry in the Exposure ChamberEnvironment of Optical Lithographic Tools 62913.3.1 UV absorption properties of typical gases inlithographic exposure tools 63013.3.2 Photodissociation of molecular oxygen 63213.3.3 Photo-oxidative degradation of photoresist polymers 63613.3.3.1 General mechanism of patterned resistpolymer photo-oxidative degradation 637Contents xv13.3.4 Inorganic salt formation on DUV exposure toollenses and reticles 64113.3.4.1 Mechanism of ammonium sulfate crystalformation on DUV lithographic exposurelenses and reticles 64213.3.5 Corrosion and oxidation of chrome structuresin DUV lithographic masks 64513.3.5.1 Mechanism of lithographic mask chromestructure oxidation 64613.4 Optical Materials for UV and Visible Light Lithographies 64813.4.1 Fused silica 65113.4.1.1 Fused-silica degradation mechanisms 65213.4.2 Calcium uoride 65513.4.3 Optical coatings 65713.4.3.1 Aluminum mirrors 65713.4.3.2 Dielectric optical coatings 65813.4.4 Pellicle materials 65813.5 Printing Modes 65813.5.1 Contact and proximity printing 65913.5.2 Projection printing 66013.6 General Considerations on Optics Relevant to Lithography 66513.6.1 Image formation 66513.6.1.1 The role of the objective lens 66813.6.1.2 Partial coherence theory of image formation 67013.6.2 Image quality 67313.6.2.1 Contrast 67413.6.2.2 Modulation transfer function 67413.6.2.3 Exposure latitude 67513.6.2.4 Normalized image log-slope 67613.6.2.5 Depth of focus 67613.6.2.6 Exposure-defocus window 67713.6.2.7 Critical dimension uniformity 67713.7 Optical Lithographic Technologies and TheirPerformance 67713.7.1 i-line (365-nm) lithography 67713.7.2 KrF (248-nm) lithography 67813.7.3 Dry ArF (193-nm) lithography 67813.7.3.1 ArF resist material chemistry 68113.7.3.2 ArF lithographic patterning issues 68213.7.4 Water immersion ArF (193-nm) lithography 69213.7.4.1 Resists and topcoats 69413.7.4.2 Postexposure bake delay stability 69613.7.4.3 Defectivity 69613.7.5 F2 excimer laser (157-nm) lithography 700xvi Contents14 X-Ray and Extreme Ultraviolet Lithographies 70314.1 Introduction 70314.2 Proximity X-Ray Lithography 70414.2.1 Synchrotron sources 70514.2.2 X-ray masks 70614.3 Extreme Ultraviolet Lithography 70714.3.1 EUV multilayer mirrors 71014.3.2 Fabrication of Mo-Si multilayer mirrors 71314.3.3 EUV masks 71414.3.4 The EUV exposure system 71514.3.5 Sources for EUV lithography 71614.3.5.1 Laser-produced plasma sources 71714.3.5.2 Discharge-produced plasma sources 71814.4 Optics Lifetime 71914.5 Contamination Processes 72114.5.1 Carbon deposition 72114.5.2 Oxidation 72514.5.3 Impact of contamination 72814.6 Contamination Mitigation Strategies 73014.6.1 Exposure chamber environment control 73114.6.2 Use of oxidation-resistant capping layers 73114.6.3 Thermal processes used in EUV opticscontamination mitigation 73114.6.4 Nonthermal processes used in EUV opticscontamination mitigation 73314.6.5 Reactive-ion etching processes for cleaningcontaminated optics 73714.6.6 Debris-mitigation schemes 73714.7 EUV Resists and Imaging Performance 73715 Charged Particle Lithography 74115.1 Introduction 74115.2 Electron-Beam Lithography 74115.2.1 Electron scattering 74215.2.2 Electron-beam lithography systems 74615.2.2.1 Electron sources 74715.2.2.2 Electron optical components 74815.2.2.3 Exposure stage 74815.2.2.4 Computer 74915.3 Types of Electron-Beam Lithographies 74915.3.1 Electron-beam direct-write lithography 75015.3.2 Scanning strategies 75115.4 Electron Projection Lithography 75115.4.1 Scattering with angular limitation projectionelectron-beam lithography (SCALPEL) 75315.4.1.1 SCALPEL technology challenges 757Contents xvii15.4.2 Projection reduction exposure with variable axisimmersion lens (PREVAIL) lithography 75915.5 Ion-Beam Lithography 75915.5.1 Types of ion-beam lithographies 76115.5.2 Ion projection lithography 76315.5.3 Stochastic blur 76616 Lithography in Integrated Circuit Device Fabrication 76716.1 Introduction 76716.2 Fabrication of a 90-nm CMOS Microprocessor 77316.2.1 Twin-well process 77316.2.1.1 n-well formation 77316.2.1.2 p-well formation 77516.2.2 Shallow trench isolation process 77616.2.2.1 STI oxide ll 77716.2.2.2 STI oxide polish and nitride strip 77716.2.3 Polysilicon gate process 77816.2.4 Lightly doped drain implant processes 77816.2.4.1 n2LDD implant 77916.2.4.2 p2LDD implant 77916.2.5 Sidewall spacer formation 77916.2.6 Source/drain implant process 78016.2.6.1 n S/D implant 78016.2.6.2 p S/D implant 78116.2.7 Contact formation 78216.2.8 Via-1 and tungsten plug formation 78216.2.8.1 Via-1 formation 78316.2.8.2 Tungsten plug formation 78316.2.9 Copper interconnect wiring formation by meansof the dual damascene technique 78416.2.10 Bond pad metal formation and packaging 79016.2.11 Wafer testing and sorting 79017 Advanced Resist Processing and Resist ResolutionLimit Issues 79117.1 Introduction 79117.2 Resist Systems 79217.2.1 Single-layer resist systems 79217.2.2 Multilayer resist systems 79217.2.2.1 Hard mask resist system 79417.2.2.2 Top surface imaging resist system 79417.2.2.3 Bilayer resist system 79617.3 Advanced Resist Processing Techniques 79717.3.1 Single-exposure techniques 79717.3.1.1 Hyper-NA imaging resist processingtechniques 798xviii Contents17.3.1.2 EUV lithography resist processingtechnique 79917.3.1.3 Postexposure-based CD shrink techniques 79917.3.2 Reow CD shrink techniques 80017.3.2.1 Thermal reow shrink technique 80017.3.2.2 Electron-beam-induced CD shrinktechniques 80117.3.3 Chemically induced CD shrink techniques 80317.3.3.1 Chemically induced CD shrink techniquesbased on sidewall formation 80317.3.3.2 Chemically induced CD shrink techniquesbased on sidewall erosion 80617.3.3.3 Plasma-assisted CD shrink technique 80817.3.4 Double-exposure techniques 80917.3.5 Double-patterning techniques 81117.3.5.1 Lithography-etch-lithography-etchpatterning scheme 81317.3.5.2 Lithography-freeze-lithography-etchpatterning scheme 81317.3.5.3 Self-aligned double-patterning (SADP)scheme 81517.4 Resolution Limit Issues of Resists 81817.4.1 Resolution limits due to chemical amplicationin resists 81917.4.1.1 Elucidating how photoacid diffusion leadsto resist contrast and resolution loss 82317.4.2 Resolution limits due to line edge roughness 82517.4.2.1 Base quenchers 82617.4.2.2 Polymer size 82717.4.2.3 Shot noise 82817.4.3 Resolution limits due to connement effects in resists 82917.4.4 Resolution limits due to resist polymermolecular properties 83017.4.5 Resolutionline edge roughnesssensitivity trade-off 83117.5 Resist Materials Outlook for the 22-nm and SmallerTechnology Nodes 83317.6 Resist Processing Outlook for the 22-nm and SmallerTechnology Nodes 834Afterword 835Index 837Contents xixPrefaceIt is my intention to provide in this book a concise treatment of chemicalphenomena in lithography in a manner that is accessible to a wide readership.While the emphasis is placed on how lithography is mediated through chemicalphenomena, topics in optical and charged particle physics as they are practicedin lithography are also presented, with a broader viewto illustrate howthe marriagebetween chemistry and optics has made possible the print and electronic revolu-tions on which our digital age depends.The link between chemistry and lithography is essentially fourfold. First,several important chemical and physical principles were involved in the inventionof lithography and photolithography. This theme is explored in Part I, coveringChapters 14. Chapter 1 introduces the role of lithography in print and electronicrevolutions. Chapter 2 deals with the invention of lithography and photolitho-graphy. Chapter 3 provides the background surrounding the discovery of thechemical and optical principles that made possible the invention of lithographyand photolithography. Chapter 4 traces the evolution of lithography from its inven-tion to the various forms in which it is practiced today.Second, the processes for the synthesis, manufacture, usage, and handling oflithographic chemicals and materials are all chemical transformations, involvingdistinct chemical reactions that follow well-established chemical principles.This theme is explored in Part II, covering Chapters 59. Chapter 5 deals withsynthesis and formulation of the chemicals used in lithography such as inks, foun-tain solutions, resists, antireection coatings, solvents, developers, resist strippersand removers, etc. Chapters 6 and 7 explore the chemistry of negative and positiveresist materials, respectively, in terms of their synthesis, physical characterization,radiation chemistry, imaging mechanism, and lithographic applications. Chapter 8explores in a general manner the radiation and photochemistry of resist materials.Chapter 9 deals with the theory and application of antireection coatings inreectivity control.Third, several important chemical and physical principles are involved inthe various modules that constitute lithography, covering preparation of thelithographic substrates (be they lithographic plates or silicon wafers), coatingand deposition of resist solutions on appropriate substrates affording thin drylms, exposure of the dry lms to actinic radiation, thermal processing ofthe exposed lms, development of the exposed and baked lms to afford thexxi lithographic relief images, and postdevelopment processes designed to stabilizethe relief images against subsequent processes. These themes are explored indetail in Part III, dealing with the practice of lithography as exemplied in stoneplate and offset lithography on one hand, and semiconductor lithography on theother. These topics are covered in Chapters 1017.Chapter 10 deals with stone and offset lithographic processing that is employedin the printing of ne art images, newspapers, textbooks, advertisements, etc. Byfar, the most advanced form of lithography practiced today is semiconductor litho-graphy, used in the fabrication of logic and memory integrated circuit (IC) devicesthat power computers, cell phones, telecommunications systems, and a host arrayof other devices. For this reason, Chapter 11 is entirely dedicated to a discussionon the overview of the semiconductor lithographic process, covering all of thechemical and physical phenomena involved in all of the related unit operations.In particular, the physical characterization of these processes as well as thephotochemistry and photophysics involved in the exposure processes are high-lighted. Chapter 12 deals with lithographic modeling. Chapter 13 in turn dealswith optical lithography, which by far is the most dominant of all of the semi-conductor lithographic techniques. Covering g-line, i-line, KrF, ArF, and F2 litho-graphies, the discussion here focuses on the physics and chemistry of the exposuresources, the construction of the exposure tool, mask making, and applicationof these lithographies in device manufacture. Chapter 14 deals with x-ray andEUV lithographies. Chapter 15 presents charged particle lithographies based onelectron beams and ion beams.Chapter 17 explores the chemistry underlying advanced resist processingtechniques, including resist-based resolution enhancement techniques (such asdouble patterning, chemical amplication of resist line or the CARL process,hydrophilic overlayer or the HOL process, reowtechniques, etc.) and stabilizationtechniques (such as UV, e-beam curing, and ion implantation) used to improve thequality of semiconductor lithographic patterning. In such techniques, the chemistryis often quite different from that used in conventional resist processing. This is oneof the most active areas of current research, and one in which it appears likelythat employing postexposure resist chemical modications might prove successfulin overcoming resolution limits imposed by the constraints of the geometricoptics of the exposure tool.Chapter 17 also discusses the chemical and physical basis of emerging pattern-ing challenges confronting lithography as the industry transitions to lithographicnodes where the physical properties of the resist become extremely sensitive tothe substrate and interfacial and connement effects. These effects begin to mani-fest as the thickness of the resist lm approaches a few multiples of the radius ofgyration of the polymers from which they are constituted. Such challengesinclude resolution loss due to uncontrolled diffusion, thin-lm instabilities andconnement effects, line edge roughness, etc. Other equally important challenges,but not altogether related to resist lm thickness, include the impact of oxygenon lithographic patterning, contamination (airborne, water, resist outgas, particle,inorganic salts, etc.), pattern collapse, line width slimming, etc. These arecovered in Chapter 13.xxii Preface The fourth link between chemistry and lithography concerns the principlesgoverning the chemical transformations utilized in process-integration schemesthat are part of the implementation of lithography in IC device fabrication. Thistheme, discussed in Chapter 16, explores how lithography is used to dene andpattern the various front end of lithography (FEOL) and back end of lithography(BEOL) layers of a state-of-the-art Advanced Micro Devices (AMD) microproces-sor based on a complementary metal-oxide semiconductor (CMOS) device.An attempt has been made throughout the book to provide examples illustra-ting the diversity of chemical phenomena in lithography across the breadth ofthe scientic spectrum, from fundamental research to technological applications.The format of this book is not necessarily chronological, but is such that relatedaspects of lithography are thematically organized and presented with a view toconveying a unied view of the developments in the eld over time, spanningmany centuries, from the very rst recorded reections on the nature of matter tothe latest developments at the frontiers of lithography science and technology.Nonetheless, the emphasis is predominantly placed on applications that haverelevance in the semiconductor industry. The enormous wealth of materials fromwhich these illustrations and examples have been drawn means that this authorschoice is inherently peculiar, although each example is intended to providedeeper insight into the underlying principles involved.A great many of the pioneers of chemistry and lithography are not representedherein at all. I can only record my immense debt to them and all who havecontributed to the development of the two elds to the state in which I havereported it.I am indebted to a number of people who in one way or another made thisbook possible. My academic mentor, the late Professor William C. Gardiner, Jr.of The University of Texas at Austin, distinguished teacher and physicalchemist, himself the author of numerous books, introduced me to physical chem-istry and guided my academic development in the eld.Professor C. Grant Willson of The University of Texas at Austin introducedme to lithography and supervised my doctoral thesis. I learned the intricacies ofresist processing under the tutelage of the late Dr. Jeffrey Byers of SEMATECH.A number of colleagues and associates proofread the entire manuscript orsome chapters of the book, and provided valuable suggestions and corrections.These include Dr. Harry J. Levinson, my manager at AMD and also at Global-Foundries, and Dr. Chris Mack, developer of PROLITH and founder of theFINLE Corporation, both of whom read the entire manuscript. Dr. Jim Thackerayof Rohm and Haas Electronic Materials read Chapters 58; these are the chaptersdealing with lithographic chemicals. Dr. Witek Maszara of GlobalFoundries readChapter 16, which deals with the application of lithography in IC device fabrica-tion. These reviewers should not be blamed for any errors that may remain,which are strictly my responsibility.In a less direct way, I have beneted throughout my professional careerfrom scientic and technical discussions in the area of advanced lithographywith colleagues at the strategic lithography technology departments of bothAMD and GlobalFoundries, as well as at the lithography department ofPreface xxiii IMEC (Inter-University Microelectronics Center). I have also beneted fromscientic discussions in the area of polymers and photochemistry with ProfessorKatharina Al-Shamery of Univeristat Oldenburg in Germany, and in the area ofphysical methods of polymer characterization with Professors Jim Watkins andTodd Emrick of the University of Massachusetts at Amherst.I also want to express my sincere thanks to the editorial staff of SPIE, andespecially to Dara Burrows and Tim Lamkins, who have been most sympatheticand helpful at all times during the course of writing this book. They remainedundismayed by the long delays as the length of the book expanded far beyondwhat we originally agreed to. The book is a much better book because of theireditorial assistance.Portions of this book were written in libraries and museums in a number oflocations within the United States and Germany. I am particularly grateful to thestaff of the archives of the Deutsches Museum in Munich, especially to Dr. EvaMayring, Margrit Prussat, and Wolfgang Schinhan, for the assistance they renderedto me during my research at their facility in locating archival materials on andby some of the seminal individuals whose research in decades and centuriesgone by greatly contributed to the invention and development of lithography.The permission granted to me by AMD and extended by GlobalFoundries,the two companies for which I work, made it possible for me to write this book.I am indebted to Michela Jacob, the librarian in the AMD Fab30 facility andGlobalFoundries Fab1 in Dresden, Germany, for the numerous books and articlesshe was able to procure for me, sometimes from libraries far-ung from Dresden.I amalso indebted to the individuals and publishers who granted me the permissionto reproduce in this book some of their copyrighted gures and tables.Finally, I must acknowledge the assistance I have received from my familymembers. Writing a book of this size takes undue toll on everyone directlyor indirectly involved with it, particularly family members who have had toendure all kinds of inconveniences too numerous to mention. I wish thereforeto acknowledge their helpful support. For these and other blessings, I am trulygrateful.Uzodinma OkoroanyanwuFlorence Village, Northampton, MassachusettsNovember 2010xxiv Preface Acronyms and AbbreviationsAEE aminoethoxy ethanolAFM atomic force microscopeAIBN azobis(isobutyronitrile)AMC airborne molecular contaminantAPM atomic processing microscopeAR antireectionARC antireection coatingatt-PSM attenuated phase-shifting maskBARC bottom antireection coatingBEOL back end of lineBIM binary intensity masksBJT bipolar junction transistorBLR bilayer resistBOCST butoxycarbonyloxystyreneBOP benzyloxy-protected poly(p-hydroxystyrene)BPO benzoyl peroxideCaF2 calcium uorideCAD computer-aided designCAR chemically amplied resistCARL chemical amplication of resist linesCBN carbo-t-butoxy norborneneCD critical dimensionCFC chlorouorocarbonCH cyclohexanoneCMN carbomethoxy norborneneCMOS complimentary metal-oxide semiconductorCMP chemical mechanical polishingCMTF critical modulation transfer functionCO cycloolenCOG chromium-on-glassCOMA cycloolen-maleic anhydrideCOP crystal-originated pitCPU central processing unitCVD chemical vapor depositionxxv DC direct currentDEA dissociative electron attachmentDEAP diethoxyacetophenoneDMAc dimethylacetamideDMF dimethylformamideDMI dimethyl-2-imidazolidinoneDMPA dimethoxy phenylacetophenoneDMSDMA dimethylsilyldimethylamineDMSO dimethylsulfoxideDNQ diazonaphthoquinoneDOF depth of focusDP degree of polymerizationDPD diazopyrazolidine dioneDPP discharge-produced plasmaDPPH diphenyl picrylhydrazylDRAM dynamic random access memoryDRLS development rate log slopeDRM development rate monitorDTBP di-tert-butyl peroxideDTBPIONf di(tert-butylphenyl) iodonium peruorobutanesulfonate(nonaate)DUV deep ultravioletEBES electron-beam exposure systemEBL electron-beam lithographyECR electron cyclotron resonanceEFM electric-eld-induced migrationEL ethyl lactateEOC etalon output couplerEOL end of lineEPR electron projection lithographyESCAP environmentally stable chemically amplied photoresistESD electrostatic dischargeEUV extreme ultravioletFEOL front end of lineFET eld-effect transistorFIB focused ion beamFRP free radical polymerizationFTIR Fourier transform infraredFWHM full width half maximumHDPCVD high-density chemical vapor depositionHEPA high-efciency particulate airHF hydrouoric acidHMDS hexamethyldisilazaneHOL hydrophilic overlayerHSQ hydrogen silesquioxanesxxvi Acronyms and Abbreviations HVM high-volume manufacturingIC integrated circuitIGFET insulated gate eld-effect transistorILD interlayer dielectricIMS ion microfabrication systemIPL ion projection lithographyITRS International Roadmap for SemiconductorsJFET junction eld-effect transistorKRS ketal resist systemKTFR Kodak Thin FilmTMresistLBNL Lawrence Berkeley National LaboratoriesLEE low-energy electronLEEPL low-energy electron projection lithographyLELE lithography-etch-lithography-etchLER line edge roughnessLFLE lithography-freeze-lithography-etchLLD lightly doped drainLPCVD low-pressure chemical vapor depositionLPP laser-produced plasmaL/S line/spaceLWR line width roughnessMac methylacetamideMEA monoethanolamineMEBES multiple electron-beam exposure systemMEEF mask error enhancement factorMEMS microelectromechanical systemMET microexposure toolMIBK methylisobutyl ketoneMIF metal-ion-freeML multilayerMMA methyl methacrylateMOCVD metal-organic chemical vapor depositionMOP methoxypropyl-protected poly(p-hydroxystyrene)MOS metal-oxide semiconductorMOSFET metal-oxide semiconductor eld-effect transistorMTF modulation transfer functionMW molecular weightNA numerical apertureNBHFA norbornene hexauoroisopropanolNHA numerical half-apertureNH4HF ammonium uorideNILS normalized image log-slopenMOS n-channel metal-oxide semiconductorNMP N-methylpyrrolidoneNVSM nonvolatile semiconductor memoryAcronyms and Abbreviations xxvii OPC optical proximity correctionOPD optical path differenceOPE optical proximity effectPAC photoactive compoundPAG photoacid generatorPBOCST poly(tert-butoxycarbonyl oxystyrene)PBS poly(butene sulfone)PCB printed circuit boardPCM portable conformable maskPDMS polydimethylsiloxanePE photoelectronPEB postexposure bakePECVD plasma-enhanced chemical vapor depositionPFOS peruorooctane sulfonic acidPGMA poly(glycidyl methacrylate)PGME propylene glycol monomethyletherPGMEA propyleneglycol monomethyl ether acetatePHOST polyhydroxystyrenePMIPK poly(methyl isopropenyl ketone)PMMA poly(methyl methacrylate)pMOS p-channel metal-oxide semiconductor (eld-effect transistor)PMPS poly(methylpentene sulfone)ppb parts per billionPPDA p-phenylenediacrylic acidPREVAIL projection reduction exposure with variable axis immersion lensPROLITH positive resist optical lithographyPSM phase-shifting maskPVD physical vapor depositionPVP poly(vinyl pyridine)PWB printed wiring boardRB rose bengalRC resistance capacitanceRELACS resolution enhancement of lithography assisted by chemicalshrinkRIE reactive-ion etchingROMP ring-opening metathesis polymerizationSADP self-aligned double patterningSAM self-assembled monolayerSCALPEL scattering with angular limitation projection electron-beamlithographyS/D source/drainSEMC single-electron memory cellSLM spatial light modulatorSLR single-layer resistSNS sulfone/novolak systemxxviii Acronyms and Abbreviations SPM sulfuric acid and hydrogen peroxide mixtureSTI shallow trench isolationSTM scanning tunneling microscopeTBEST tert-butyl ester-protected 4-hydroxystyreneTBMA tert-butyl methacrylateTBTFMA tert-butyl-2-triuoromethylacrylateTCAD technology computer-aided designTE transverse electricTEM transmission electron microscopyTFE tetrauoroethyleneTHF tetrahydrofuranTHP tetrapyranalTM transverse magneticTMAH tetramethylammonium hydroxideTMS trimethylsilylTMSDEA trimethylsilyldiethylamineTMSDMA trimethylsilyldimethylamineTPSHFA triphenylsulfonium hexauoroantimonateTSI top surface imagingULPA ultralow-penetration airUTR ultrathin resistUV ultravioletVAP vinyl addition polymerizationVEMA poly(vinyl ether-alt-maleic anhydride)VUV vacuum ultravioletWET wafer electrical testXRR x-ray reectivityAcronyms and Abbreviations xxix Chapter 1Introduction to LithographyI have imposed upon myself, as a law, never to advance but from what is known towhat is unknown, never to form any conclusion which is not an immediate con-sequence necessarily owing from observation and experiment; and always toarrange the facts, and the conclusions which are drawn from them, in such anorder as to render it most easy for beginners in the study of chemistry thoroughlyto understand them.Antoine Lavoisier, Traite Elementaire de ChimieIt is hard to think of an invention that has had a greater inuence on the massproduction of devices that have enabled humankind to communicate informationwith printed matter or with photons or with electrons or with ions or even withatoms than lithography. The information highways and pathways of our presentagethe information ageare literally paved with chips of crystalline siliconmade by lithography. Nearly every book, magazine, newspaper, brochure,yer, catalog, and other print piece that has been produced during the last threehundred years has been printed with offset lithography. Nearly every integratedcircuit (IC) in the chips that run the computers and telecommunication systemsthat power the information highway, as well as medical devices, electronics,home and industrial appliances, automobiles, and airplanesto mention but afewis made by semiconductor lithography. Without such integrated circuits,we would have no powerful computers, no large-scale automation, no communi-cation satellites, or even space exploration. There would certainly be no electroniccalculators or digital watches, no transistor radios, portable tape recorders, personaldigital assistants, Internet, cell phones, etc. Many diagnostic procedures in medi-cine and dentistry rely on integrated circuits, as does the heart pacemaker andthe modern hearing aid. The impact of all these things on our lives is tremendous.For instance, we can watch events on our television sets or mobile phones or on theInternet as they are happening thousands of miles away. We can withdraw ourmoney from automatic teller machines almost everywhere in the world, withoutthe aid of a bank clerk, whose function has been transformed from that of an acco-unts keeper to one of an intermediary between the customer and the computer.3 Many products are now manufactured, assembled, and shipped today by automaticmachines that rely on integrated circuits for their operations. Airplanes are guidedby computer-controlled systems and even airline seats are reserved worldwide byan instantaneous computer booking system. The list is almost endless and isgrowing every day. A world without lithography would be unrecognizable toany one of us today, although we may not always be cognizant of its pervasivenessand reach in our daily lives.How did we get to where we are today? It all started with the inventionof lithography in 1798, of photolithography and photography simultaneously in1826, and subsequent developments in lithography ever since, all of which weremade possible by antecedent developments in chemistry and optical physics overa period spanning more than 30 centuries, since records began to be kept.Through this unique marriage of chemistry and optics, the science and technologyof lithography have evolved and made possible the mass production of printedmaterials, starting from the late eighteenth century during the industrial revolutionand culminating in the microelectronics revolution that ushered in the mass pro-duction of microelectronic equipment, starting from the middle of the twentiethcentury with the invention of the transistor in 1947 and the invention of theintegrated circuit 12 years later. Innovations in lithography, new materials, andscaling to ever-smaller dimensions have led to many orders of magnitude ofimprovement in the capability of transistors to carry out computation, thuspaving the way for the information age in which we currently live. All of thesedevelopments have radically inuenced the course and trajectory of human civili-zation and development.This book therefore deals with how chemistry mediates lithography, a topicthat has not been previously discussed at length. In particular, it traces the arcof developments in lithography from a chemical perspective, starting from itsinvention and reaching back in an unmistakably continuous line to a periodmuch earlier still. Like an arc, it has a beginning, a bow, and a tip. The beginningof this arc is the invention of lithography in 1798, the bowof the arc is the inventionof photolithography in 1826, and the tip of the arc coincides with the develop-ment of semiconductor lithography in the 1950s and culminates in the stateof the art in the eld today.The objective of this book is not to lay out 30 centuries of the history of science,particularly that of physics and chemistry, like a long piece of wallpaper, anddivide it into so many supercial categories after the manner of the encyclopedistand the abridger. Instead, we will focus on the lines of strategic change and care-fully examine those moments in the history of physics and chemistry that seemcon-sequential and uncover the intellectual knots that had to be untied, which directly orindirectly aided the development of lithography. The treatment therefore needs notfollow in chronological order and linear fashion, but rather must be organizedaround similar coherent themes.It is very useful to learn from the mistakes of early scientists, to examine parti-cular intellectual hurdles associated with given periods, as well as the course ofscientic developments that ran into blind alleys, but that nonetheless affectedthe progress of science in general and lithography in particular.4 Chapter 1 Since its invention, lithography has witnessed tremendous evolution. Many ofits variants are now practiced, ranging from stone plate lithography used in ne artprinting, to offset lithography used in the printing of newspapers and the like, and tosemiconductor lithography, which utilizes a variety of exposure radiations to printintegrated circuits. While all of these variants of lithography are covered in thisbook, our emphasis will be on semiconductor lithography, since it is the most scien-tically and technically advanced form of lithography. And within semiconductorlithography, optical lithography is the most dominant technique used in fabricationof integrated circuits. Most importantly, relative to other lithographic techniques,semiconductor lithography best exemplies the marriage of chemistry andopticsa theme that we explore in depth in this book.Lithography in its very essence is a series of chemical transformationsafact recognized by its inventor who called it chemical printing. Like all chemicaltransformations, its currency of transaction is the electronouter-shell electronsof the atoms, molecules, and compounds of the material (also called resist) onwhich the image of the mask or the object to be printed is recorded, to beprecise. These electrons orchestrate distinct bond-breakage and bond-formationevents in all of the process steps in lithography that ultimately lead to the contrastbetween the clear (exposed) area and the dark (unexposed) area of the image-recording medium. Preparation of the substrate, coating of the resist, the actualexposure, and subsequently the postexposure thermal and related processing areall characterized by distinct chemical processes that taken together areultimately about chemical bond breakage and formation.In the substrate preparation step involving priming, the surface chemistry of thesubstrate is modied to promote the adhesion between the substrate and the resistmaterial during coating. In the exposure process proper, exquisite radiation chemistrytakes place inside the radiationsources in order to generate the exposure radiation, butalso because of the interaction of these radiationsbe they photons, ions, electrons,x rayswith the outer-shell electrons of the radiation-sensitive compounds andmolecules in the resist, leading to bond breakage and/or bond formation.In the postexposure thermal processing steps, thermally driven diffusion andreaction of the active species that catalyze deprotection, bond scission, or cross-linking reactions in the resist are engendered. In the development step, appropriateareas of the resist lm are dissolved away either through physical dissolution(involving no chemical reaction) or through acid-base neutralization reactionsbetween the exposed areas of the resist and the developing solvent (dependingon polarity). This is the basis of the contrast between the exposed and unexposedareas of the resist lm.The main attribute of optical lithography that made it the manufacturing tech-nology of choice for ICs since the beginning of the IC era is the tremendousthroughput advantages it offers through its ability to reproduce an entire IClayout from a master (or reticle) in a single exposure, in contrast to other technol-ogies that address a eld point by point. In addition to the compelling throughputadvantages, there were resolution and cost advantages as well. The infrastructurefor light sources, lenses, reticles, photosensitive polymers, and other opticalmaterials developed for other optical and photographic applications wereIntroduction to Lithography 5 appropriated and applied to IC lithography, allowing development resources to beshared.In 1965, Gordon Moore1postulated that the exponential growth in the numberof transistors in an IC led to certain technical and economic advantages. Smallertransistors switch faster, allowing more operations per second. And more transis-tors with more interconnections enable computations of much greater complexityto be achieved. This postulate has since been codied as Moores law,2which statesthat the complexity of ICs as measured by the number of transistors approximatelydoubles every two years (see Fig. 1.1). This law has led to unprecedented growth inthe computer industry. Technologies that were once available only in supercompu-ters are now commonly available in childrens toys. Satellite communications net-works that were once the domain of the military now help drivers nd their way totheir locations.A good metric for measuring progress in IC lithography is resolution, theability to resolve and distinguish two neighboring features on the chip. Twomain approaches for improving resolution include decreasing the wavelength of051015202530351965 1970 1975 1980 1985 1990 1995 2000 2005 2010YearLog_base_2 of transistor countIntelAMDLinear (Intel)Linear (AMD)Figure 1.1 Plot of the number of transistors versus year for microprocessors manufac-tured by Advanced Micro Devices, Inc. and Intel Corporation microprocessors.31G.E. Moore, Cramming more components onto integrated circuits, Electronics 38(8), 114 117(1965).2G.E. Moore, Progress in digital electronics, IEEE Meeting 1975, Tech. Digest 11 13 (1975);G.E. Moore, Lithography and the future of Moores law, Proc. SPIE 2440, 2 17 (1995).3For information on transistor count number versus year of manufacture for AMDs microprocessors,please see http://www.amd.com; for Intel Corporations microprocessors, please see http://www.intel.com/museum/archives/history docs/Moore.htm/Intel Microprocessor TransistorCount Chart.6 Chapter 1 the optical source and increasing the numerical aperture of the optical system, asderived from Rayleighs resolution criterion4and shown in Eq. (1.1).w k1lNA, (1:1)where w is the half pitch of the feature being printed, k1 is a process-dependentparameter, l is the exposure wavelength, and NA is the numerical apertureof the optical system, which is dened in terms of the maximum cone angle ofrays (umax) subtended by the maximum pupil diameter at the image plane as:NA n sin umax (where n is the refractive index in image space). Equation (1.1)unites chemistry with optics in lithography, for it is the interaction of the exposurephotons, electrons, ions, or x rays transmitted through the numerical aperture of theoptics, with the electrons of the high-contrast recording mediumphotosensitivematerials (resists)that mediates chemical phenomena on which lithographic pat-terning is based. The exposed part of the resist is altered relative to the unexposedpart, leading to contrast between the two regions during development.The progress of optical lithography is partly, then, the result of decreasing theexposure wavelength. From the initial broadband sources, the IC industry made amigration rst to the mercury g-line (436 nm), then to the i-line (365 nm), and thenswitched to exciplex5laser sourcesrst KrF (248 nm) and now ArF (193 nm).A migration to an F2 excimer laser source was contemplated by the IC industry,but did not materialize, even after signicant investments, primarily because ofissues associated with the availability of IC industry-grade calcium uoride(CaF2), used in the lens elements. The migration toward shorter wavelengths4Lord Rayleigh, Investigations in optics, with special reference to the spectroscope, London,Edinburgh, Dublin Phil. Mag. J. Sci., Series 1 6, l8(49), Pt. XXXI, 261 274, Pt. XLVI, 403, 411,and Pt. LVI, 477 486 (1879); Lord Rayleigh, Investigations in optics, with special reference tothe spectroscope, London, Edinburgh, Dublin Phil. Mag. J. Sci., Series 7, 9(53), Pt. V, 40 55(1879); Lord Rayleigh, On the theory of optical images, with special reference to the microscope,London, Edinburgh, Dublin Phil. Mag. J. Sci. 42(255), Pt. XV, 167 195 (1896).5The term exciplex refers to a combination AB of two different atoms; it exists only in an electronically excited state and dissociates as soon as the excitation is lost. It differs from an excimer, anexcited state dimer of two similar atoms AA. The exciplex lasers that have found widespread applications in lithography are based on KrF and ArF formed in electrical discharge in a mixture containing krypton and uorine in KrF lasers and argon and uorine in ArF lasers, respectively. The only trueexcimer laser that has found application in lithography is based on excited state F2 dimers (lasing at157 nm). The KrF and ArF exciplex and F2 excimer survive for a few nanoseconds, long enough toparticipate in laser action. As soon as the excitation is gone, the atoms separate because the potentialenergy curve of their ground state is repulsive. Unfortunately, the widely used misnomer excimerlaser appears in the literature to describe exciplex lasers XeCl (lasing at 308 nm), KrF (lasing at248 nm), and ArF (lasing at 193 nm) when exciplex laser is appropriate. In this book, we willuse the appropriate terms. [For the photochemistry of excimers and exciplexes, please see, forexample, P.W. Atkins, Physical Chemistry, 5th ed., p. 609, W.H. Feeman, New York (1994);P. Suppan, Chemistry and Light, pp. 104 110, Royal Society of Chemistry, Cambridge, England(1994)].Introduction to Lithography 7 naturally limits the pool of available photosensitive materials that could beemployed in resist formulations.Because the NA of the optical system limits the spatial frequencies that can betransmitted to expose the resist, the NA of lens designs has migrated from 0.2 to0.42 to 0.63 to 0.75 to 0.95. With a fundamental limit of NA 1.0 for a conven-tional optical system, the introduction of immersion ArF lithography has enabledthe migration to hyper-NA (.1.0) optical systems. It is noteworthy that thedrive toward high NA is at a cost of decreased depth of focus and increaseddifculty in fabricating a lens with adequate eld size.6Today, the leading-edge microelectronic devices are being made with photo-lithography at 193 nm, which is inevitably a continuation of the progressionfrom longer-wavelength lithographies and is dictated by the requirements forhigher resolution and the drive in the IC industry toward greater packing densityand higher speeds, as noted earlier.The resolution that will be necessary for the manufacture of future generationsof ICs with feature sizes below 22 nm is beyond the limits of 365-, 248-, and 193-nm UV lithographies. According to the International Technology Roadmap forSemiconductors (ITRS),7extreme ultraviolet (EUV) lithography at 13.5 nm is apromising candidate for achieving such high resolution. With EUV lithography,sub-22-nm devices can be fabricated with conventional masks using reectiveoptics of 0.250.45 NA.As stated above, this book attempts to systematically reappraise the maindevelopments in chemistry and optics that have ultimately led to lithography aspracticed today, especially in semiconductor lithographythe most advancedform of lithography.8The task is no doubt an onerous one, but one that must bedone in order to unearth the hidden connections between the various streams ofthoughts that materialized as lithography and subsequently as semiconductorlithography.6M.J. Bowden, The lithographic process: the physics, Chapter 2 in Introduction to Microlithography, L.F. Thompson, C.G. Willson, and M.J. Bowden, Eds., pp. 19 138, American ChemicalSociety, Washington, DC (1994).7http://www.itrs.org8Although the emphasis of this book is on semiconductor lithography, attempts will be made wherenecessary to highlight relevant aspects of the low technology variants of lithography as practiced inoffset lithography and ne art lithography.8 Chapter 1 Chapter 2Invention of Lithographyand PhotolithographyGod grant that it [lithography] may soon spread all over the world; that it may proveuseful to mankind, and contribute to its improvement; and that it may never be abusedto any dishonourable or wicked purpose; and I shall then never cease to bless thehour in which I invented it.Alois Senefelder,11771 18342.1 IntroductionBefore beginning this journey through how lithography and subsequently photo-lithography came into existence, and how the technology has evolved ever since,it is necessary to review the cultural, scientic, and technological frameworkout of which these planographic printing techniques emerged. A great deal hasalready been written about the artistic and sociological impacts of the ne art litho-graphers and photographers of the nineteenth and twentieth centuries, which willnot be reviewed here. The introduction of lithography at the end of the eighteenthcentury and of the simultaneous introduction of photolithography and photographyin the third decade of the nineteenth century greatly inuenced every facet ofhuman endeavor, particularly in scientic and technological pursuits.With the rise during the seventeenth and eighteenth centuries of many scien-tic elds based on visual observationssystematics (or the taxonomyof biologicalorganisms), topology, and geologythe ability to make illustrative drawingsof scientic observations became an increasingly important skill. A very highpremium was also placed on the ability to make realistic and accurate drawingsof mechanical arts and engineering. Concomitant with these developments wasthe rapid industrialization brought about by the industrial revolution in Britain1A. Senefelder, A Complete Course of Lithography, p. 85, Da Capo Press, New York (1997). [Thisbook is an unabridged republication of the rst English edition published in London in1819, which was originally published in German under the title, Vollstandiges Lehrbuch derSteindruckerey.]9 and its inuence elsewhere during the late eighteenth century, which increased theneed for draftsmen to produce drawings to aid in the production and use of the ever-expanding number of machines needed for the industries.2These factors naturally increased the value of individuals who were able torecord images directly as seen in nature without interpretation by an artist ora draftsman. Being able to draw provided one with upward social mobility in anera where class and social distinctions determined ones worth in a society. Theorigins of this exact form of drawing stem from art conventions of perspectivedrawings set forth in the early Renaissance, which were in turn derived fromEuclids geometry. The removal of artice from art through the codication of asystem of drawing that produced what was understood to be scientically accuratereections of the world was the main goal of the rules of perspective. And bythe seventeenth century, these Renaissance rules were brought to their apex bythe great Dutch artists. In addition to rules of perspectives, artists and drafts-men were aided by a variety of mechanical drawing devices or drawing machineslike perspectograph, (invented in 1816 by Thomas Alason3), physiognotrace(invented in 1786 by Gilles Luis Chretien), and cameras, mostly camera obscuraand camera lucida.4The camera obscura was the most common camera in use then. Although it wasreferred to in writings dating fromantiquity, the camera obscura was rst describedin detail by Johann Baptista Porta in 1533. Literally meaning dark room, thecamera obscura is basically a dark chamber or box, with an opening throughwhich light passes. The light entering the camera opening falls onto the wall oppo-site the opening to form an image. A camera obscura with small opening requiresno lens to be operational. However, larger openings outtted with a lens producevery bright images.5Another type of camera, the camera lucida, which means light room and mayrefer to the fact that the instrument did not require any enclosure, box, or lens as inthe camera obscura, was rst described in 1807 by William Hyde Wollaston,although the exact source of the name is unknown. There are basically two maintypes of camerae lucidae. The rst one is a device with a reective glassmounted on a stand, such that the glass is positioned at a 45-deg angle to thepaper. This allows the artist or draftsman to see the reection of the scene on theglass and also to look through the glass to the paper. The scene is thus perceivedto be superimposed on the paper and can be easily copied. Images made withthis type of camera lucida are laterally reversed. The other type of camera lucidauses a four-sided prism fastened to a small stand. The use of this type of instrumentcalls for the artist or draftsman to position the eye so that it sees both the image2M.S. Barger and W.B. White, The Daguerreotype: Nineteenth Century Technology and ModernScience, pp. 4 10, Johns Hopkins University Press, Baltimore (1991).3T. Alason, in Trans. Soc. Arts, pp. 145 147 (1816).4M.S. Barger and W.B. White, The Daguerreotype: Nineteenth Century Technology and ModernScience, pp. 4 5, Johns Hopkins University Press, Baltimore (1991).5ibid., pp. 6 8.10 Chapter 2 of the scene reected directly on the retina and the scene on which the paperis copied.6Being more portable and convenient to use than the camera obscura because itwas a very simple device with only a few parts and it did not require a darkenedsurrounding, and that once its operation had been learned it was easy to use, thecamera lucida quickly found wide use among draftsmen, especially for taskssuch as enlarging or reducing drawings and for copy work. Its portability madethe camera lucida useful to both professional artists and amateurs making topolo-gical and architectural views. In particular, the camera lucida had special appealto travelers because it could be used to make correct representations7of theplaces visited even by those who could not draw as well as artists. The renownedtraveler and British naval ofcer Col. Basil Hall wrote a laudatory book about thecamera lucida, in which he claimed that once the operation of this camera wasmastered, the user could rove where he pleases, possessed of the magical secretof recording the features [scenes] and freed from the triple misery of Perspective,Proportion and Form, all responsibility respecting these taken off his hands.8Equally signicant was the increased demand during this period not only forunique works of art such as portraits and drawing, but also for reproductions ofworks of art such as prints. Demand for printed books also witnessed a phenomenalrise during this period. By sheer necessity, new printing methods and new methodsof making the paper needed for the mass production of inexpensive art works andbooks were developed during this time. Lithography, the only new printing methoddiscovered since the invention of movable type some three hundred years earlier,was introduced during this period. It revolutionized printmaking in every facetbecause it was faster and cheaper than any of the previous printing processes.92.2 Invention of LithographyThe invention of lithography dates from 1798 when the playwright AloisSenefelder10(see Fig. 2.1) writing with ink11prepared with wax, soap, and6ibid., pp. 6 8.7B. Hall, Forty Etchings, from Sketches Made with the Camera Lucida in North America in 1827 and1828, Cadell & Co., Edinburgh (1829), memorandum.8M.S. Barger and W.B. White, The Daguerreotype: Nineteenth Century Technology and ModernScience, pp. 6 8, Johns Hopkins University Press, Baltimore (1991).9ibid., pp. 8 9.10A. Senefelder, Vollstandiges Lehrbuch der Steindruckerey, Karls Thienemann and Gerold,Munich, Vienna, (1818), a complete course in lithography (in German).11The lithographic ink is used for writing or drawing immediately on the stone, or for covering thesurface of it, or for transferring drawings, executed on the paper, to the stone. The ink functions principally to ll the pores of the stone in those places to which it is applied with an oily greasy substance,and it also resists the action of aqua fortis and other acids. Inks are generally of two kinds: one of athicker nature for drawing on the stone; and another of a more liquid nature for transferring drawingsto the stone. Senefelder discloses the following eight recipes as making the best ink compositions:(1) white beeswax (8 parts by weight), soap (2 parts by weight), lampblack (1 part by weight);Invention of Lithography and Photolithography 11 lampblack on a tablet of polished Kellheim limestone,12the border of which helined with wax,13and on the entire surface of which he applied an acid solution14for a short period of time, led him to the serendipitous discovery that the limestonewas etched away in areas on which he had not written. The wax writing solutionresisted15the acid. On removing the acid, he observed that the written or image(2) wax (12 parts by weight), common tallow (4 parts by weight), soap (4 parts by weight), lampblack(1 part by weight); (3) wax (12 parts by weight), shellac (4 parts by weight), soap (4 parts by weight),lampblack (1 part by weight); (4) tallow (8 parts by weight), shellac (8 parts by weight), soap (4 partsby weight), lampblack (1 part by weight); (5) wax (8 parts by weight), shellac (4 parts by weight),mastic (4 parts by weight), soap (4 parts by weight), lampblack (1 part by weight); (6) wax(8 parts by weight), tallow (4 parts by weight), shellac (4 parts by weight), soap (4 parts byweight), lampblack (1 part by weight); (7) wax and gum guaicum melted together in equal quantities(12 parts by weight), tallow (4 parts by weight), soap (4 parts by weight), lampblack (1 part byweight); (8) wax (6 parts by weight), shellac (4 parts by weight), tallow (2 parts by weight), mastic(3 parts by weight), Venetian turpentine (1 part by weight), soap (4 parts by weight), lampblack(1 part by weight). Preparation of the ink calls for mixing together all of the different ingredients(in the manner listed above for each composition) except the soap, in an iron saucepan, and exposingthe mixture to a strong re until the whole of the mass ignites. When the quantity of the mixture isreduced to one half, the saucepan is carefully covered, or poured into a container of water, to extinguish the ame and cool the substance. Colorants for the ink, besides lampblack, may include indigo,blue lake, vermillion, and red ochre, and various other colors, provided they do not alter the nature ofthe soap. Distilled water is recommended for dissolving the ink. [A. Senefelder, A Complete Course ofLithography, pp. 110 118, Da Capo Press, New York (1997).]12The best Kellheim stones for making lithographic stone plates, Senefelder reported, came fromSolenhofen, a village in Bavaria, Germany. Chemically, these stones consist of calcium carbonate.In nitric and other acids, they can be almost entirely dissolved, with the carbonic acid escaping inthe form of gas. The demand for these stones at the time was very high, but their availability wasrestricted by political and economic events such as the continental blockade and the various militarycampaigns of the period. Thus, early on it became a matter of national priority to nd either localsources of limestone or suitable substitutes for printing stones in order to free countries likeEngland and France from their dependence on German limestone. [Cited in M.S. Barger andW.B. White, The Daguerreotype: Nineteenth Century Technology and Modern Science, p. 9, JohnsHopkins University Press, Baltimore (1991).]13A substance that is sometimes used for covering the stones, which like the ink compositions, resiststhe action of aqua fortis, is known under the name of etching ground, as it differs very little from thatwhich engravers use for their copper plates. Senefelders recipe for this calls for: wax (12 parts byweight), mastic (6 parts by weight), asphaltum (4 parts by weight), colophony (2 parts by weight),tallow (1 part by weight). Preparation of the etching ground involves melting all of the above ingredients together on the re in an iron saucepan, and exposing the latter to heat until the asphaltum iscompletely dissolved. Then, the mass is left burning until it is reduced to about two thirds; after whichthe re is extinguished and the product cooled and formed into different shapes and preserved for use.Alternatively, a good etching ground can be prepared from plain wax, if it is left boiling and burninguntil nearly ve parts of it are consumed. [A. Senefelder, A Complete Course of Lithography,pp. 122 123, Da Capo Press, New York (1997).]14Aqua fortis, or nitric acid, muriatic acid, vinegar, tartaric acid, malic acid, and oxalic acid aretypical acids used in stone plate lithography to etch away nonimage, nonwritten areas of the stone,i.e., areas that are not properly covered with grease.15Because the wax resisted the etching action of the acid, materials behaving as such within the eldof lithography have since then been called resists.12 Chapter 2 areas were raised by about 1/10 inch above the rest of the stone. By carefullyrolling ink over the stone surface, he could deposit ink pigments only on theimage areas of the stone, which he could easily transfer to a sheet of paper withlittle pressure (see Fig. 2.2 for the rst lithographic press constructed by Senefelderand Fig. 2.3 for a portable hand press also constructed by Senefelder in 1818).Senefelder called his invention steindruck, which means stone printing.16Figure 2.1 Portrait of Alois Senefelder, the inventor of lithography. (Published withpermission from the Deutsches Museum, Munich.)16According to Senefelder, the invention of lithography draws its direct origin under the most triingof circumstances in a story he narrated thus: I had just succeeded in my little laboratory in polishing astone plate, which I intended to cover with etching ground, in order to continue my exercises inwriting backwards, when my mother entered the room, and desired me to write her a bill for thewasher woman, who was waiting for the linen; I happened not to have even the smallest slip ofpaper at hand, as my little stock of paper had been entirely exhausted by taking proof of impressionsfrom the stones; nor was there even a drop of ink in the inkstand. As the matter would not admit ofdelay, and we had nobody in the house to send for a supply of the decient materials, I resolved towrite the list with my ink prepared with wax, soap, and lampblack, on the stone which I had justpolished, and from which I could copy it at leisure.Some time after this I was just going to wipe this writing from the stone, when the idea all atonce struck me, to try what would be the effect of such a writing with my prepared ink, if I wereto bite in the stone with aqua fortis [nitric acid]; and whether, perhaps, it might not be possible toapply printing ink to it, in the same way as to wood engravings, and so take impressions from it. Iimmediately hastened to put this idea in execution, surrounded the stone with a border of wax, andcovered the surface of the stone, to the height of two inches, with a mixture of one part of aquafortis, and ten parts of water, which I left standing 5 minutes on it; and on examining the effect ofthis experiment, I found the writing elevated about a 10th part of a line (or 1 120th part of aninch.) Some of the ner, and not sufciently distinct, lines, had suffered in some measure, butthe greater part of the letters had not been damaged at all in their breadth, considering theirelevation; so that I condently hoped to obtain very clear impressions, chiey from printed characters, in which there are not many ne strokes.I now proceeded to apply the printing ink to the stone, for which purpose I rst used a commonprinters ball; but, after some unsuccessful trials, I found that thin piece of board, covered with necloth, answered the purpose perfectly, and communicated the ink a more equal manner, than anyInvention of Lithography and Photolithography 13 Figure 2.2 Wooden lithographic press constructed by Alois Senefelder and used in hisinvention of lithography. (Published with permission from the Deutsches Museum,Munich.)Figure 2.3 Lithographic stone and small hand press constructed by Alois Senefelder in1818 and used for fast production of lithographic prints. Note the copy made from thehandwritten note on the lithographic stone. (Published with permission from theDeutsches Museum, Munich.)other material I had before used. My further trials of this method greatly encouraged my perseverance.The application of the printing ink was easier than in the other methods, and I could take impressionswith a fourth part of the power that was requisite for an engraving, so that the stones were not at allliable to the danger of breaking; and, what was the greatest moment for me, this method of printingwas an entirely newinvention, which had occurred to nobody before me. [A. Senefelder, A CompleteCourse of Lithography, pp. 9 11, Da Capo Press, New York (1997) (originally published in 1819).]14 Chapter 2 Because Senefelder was printing in relief, this method is not the same as whatwe call lithography today. Nevertheless, Senefelders invention was a signicantadvancement over the older copper plate engraving printing method, which wasrather laborious, slow, and costly. But the basis of all modern lithographyderives also from another observation of his,17which was that when a greasy(oily) image is drawn on a slab of limestone surface of appropriate size groundat to desired texture, perhaps with a pencil, following which a solution of gumarabic18(preferably acidic in the pH range between 3.5 and 5) is applied, andwhen an ink roller is subsequently rolled over the entire stone surface, ink woulddeposit only on the image areas on the stone (i.e., areas on the stone drawnwith the greasy pencil) and would be repelled in the nonimage areas (i.e., areasof the stone without the drawings from the greasy pencil). With a little pressure,he could easily transfer the image on the stone to a paper laid face down onthe stone. This inventionbased on the fact that oil and water repel eachotheris the basis of all contemporary lithographic printing. He called thisinvention chemische druckerey, which means chemical printing because theprocess depends on the chemical interaction of grease, dilute acid, gum arabic,and water, rather than the stone from which the name lithography is derived.17According to Senefelder, My whole process was therefore, as follows: To wash the polished stonewith soap water, to dry it well, to write or draw upon it with the composition of ink of soap and wax,then to etch it with aqua fortis; and lastly, to prepare it for printing with an infusion of gum water.I had hoped to have been able to dispense with the gum water, but was soon convinced that itreally enters into chemical afnity with the stone, and stops its pores still more effectually againstthe fat, and opens them to the water. In less than three days after my rst idea, I produced asperfect and clear impressions, as any that has since been obtained. [A. Senefelder, A CompleteCourse of Lithography, pp. 31 32, Da Capo Press, New York (1997).]18The lithographic stone, being made of limestone and therefore containing numerous pores, isequally capable of imbibing greasy as well as watery substances through these pores. These substances have the quality of adhering to the particles that make up the stone, but can be easily separatedfrom them, so long as the nature of the stone is not altered. This alteration is effected by sulfuric, tartaric, and phosphoric acids. Water evaporates gradually from the pores when the stone dries; but gumarabic and other greasy substances do not. Printing ink cannot adhere to the stone, so long as it contains a sufcient quantity of water. In general, it adheres only faintly to the calcium carbonate surface,and does not get stronger afnity to it, until its pores are lled with the greasy hydrophobic etchingground (resist) to which the ink, also hydrophobic, can favorably unite. This afnity of adhesion of theprinting ink with the resist on the stone takes place only when the two hydrophobic substances candirectly contact each other. If this contact is interrupted (which may happen when the etchingground has penetrated too deep into the stone and has left the surface), the etching ground will nottake the printing ink until direct contact has been reestablished between these two greasy substances.When applied to a well polished stone, the solution of gum arabic, being very hydrophilic, producesthe effect that the wetted spot will not accept the hydrophobic ink as long as it remains wet. But assoon as the spot dries up, the ink adheres to it, but can be easily wiped off with a sponge andwater. The gum arabic does impart to the stone the quality of rejecting the printing ink, a qualitythat is made all the more durable if the acid has been previously applied to this spot, before the application of the gum arabic. On this principle lies lithographic printing. [A. Senefelder, A CompleteCourse of Lithography, pp. 146 147, Da Capo Press, New York (1997).]Invention of Lithography and Photolithography 15 The process has been variously called steindruckerey, polyautography, engrav-ing or printing from stone, and imprimerie chemique. The French were the rstto call the process by its current name, lithographie,19which means writingwith stone, and derived from Greek words lithos meaning stone and graphmeaning to write.Not long after Senefelders original discovery, artists across Europe employedit to make reproductions of the works of old masters and, in time, recognized it as avaluable medium for their own original works. Not only was it the rst printingprocess to be introduced after the invention of the movable type three hundredyears earlier, Barger and White20assert, it was also based on chemical, notmechanical, principles. Descriptions of the process were widely disseminatedin scientic and technical journals, as well as in the popular press. By 1815,Mulhouse, France, had developed into a major center for lithography in Europe,and many people came there from England and Germany to learn the process.21By 1825, A. Hyatt Mayor asserts that lithography matured its classic style whenGoya, as an exile in Bordeaux, drew four big stones of bullghts. At 79, whenmost men are happy if they can rene what they invented years earlier, Goyacreated the rst great works of art in the medium, the rst that combine thefreedom of a sketch with a black-and-white as rich and as satisfying as a painting.The road that he opened was soon followed by great artists like Delacroix,Daumier, Degas, Lautrec, Bonnard, Vuillard, Matisse, Picasso, and practicallyevery prominent painter in France, and ultimately by those in other countries.22From France, lithography spread westward to the United States and eastwardto Persia. Remarkably, British engravers and aquatinters fought the adoption oflithography in England, fearing that it threatened their monopoly of reproducingpaintings and drawings, and so they persuaded Parliament to exclude the excellentGerman limestones with a prohibitive import duty. On their part, Americanengravers were under the impression that lithography was just a shortcut to whatengravers did, but since they were not part of an organized body that couldlobby the U.S. Congress, Currier and Ives were left free to exploit the processfor reproducing thousands of paintings and drawings.23The rst steam lithographic press was invented in France in 1850 and intro-duced into the United States by R. Hoe around 1868.24Lithographic stoneswere used for the image and a blanket-covered cylinder received the imagefrom the plate and transferred it to the substrate in the steam lithographic press.Direct rotary presses for lithography using zinc and aluminum metal plates were19M.S. Barger and W.B. White, The Daguerreotype: Nineteenth Century Technology and ModernScience, pp. 8 10, Johns Hopkins University Press, Baltimore (1991).20ibid., p. 9.21ibid., p. 9.22A.H. Mayor, in A. Senefelder, A Complete Course of Lithography, pp. V VI, Da Capo Press,New York (1997) [Mayor provides an excellent introduction to the 1977 edition of Senefeldersclassic book].23ibid.24http://4dps.dynodan.com/printing process explained/index.html16 Chapter 2 introduced in the 1890s.25The rst offset press was