chemistry and lithography

878

Upload: baquiralig

Post on 29-Oct-2014

348 views

Category:

Documents


11 download

DESCRIPTION

chemistry

TRANSCRIPT

Bellingham, WashingtonUSALibrary of Congress Cataloging-in-Publication Data Okoroanyanwu, Uzodinma. Chemistry and lithography / Uzodinma Okoroanyanwu. p. cm. --(Press monograph ; 192) ISBN 978-0-8194-7562-6 1.Lithography. 2.Chemistry, Technical.I. Society of Photo-optical Instrumentation Engineers. II. Title.NE2425.O38 2010 621.3815'31--dc22 2009036266 Published by SPIE P.O. Box 10 Bellingham, Washington98227-0010 USA Phone: +1 360.676.3290 Fax: +1 360.647.1445 Email:[email protected] Web:http://spie.org and John Wiley & Sons, Inc. 111 River Street Hoboken, New Jersey 07030 Phone: +1 201.748.6000 Fax: +1 201.748.6088 ISBN: 9781118030028 Copyright 2010 Society of Photo-Optical Instrumentation Engineers All rights reserved. No part of this publication may be reproduced or distributed in any form or by any means without written permission of the publisher. The content of this book reflects the work and thought of the author(s). Every effort has been made to publish reliable and accurate information herein, but the publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon. Printed in the United States of America. Dedicated to the memory of the late Professor William C. Gardiner, Jr.,of The University of Texas at Austin, under whom I studied.ContentsPreface xxiAcronyms and Abbreviations xxvPart I: Origins, Inventions, and the Evolution of Lithography 11 Introduction to Lithography 32 Invention of Lithography and Photolithography 92.1 Introduction 92.2 Invention of Lithography 112.3 Invention of Photolithography 172.4 Pioneers of Photography 182.4.1 Joseph Nicephore NiepceThe inventor ofphotography and photolithography 192.4.2 Louis Jacques MandeDaguerre 252.4.3 William Henry Fox Talbot 263 Optical and Chemical Origins of Lithography 293.1 Introduction 293.2 Key Developments that Enabled the Invention andDevelopment of Lithography 333.2.1 Developments in optical physics 333.2.1.1 Tactile and emission theories of light 333.2.1.2 Early studies in optics and catoptrics 343.2.1.3 On the nature of light 383.2.1.4 Electromagnetic theory 503.2.1.5 Electromagnetic spectrum 553.2.1.6 Absorption of light 583.2.1.7 Chemical effects of light 583.2.1.8 The discovery of electrons 613.2.1.9 The discovery of x rays 623.2.1.10 Radioactivity 63vii3.2.1.11 The beginnings of quantum theory 643.2.1.12 Molecular theory of matter 653.2.1.13 Blackbody radiation 663.2.1.14 Plancks quantum hypothesis for blackbodyradiation 673.2.1.15 Einsteins quantum hypothesis for thephotoelectric effect 693.2.1.16 Bright and dark line spectra 713.2.1.17 Nuclear model of the atom 743.2.1.18 Bohrs model of the hydrogen atom 753.2.1.19 Implications of Bohrs theory 783.2.1.20 Quantum theory of light 793.2.1.21 Einsteins theory of relativity 833.2.2 Developments in optical instruments and glassmakingtechnologies 853.2.3 Developments in chemistry 953.2.3.1 The four-element theory 953.2.3.2 Chemistry as a distinct discipline 973.2.3.3 Alchemy 983.2.3.4 Early theories of combustion and calcination 993.2.3.5 Phlogiston theory 1003.2.3.6 Discovery of simple gases in common air 1023.2.3.7 Foundation of modern chemistry 1043.2.3.8 Post-Lavoisian evolution of chemistry 1093.2.3.9 Development of various elds in chemistry 1174 Evolution of Lithography 1374.1 Introduction 1374.2 Offset Lithography 1414.3 The Printed Circuit Board and the Developmentof the Electronics Industry 1424.4 The Transistor and Microelectronics Revolution 1454.4.1 The invention of the transistor 1454.4.2 Limits of discrete transistors 1474.5 The Integrated Circuit 1484.6 Other Notable Developments in TransistorTechnology 1484.7 Overall Device Technology Trends 1524.8 Semiconductor Lithography 1574.8.1 Optical lithography 1604.8.2 Challenges of decreasing exposure wavelength inoptical lithography 1654.9 X-ray Lithography 1654.10 Electron-Beam Lithography 1674.11 Ion-Beam Lithography 1694.12 Extreme Ultraviolet Lithography 170viii Contents4.13 Soft Lithography 1704.13.1 Microcontact printing 1714.13.2 Micromolding in capillaries 1724.13.3 Nanoskiving 1724.13.4 Step-and-ash imprint lithography 1724.13.5 Nanoimprint lithography 1724.14 Proximal Probe Lithography 1734.15 Atom Lithography 1754.16 Stereolithography 1764.17 Molecular Self-Assembly Lithography 176Part II: Lithographic Chemicals 1795 Lithographic Chemicals 1815.1 Introduction 1815.2 Resists 1815.2.1 Resist solvents 1845.2.2 Manufacture of resists 1845.3 Antireection Coatings 1865.4 Resist Developers and Rinses 1875.5 Resist Strippers and Cleaners 1895.6 Offset Lithographic Inks and Fountain Solutions 1935.6.1 Offset lithographic inks 1935.6.2 Fountain solutions 1946 Negative Resists 1956.1 Introduction 1956.2 Resins 1966.3 Types of Negative Resists 1996.3.1 Non-radiation-based negative resists 1996.3.1.1 Wax-lampblack-soap resists 1996.3.2 Radiation-induced negative resists 2006.3.2.1 Negative resists based on radiation-inducedcross-linking reactions 2006.3.3 Chemically amplied cross-linking negative resists 2246.3.3.1 Chemically amplied negative phenolic resistsbased on acid-catalyzed condensation/intermolecular dehydration cross-linkingreactions 2246.3.3.2 Chemically amplied negative resistsbased on radiation-induced polaritychanges 2266.3.4 Non-chemically amplied negative resists based onradiation-induced polarity changes 2276.3.4.1 Metal-chalcogenide resists 227Contents ix6.3.4.2 Ylide resists 2326.3.4.3 Diazo resists 2336.3.5 Chemically amplied negative resists based onradiation-induced polarity changes 2346.3.5.1 Chemically amplied negative resists basedon acid-catalyzed pinacol rearrangement 2346.3.5.2 Chemically amplied negative resists basedon acid-catalyzed intramolecular dehydration 2366.3.5.3 Chemically amplied condensation/intermolecular dehydration negative resistsbased on acid-catalyzed cross-linking withacid-sensitive electrophile (cross-linking agent) 2386.3.5.4 Chemically amplied methacrylate negativeresists based on acid-catalyzed esterication 2466.3.5.5 Chemically amplied methacrylate negativeresists based on acid-catalyzed deprotectionand development in supercritical CO22476.4 General Considerations on the Chemistry of Cross-Linking 2526.5 Negative Resists Arising from Polymerization of Monomersin the Presence of Polyfunctional Components 2566.6 General Considerations on the Chemistry of PhotoinitiatedRadical Polymerization Employed in Negative Resist Systems 2576.6.1 Photogeneration of radicals 2586.6.1.1 Initiators based on photofragmentation 2586.6.2 Radicals generated by hydrogen abstraction 2626.6.2.1 Other practical initiator systems based onhydrogen abstraction 2636.6.3 Dye-sensitized initiation 2666.6.4 The initiation step 2696.6.5 Propagation versus termination and the kineticchain length 2706.6.5.1 The steady state approximation 2706.7 General Considerations on Photoinitiated CondensationPolymerization 2726.7.1 The thiol-ene system 2726.8 General Considerations on the Photoinitiated CationicPolymerization Employed in Negative Resist Systems 2736.8.1 Initiation by onium salts 2736.8.1.1 Initiation 2736.8.1.2 Propagation 2746.9 Practical Negative Resist Compositions Arising fromPhotopolymerization of Monomers in the Presence ofPolyfunctional Components 2806.9.1 Negative resist composition 2806.9.2 Binders 280x Contents6.10 Lithographic Applications of Photopolymerization NegativeResists 2806.10.1 Lithographic offset plates 2816.10.2 Dry resists 2816.10.3 Printed circuit boards 2826.10.4 Solder mask 2836.10.5 IC device fabrication 2837 Positive Resists 2857.1 Introduction 2857.2 Types of Positive Resists 2867.2.1 Non-chemically amplied positive resists 2867.2.1.1 Non-chemically amplied positive resistsbased on functional group polarity switch 2867.2.1.2 Non-chemically amplied positive resistsbased on main chain scission 3237.2.2 Chemical amplication positive resists: the chemicalamplication concept 3357.2.2.1 Acid generators 3367.2.2.2 Chemical amplication positive resists andtheir imaging mechanisms 3437.2.2.3 Chemical amplication positive resists basedon deprotection 3437.2.2.4 Chemical amplication positive resists basedon Claisen rearrangement 3857.2.2.5 Chemical amplication positive resists basedon depolymerization 3877.3 Resist Materials for Multilayer Resist Systems 3917.3.1 Hard mask resist materials 3927.3.2 Top surface imaging resists 3937.3.3 Bilayer resists 3938 General Considerations on the Radiation and Photochemistryof Resists 3958.1 Interaction of Radiation with Resists 3958.2 Excited State Complexes 3978.2.1 Excimers 3978.2.2 Exciplexes 3988.3 Energy Transfer 3998.3.1 Dipole resonance transfer 4008.3.2 Exchange transfer 4018.3.3 The Perrin formula 4028.4 Energy Migration in Resist Polymers 4038.5 Spectral Sensitization 4068.6 Sensitization by Energy Transfer 407Contents xi8.6.1 Triplet sensitization 4078.6.2 Sensitization by electron transfer 4088.7 RadiationChemistryVersusPhotochemistryofResists 4098.8 Radiation Chemical Yield and Dosimetry 4118.9 Radiation Chemistry of Polymers 4118.9.1 Backbone scission and cross-linking 4118.9.2 Determination of the scission yield 4128.9.3 Determination of the cross-linking yield Gx4138.10 Sensitivity and Exposure Radiation 4148.11 Exposure Mechanisms of Resists and Exposure Radiation 4159 Antireection Coatings and Reectivity Control 4199.1 Introduction 4199.2 Antireection Coating Strategies 4219.2.1 Top antireection coatings 4219.2.2 Theory of top antireection coatings 4249.2.3 Reection and transmission amplitudes 4249.3 Bottom Antireection Coatings 4289.3.1 Organic bottom antireection coatings 4289.3.1.1 Design approaches to organic bottomantireection coatings 4289.3.2 Inorganic bottom antireection coatings 4319.4 Applications of Bottom Antireection Coatings 4329.4.1 Suppression of standing waves and reectivity effects 4329.4.2 Feature CD trimming 4359.4.3 Damascene applications involving silicon-containingresists and silicon-containing hard mask materials withantireection properties 4379.5 Organic versus Inorganic Bottom Antireection Coating andRework/Stripping Issues 4389.6 Bottom Antireection CoatingResist Interactions 4389.7 Theory of Bottom Antireection Coatings 4409.7.1 Reectivity of absorbing layers 4409.7.2 Electric eld in photoresist lms 4419.7.3 Bulk standing wave intensity 4449.7.4 Substrate reectivity and photoresist absorbance 4459.7.5 Relative swing amplitude 4469.8 Bottom Antireection Coatings for High-NA Imaging 448Part III: The Practice of Lithography 44910 Stone, Plate, and Offset Lithography 45110.1 Stone and Plate Lithography 45110.2 Offset Lithography 455xii Contents10.3 The Offset Lithographic Press 45610.4 Components of an Offset Lithographic Press 45710.4.1 Paper-feeding system 45710.4.2 Damping system 45710.4.3 Inking system 45710.5 Types of Offset Lithographic Inks 45810.6 Fabrication of Lithographic Offset Plates 45810.7 The Offset Lithographic Process 45910.8 Waterless Offset Lithography 46011 The Semiconductor Lithographic Process 46311.1 Introduction 46311.2 Adhesion Promotion 46411.2.1 Priming of silicon dioxide surface with HMDS 46611.3 Resist Coating 46811.3.1 The resist spin-coating process 46811.4 Characterizing Ultrathin Resist Processes 47211.4.1 Instabilities in ultrathin resist lms 47311.4.2 Spin coating and instabilities in ultrathin resist lms 47311.4.3 Hydrodynamics of ultrathin resist lms 47411.4.4 Instabilities and thermophysical properties ofultrathin resist lms 47611.4.5 Ultrathin lms and defectivity 48211.5 Soft Bake/Prebake 48511.6 Alignment 48811.7 Exposure 48911.8 Postexposure Bake 49111.8.1 Deprotection kinetics of representative resistpolymer systems 49311.9 Monitoring Photoacid Generation in Thin Photoresist Filmsby Means of Fluorescence Spectroscopy 49811.10 Postexposure Bake Sensitivity 50111.11 Consequences of Acid Diffusion 50211.12 Development 50411.12.1 Resist development methods 50511.12.2 Types of development processes 50611.12.2.1 Chemical development 50611.12.2.2 Physical development 50711.12.3 Development rate characterization 50711.12.3.1 Laser interferometry 50711.12.3.2 Quartz crystal microbalance 50911.13 Dissolution Mechanism of Resist Polymers 51111.14 Dissolution Mechanism of Phenolic Resists 51311.15 Comparison of Dissolution Characteristics of Novolacand Poly(hydroxystyrene)-based Resists 513Contents xiii11.16 General Facts about the Dissolution Mechanismof DNQ/Novolac Resists 51511.16.1 Mechanistic models for DNQ/novolacdissolution 51711.16.1.1 The membrane model 51811.16.1.2 The secondary structure model 51911.16.1.3 The critical deprotonation model 52211.16.1.4 The percolation model of resistdissolution 52511.16.1.5 The critical ionization model 52711.16.1.6 The stone wall model of novolacdissolution 52711.16.1.7 Effects of resin and inhibitor structureon dissolution rate 52711.17 Resist Development Issues 53211.17.1 Pattern collapse 53211.18 Postdevelopment Bake and Resist Stabilization Treatments 53611.18.1 Postdevelopment bake 53611.18.2 UV radiation curing 53711.18.3 Electron-beam curing of resists 54011.18.3.1 Radiation chemistry of electron-beamcuring 54211.18.3.2 Effects of electron-beam curing 54211.19 Measurement and Inspection 54311.20 Etching 54411.20.1 Wet etching 54411.20.2 Dry etching 54511.20.2.1 Plasma etching 54611.20.2.2 Reactive-ion etching 54711.21 Rework/Stripping 54812 Lithographic Modeling 55112.1 Introduction 55112.2 Historical Background 55112.3 Structure of a Lithographic Model 55412.3.1 Aerial image 55612.3.2 Standing waves 55612.3.3 Prebake 55612.3.4 Exposure 55612.3.5 Postexposure bake 55612.3.6 Development 55712.4 Basic Imaging Theory 55712.5 Accounting for Aberrations 56012.6 Aerial Image Formation Models 56312.6.1 Scalar models for calculating aerial image intensity 56312.6.1.1 Zero-order scalar model 564xiv Contents12.6.1.2 First-order scalar model 56412.6.1.3 High-NA scalar model 56512.6.2 Full scalar and vector models 56512.7 Standing Wave Models 56612.8 Exposure Models 57012.8.1 Adapting exposure kinetics of DNQto the Dill equations 57412.9 Postexposure Bake Models 57912.9.1 Adapting exposure kinetics of chemicalamplication resists to the Dill equations 58212.10 Development Models 58912.10.1 The kinetic development model or Mack model 59012.10.2 The enhanced kinetic development model orenhanced Mack model 59212.10.3 The lumped parameter model 59312.10.4 Resist prole 59812.11 Accuracy of Lithographic Models 59912.12 Applications/Uses of Lithographic Modeling 60012.12.1 Research applications 60112.12.2 Process development applications 60212.12.3 Manufacturing and instructional applications 60313 Optical Lithography 60513.1 Introduction 60513.2 Elements of Optical Lithography 60613.2.1 Radiation sources 60813.2.1.1 High-pressure arc lamps 60813.2.1.2 Exciplex and excimer laser sources 60913.2.2 Illumination system 61613.2.3 Mask and reticles 61813.2.3.1 Classication of masks 62013.2.3.2 Mask types and mask materials 62013.2.3.3 Fabrication of photomasks 62313.2.3.4 Mask-making resists 62613.2.3.5 Etching of mask-making resists 62613.2.4 Pellicles 62713.2.5 Exposure optics system 62813.3 UV Photochemistry in the Exposure ChamberEnvironment of Optical Lithographic Tools 62913.3.1 UV absorption properties of typical gases inlithographic exposure tools 63013.3.2 Photodissociation of molecular oxygen 63213.3.3 Photo-oxidative degradation of photoresist polymers 63613.3.3.1 General mechanism of patterned resistpolymer photo-oxidative degradation 637Contents xv13.3.4 Inorganic salt formation on DUV exposure toollenses and reticles 64113.3.4.1 Mechanism of ammonium sulfate crystalformation on DUV lithographic exposurelenses and reticles 64213.3.5 Corrosion and oxidation of chrome structuresin DUV lithographic masks 64513.3.5.1 Mechanism of lithographic mask chromestructure oxidation 64613.4 Optical Materials for UV and Visible Light Lithographies 64813.4.1 Fused silica 65113.4.1.1 Fused-silica degradation mechanisms 65213.4.2 Calcium uoride 65513.4.3 Optical coatings 65713.4.3.1 Aluminum mirrors 65713.4.3.2 Dielectric optical coatings 65813.4.4 Pellicle materials 65813.5 Printing Modes 65813.5.1 Contact and proximity printing 65913.5.2 Projection printing 66013.6 General Considerations on Optics Relevant to Lithography 66513.6.1 Image formation 66513.6.1.1 The role of the objective lens 66813.6.1.2 Partial coherence theory of image formation 67013.6.2 Image quality 67313.6.2.1 Contrast 67413.6.2.2 Modulation transfer function 67413.6.2.3 Exposure latitude 67513.6.2.4 Normalized image log-slope 67613.6.2.5 Depth of focus 67613.6.2.6 Exposure-defocus window 67713.6.2.7 Critical dimension uniformity 67713.7 Optical Lithographic Technologies and TheirPerformance 67713.7.1 i-line (365-nm) lithography 67713.7.2 KrF (248-nm) lithography 67813.7.3 Dry ArF (193-nm) lithography 67813.7.3.1 ArF resist material chemistry 68113.7.3.2 ArF lithographic patterning issues 68213.7.4 Water immersion ArF (193-nm) lithography 69213.7.4.1 Resists and topcoats 69413.7.4.2 Postexposure bake delay stability 69613.7.4.3 Defectivity 69613.7.5 F2 excimer laser (157-nm) lithography 700xvi Contents14 X-Ray and Extreme Ultraviolet Lithographies 70314.1 Introduction 70314.2 Proximity X-Ray Lithography 70414.2.1 Synchrotron sources 70514.2.2 X-ray masks 70614.3 Extreme Ultraviolet Lithography 70714.3.1 EUV multilayer mirrors 71014.3.2 Fabrication of Mo-Si multilayer mirrors 71314.3.3 EUV masks 71414.3.4 The EUV exposure system 71514.3.5 Sources for EUV lithography 71614.3.5.1 Laser-produced plasma sources 71714.3.5.2 Discharge-produced plasma sources 71814.4 Optics Lifetime 71914.5 Contamination Processes 72114.5.1 Carbon deposition 72114.5.2 Oxidation 72514.5.3 Impact of contamination 72814.6 Contamination Mitigation Strategies 73014.6.1 Exposure chamber environment control 73114.6.2 Use of oxidation-resistant capping layers 73114.6.3 Thermal processes used in EUV opticscontamination mitigation 73114.6.4 Nonthermal processes used in EUV opticscontamination mitigation 73314.6.5 Reactive-ion etching processes for cleaningcontaminated optics 73714.6.6 Debris-mitigation schemes 73714.7 EUV Resists and Imaging Performance 73715 Charged Particle Lithography 74115.1 Introduction 74115.2 Electron-Beam Lithography 74115.2.1 Electron scattering 74215.2.2 Electron-beam lithography systems 74615.2.2.1 Electron sources 74715.2.2.2 Electron optical components 74815.2.2.3 Exposure stage 74815.2.2.4 Computer 74915.3 Types of Electron-Beam Lithographies 74915.3.1 Electron-beam direct-write lithography 75015.3.2 Scanning strategies 75115.4 Electron Projection Lithography 75115.4.1 Scattering with angular limitation projectionelectron-beam lithography (SCALPEL) 75315.4.1.1 SCALPEL technology challenges 757Contents xvii15.4.2 Projection reduction exposure with variable axisimmersion lens (PREVAIL) lithography 75915.5 Ion-Beam Lithography 75915.5.1 Types of ion-beam lithographies 76115.5.2 Ion projection lithography 76315.5.3 Stochastic blur 76616 Lithography in Integrated Circuit Device Fabrication 76716.1 Introduction 76716.2 Fabrication of a 90-nm CMOS Microprocessor 77316.2.1 Twin-well process 77316.2.1.1 n-well formation 77316.2.1.2 p-well formation 77516.2.2 Shallow trench isolation process 77616.2.2.1 STI oxide ll 77716.2.2.2 STI oxide polish and nitride strip 77716.2.3 Polysilicon gate process 77816.2.4 Lightly doped drain implant processes 77816.2.4.1 n2LDD implant 77916.2.4.2 p2LDD implant 77916.2.5 Sidewall spacer formation 77916.2.6 Source/drain implant process 78016.2.6.1 n S/D implant 78016.2.6.2 p S/D implant 78116.2.7 Contact formation 78216.2.8 Via-1 and tungsten plug formation 78216.2.8.1 Via-1 formation 78316.2.8.2 Tungsten plug formation 78316.2.9 Copper interconnect wiring formation by meansof the dual damascene technique 78416.2.10 Bond pad metal formation and packaging 79016.2.11 Wafer testing and sorting 79017 Advanced Resist Processing and Resist ResolutionLimit Issues 79117.1 Introduction 79117.2 Resist Systems 79217.2.1 Single-layer resist systems 79217.2.2 Multilayer resist systems 79217.2.2.1 Hard mask resist system 79417.2.2.2 Top surface imaging resist system 79417.2.2.3 Bilayer resist system 79617.3 Advanced Resist Processing Techniques 79717.3.1 Single-exposure techniques 79717.3.1.1 Hyper-NA imaging resist processingtechniques 798xviii Contents17.3.1.2 EUV lithography resist processingtechnique 79917.3.1.3 Postexposure-based CD shrink techniques 79917.3.2 Reow CD shrink techniques 80017.3.2.1 Thermal reow shrink technique 80017.3.2.2 Electron-beam-induced CD shrinktechniques 80117.3.3 Chemically induced CD shrink techniques 80317.3.3.1 Chemically induced CD shrink techniquesbased on sidewall formation 80317.3.3.2 Chemically induced CD shrink techniquesbased on sidewall erosion 80617.3.3.3 Plasma-assisted CD shrink technique 80817.3.4 Double-exposure techniques 80917.3.5 Double-patterning techniques 81117.3.5.1 Lithography-etch-lithography-etchpatterning scheme 81317.3.5.2 Lithography-freeze-lithography-etchpatterning scheme 81317.3.5.3 Self-aligned double-patterning (SADP)scheme 81517.4 Resolution Limit Issues of Resists 81817.4.1 Resolution limits due to chemical amplicationin resists 81917.4.1.1 Elucidating how photoacid diffusion leadsto resist contrast and resolution loss 82317.4.2 Resolution limits due to line edge roughness 82517.4.2.1 Base quenchers 82617.4.2.2 Polymer size 82717.4.2.3 Shot noise 82817.4.3 Resolution limits due to connement effects in resists 82917.4.4 Resolution limits due to resist polymermolecular properties 83017.4.5 Resolutionline edge roughnesssensitivity trade-off 83117.5 Resist Materials Outlook for the 22-nm and SmallerTechnology Nodes 83317.6 Resist Processing Outlook for the 22-nm and SmallerTechnology Nodes 834Afterword 835Index 837Contents xixPrefaceIt is my intention to provide in this book a concise treatment of chemicalphenomenainlithographyinamanner that isaccessibletoawidereadership.Whiletheemphasisisplacedonhowlithographyismediatedthroughchemicalphenomena, topicsinoptical andchargedparticlephysicsastheyarepracticedin lithography are also presented, with a broader viewto illustrate howthe marriagebetweenchemistryandopticshasmadepossibletheprintandelectronicrevolu-tions on which our digital age depends.The linkbetweenchemistryandlithographyis essentiallyfourfold. First,several important chemical and physical principles were involved in the inventionoflithographyandphotolithography. ThisthemeisexploredinPart I, coveringChapters 14. Chapter 1 introduces the role of lithography in print and electronicrevolutions. Chapter 2deals withtheinventionof lithographyandphotolitho-graphy. Chapter 3provides the backgroundsurroundingthe discoveryof thechemical andoptical principlesthat madepossibletheinventionoflithographyand photolithography. Chapter 4 traces the evolution of lithography from its inven-tion to the various forms in which it is practiced today.Second,theprocessesforthesynthesis,manufacture,usage,andhandlingoflithographicchemicalsandmaterialsareallchemicaltransformations,involvingdistinct chemical reactions that followwell-established chemical principles.ThisthemeisexploredinPart II, coveringChapters59. Chapter5dealswithsynthesis and formulation of the chemicals used in lithography such as inks, foun-tain solutions, resists, antireection coatings, solvents, developers, resist strippersand removers, etc. Chapters 6 and 7 explore the chemistry of negative and positiveresist materials, respectively, in terms of their synthesis, physical characterization,radiation chemistry, imaging mechanism, and lithographic applications. Chapter 8explores in a general manner the radiation and photochemistry of resist materials.Chapter 9 deals with the theory and application of antireection coatings inreectivity control.Third, several important chemical andphysical principles are involvedinthe various modules that constitute lithography, covering preparation of thelithographic substrates (be theylithographic plates or siliconwafers), coatinganddepositionof resist solutions onappropriate substrates affordingthindrylms, exposure of the dry lms to actinic radiation, thermal processing oftheexposedlms, development of theexposedandbakedlms toaffordthexxi lithographicreliefimages, andpostdevelopment processesdesignedtostabilizethe relief images against subsequent processes. These themes are exploredindetailinPartIII,dealingwiththepracticeoflithographyasexempliedinstoneplateandoffsetlithographyononehand,andsemiconductorlithographyontheother. These topics are covered in Chapters 1017.Chapter 10 deals with stone and offset lithographic processing that is employedintheprintingofneartimages,newspapers,textbooks,advertisements,etc.Byfar, the most advanced form of lithography practiced today is semiconductor litho-graphy, used in the fabrication of logic and memory integrated circuit (IC) devicesthat power computers, cell phones, telecommunications systems, and a host arrayofotherdevices.Forthisreason,Chapter11isentirelydedicatedtoadiscussionontheoverviewof thesemiconductor lithographicprocess, coveringall of thechemicalandphysicalphenomenainvolvedinalloftherelatedunitoperations.In particular, the physical characterization of these processes as well as thephotochemistryandphotophysicsinvolvedintheexposureprocessesarehigh-lighted. Chapter 12dealswithlithographicmodeling. Chapter 13inturndealswithoptical lithography, whichbyfaristhemost dominant ofall ofthesemi-conductor lithographic techniques. Covering g-line, i-line, KrF, ArF, and F2 litho-graphies, the discussion here focuses on the physics and chemistry of the exposuresources, the constructionof the exposure tool, maskmaking, andapplicationof theselithographiesindevicemanufacture. Chapter 14dealswithx-rayandEUVlithographies. Chapter15presentschargedparticlelithographiesbasedonelectron beams and ion beams.Chapter 17 explores the chemistry underlying advanced resist processingtechniques, includingresist-basedresolutionenhancement techniques (suchasdoublepatterning, chemical amplicationof resist lineor theCARLprocess,hydrophilic overlayer or the HOL process, reowtechniques, etc.) and stabilizationtechniques (such as UV, e-beam curing, and ion implantation) used to improve thequality of semiconductor lithographic patterning. In such techniques, the chemistryis often quite different from that used in conventional resist processing. This is oneofthemost activeareasofcurrent research, andoneinwhichit appearslikelythat employing postexposure resist chemical modications might prove successfulin overcoming resolution limits imposed by the constraints of the geometricoptics of the exposure tool.Chapter 17 also discusses the chemical and physical basis of emerging pattern-ingchallengesconfrontinglithographyastheindustrytransitionstolithographicnodeswherethephysical propertiesoftheresist becomeextremelysensitivetothe substrate and interfacial and connement effects. These effects begin to mani-fest as the thickness of the resist lm approaches a few multiples of the radius ofgyration of the polymers fromwhich they are constituted. Such challengesincluderesolutionlossduetouncontrolleddiffusion, thin-lminstabilitiesandconnement effects, line edge roughness, etc. Other equally important challenges,but not altogetherrelatedtoresist lmthickness, includetheimpact ofoxygenon lithographic patterning, contamination (airborne, water, resist outgas, particle,inorganic salts, etc.), pattern collapse, line width slimming, etc. These arecovered in Chapter 13.xxii Preface Thefourthlinkbetweenchemistryandlithographyconcernstheprinciplesgoverningthechemical transformationsutilizedinprocess-integrationschemesthatarepartoftheimplementationoflithographyinICdevicefabrication.Thistheme, discussedinChapter16, exploreshowlithographyisusedtodeneandpatternthevariousfrontendoflithography(FEOL)andbackendoflithography(BEOL) layers of a state-of-the-art Advanced Micro Devices (AMD) microproces-sor based on a complementary metal-oxide semiconductor (CMOS) device.Anattempthas been madethroughout thebook to provide examples illustra-tingthediversityof chemical phenomenainlithographyacrossthebreadthofthescienticspectrum,fromfundamentalresearchtotechnologicalapplications.Theformatofthisbookisnotnecessarilychronological,butissuchthatrelatedaspectsoflithographyarethematicallyorganizedandpresentedwithaviewtoconveyingauniedviewof thedevelopmentsintheeldover time, spanningmany centuries, from the very rst recorded reections on the nature of matter tothelatest developmentsat thefrontiersof lithographyscienceandtechnology.Nonetheless, the emphasis is predominantlyplaced on applications that haverelevancein thesemiconductor industry. The enormous wealthof materialsfromwhichtheseillustrationsandexampleshavebeendrawnmeansthatthisauthorschoice is inherently peculiar, although each example is intended to providedeeper insight into the underlying principles involved.A great many of the pioneers of chemistry and lithography are not representedhereinat all. I canonlyrecordmyimmensedebt tothemandall whohavecontributedtothedevelopment of thetwoelds tothestateinwhichI havereported it.Iamindebtedtoanumberofpeoplewhoinonewayoranothermadethisbookpossible.Myacademicmentor,thelateProfessorWilliamC.Gardiner,Jr.of The University of Texas at Austin, distinguished teacher and physicalchemist, himself the author of numerous books, introduced me to physical chem-istry and guided my academic development in the eld.ProfessorC.GrantWillsonofTheUniversityofTexasatAustinintroducedmetolithographyandsupervisedmydoctoralthesis.Ilearnedtheintricaciesofresist processing under the tutelage of the late Dr. Jeffrey Byers of SEMATECH.Anumber of colleagues andassociates proofreadtheentiremanuscript orsomechaptersof thebook, andprovidedvaluablesuggestionsandcorrections.TheseincludeDr. HarryJ. Levinson, mymanagerat AMDandalsoat Global-Foundries, and Dr. Chris Mack, developer of PROLITHand founder of theFINLE Corporation, both of whom read the entire manuscript. Dr. Jim Thackerayof Rohm and Haas Electronic Materials read Chapters 58; these are the chaptersdealing with lithographic chemicals. Dr. Witek Maszara of GlobalFoundries readChapter 16, which dealswith the application of lithography in IC device fabrica-tion. These reviewers shouldnot be blamedfor anyerrors that mayremain,which are strictly my responsibility.In a less direct way, I have beneted throughout myprofessional careerfromscientic andtechnical discussions inthe area of advancedlithographywith colleagues at the strategic lithography technology departments of bothAMD and GlobalFoundries, as well as at the lithography department ofPreface xxiii IMEC(Inter-University Microelectronics Center). I have also beneted fromscienticdiscussionsintheareaofpolymersandphotochemistrywithProfessorKatharinaAl-ShameryofUniveristatOldenburginGermany,andintheareaofphysical methodsofpolymercharacterizationwithProfessorsJimWatkinsandTodd Emrick of the University of Massachusetts at Amherst.Ialsowant toexpressmysincerethankstotheeditorial staffofSPIE, andespeciallytoDaraBurrowsandTimLamkins,whohavebeenmostsympatheticandhelpful at all timesduringthecourseof writingthisbook. Theyremainedundismayedbythelongdelaysasthelengthofthebookexpandedfarbeyondwhat weoriginallyagreedto. Thebookisamuchbetterbookbecauseoftheireditorial assistance.PortionsofthisbookwerewritteninlibrariesandmuseumsinanumberoflocationswithintheUnitedStatesandGermany.IamparticularlygratefultothestaffofthearchivesoftheDeutschesMuseuminMunich,especiallytoDr.EvaMayring, Margrit Prussat, and Wolfgang Schinhan, for the assistance they renderedtomeduringmyresearchat theirfacilityinlocatingarchival materialsonandbysome of the seminal individuals whose researchindecades andcenturiesgone by greatly contributed to the invention and development of lithography.ThepermissiongrantedtomebyAMDandextendedbyGlobalFoundries,thetwocompaniesforwhichIwork,madeitpossibleformetowritethisbook.I amindebtedtoMichelaJacob, thelibrarianintheAMDFab30facilityandGlobalFoundries Fab1 in Dresden, Germany, for the numerous books and articlesshe was able to procure for me, sometimes from libraries far-ung from Dresden.I amalso indebted to the individuals and publishers who granted me the permissionto reproduce in this book some of their copyrighted gures and tables.Finally, ImustacknowledgetheassistanceIhavereceivedfrommyfamilymembers. Writing a book of this size takes undue toll on everyone directlyor indirectly involvedwithit, particularlyfamilymembers whohave hadtoendureall kinds of inconveniences toonumeroustomention. I wishthereforetoacknowledgetheirhelpful support. Fortheseandotherblessings, Iamtrulygrateful.Uzodinma OkoroanyanwuFlorence Village, Northampton, MassachusettsNovember 2010xxiv Preface Acronyms and AbbreviationsAEE aminoethoxy ethanolAFM atomic force microscopeAIBN azobis(isobutyronitrile)AMC airborne molecular contaminantAPM atomic processing microscopeAR antireectionARC antireection coatingatt-PSM attenuated phase-shifting maskBARC bottom antireection coatingBEOL back end of lineBIM binary intensity masksBJT bipolar junction transistorBLR bilayer resistBOCST butoxycarbonyloxystyreneBOP benzyloxy-protected poly(p-hydroxystyrene)BPO benzoyl peroxideCaF2calcium uorideCAD computer-aided designCAR chemically amplied resistCARL chemical amplication of resist linesCBN carbo-t-butoxy norborneneCD critical dimensionCFC chlorouorocarbonCH cyclohexanoneCMN carbomethoxy norborneneCMOS complimentary metal-oxide semiconductorCMP chemical mechanical polishingCMTF critical modulation transfer functionCO cycloolenCOG chromium-on-glassCOMA cycloolen-maleic anhydrideCOP crystal-originated pitCPU central processing unitCVD chemical vapor depositionxxv DC direct currentDEA dissociative electron attachmentDEAP diethoxyacetophenoneDMAc dimethylacetamideDMF dimethylformamideDMI dimethyl-2-imidazolidinoneDMPA dimethoxy phenylacetophenoneDMSDMA dimethylsilyldimethylamineDMSO dimethylsulfoxideDNQ diazonaphthoquinoneDOF depth of focusDP degree of polymerizationDPD diazopyrazolidine dioneDPP discharge-produced plasmaDPPH diphenyl picrylhydrazylDRAM dynamic random access memoryDRLS development rate log slopeDRM development rate monitorDTBP di-tert-butyl peroxideDTBPIONf di(tert-butylphenyl) iodonium peruorobutanesulfonate(nonaate)DUV deep ultravioletEBES electron-beam exposure systemEBL electron-beam lithographyECR electron cyclotron resonanceEFM electric-eld-induced migrationEL ethyl lactateEOC etalon output couplerEOL end of lineEPR electron projection lithographyESCAP environmentally stable chemically amplied photoresistESD electrostatic dischargeEUV extreme ultravioletFEOL front end of lineFET eld-effect transistorFIB focused ion beamFRP free radical polymerizationFTIR Fourier transform infraredFWHM full width half maximumHDPCVD high-density chemical vapor depositionHEPA high-efciency particulate airHF hydrouoric acidHMDS hexamethyldisilazaneHOL hydrophilic overlayerHSQ hydrogen silesquioxanesxxvi Acronyms and Abbreviations HVM high-volume manufacturingIC integrated circuitIGFET insulated gate eld-effect transistorILD interlayer dielectricIMS ion microfabrication systemIPL ion projection lithographyITRS International Roadmap for SemiconductorsJFET junction eld-effect transistorKRS ketal resist systemKTFR Kodak Thin FilmTMresistLBNL Lawrence Berkeley National LaboratoriesLEE low-energy electronLEEPL low-energy electron projection lithographyLELE lithography-etch-lithography-etchLER line edge roughnessLFLE lithography-freeze-lithography-etchLLD lightly doped drainLPCVD low-pressure chemical vapor depositionLPP laser-produced plasmaL/S line/spaceLWR line width roughnessMac methylacetamideMEA monoethanolamineMEBES multiple electron-beam exposure systemMEEF mask error enhancement factorMEMS microelectromechanical systemMET microexposure toolMIBK methylisobutyl ketoneMIF metal-ion-freeML multilayerMMA methyl methacrylateMOCVD metal-organic chemical vapor depositionMOP methoxypropyl-protected poly(p-hydroxystyrene)MOS metal-oxide semiconductorMOSFET metal-oxide semiconductor eld-effect transistorMTF modulation transfer functionMW molecular weightNA numerical apertureNBHFA norbornene hexauoroisopropanolNHA numerical half-apertureNH4HF ammonium uorideNILS normalized image log-slopenMOS n-channel metal-oxide semiconductorNMP N-methylpyrrolidoneNVSM nonvolatile semiconductor memoryAcronyms and Abbreviations xxvii OPC optical proximity correctionOPD optical path differenceOPE optical proximity effectPAC photoactive compoundPAG photoacid generatorPBOCST poly(tert-butoxycarbonyl oxystyrene)PBS poly(butene sulfone)PCB printed circuit boardPCM portable conformable maskPDMS polydimethylsiloxanePE photoelectronPEB postexposure bakePECVD plasma-enhanced chemical vapor depositionPFOS peruorooctane sulfonic acidPGMA poly(glycidyl methacrylate)PGME propylene glycol monomethyletherPGMEA propyleneglycol monomethyl ether acetatePHOST polyhydroxystyrenePMIPK poly(methyl isopropenyl ketone)PMMA poly(methyl methacrylate)pMOS p-channel metal-oxide semiconductor (eld-effect transistor)PMPS poly(methylpentene sulfone)ppb parts per billionPPDA p-phenylenediacrylic acidPREVAIL projection reduction exposure with variable axis immersion lensPROLITH positive resist optical lithographyPSM phase-shifting maskPVD physical vapor depositionPVP poly(vinyl pyridine)PWB printed wiring boardRB rose bengalRC resistance capacitanceRELACS resolution enhancement of lithography assisted by chemicalshrinkRIE reactive-ion etchingROMP ring-opening metathesis polymerizationSADP self-aligned double patterningSAM self-assembled monolayerSCALPEL scattering with angular limitation projection electron-beamlithographyS/D source/drainSEMC single-electron memory cellSLM spatial light modulatorSLR single-layer resistSNS sulfone/novolak systemxxviii Acronyms and Abbreviations SPM sulfuric acid and hydrogen peroxide mixtureSTI shallow trench isolationSTM scanning tunneling microscopeTBEST tert-butyl ester-protected 4-hydroxystyreneTBMA tert-butyl methacrylateTBTFMA tert-butyl-2-triuoromethylacrylateTCAD technology computer-aided designTE transverse electricTEM transmission electron microscopyTFE tetrauoroethyleneTHF tetrahydrofuranTHP tetrapyranalTM transverse magneticTMAH tetramethylammonium hydroxideTMS trimethylsilylTMSDEA trimethylsilyldiethylamineTMSDMA trimethylsilyldimethylamineTPSHFA triphenylsulfonium hexauoroantimonateTSI top surface imagingULPA ultralow-penetration airUTR ultrathin resistUV ultravioletVAP vinyl addition polymerizationVEMA poly(vinyl ether-alt-maleic anhydride)VUV vacuum ultravioletWET wafer electrical testXRR x-ray reectivityAcronyms and Abbreviations xxix Chapter 1Introduction to LithographyI have imposed upon myself, as a law, never to advance but from what is known towhat isunknown, never toformanyconclusionwhichisnot animmediatecon-sequence necessarily owing fromobservation and experiment; and always toarrange the facts, andthe conclusions whichare drawnfromthem, insuchanorderastorenderitmosteasyforbeginnersinthestudyofchemistrythoroughlyto understand them.Antoine Lavoisier, TraiteElementaire de ChimieIt ishardtothinkofaninventionthat hashadagreaterinuenceonthemassproductionofdevicesthathaveenabledhumankindtocommunicateinformationwithprintedmatterorwithphotonsorwithelectronsorwithionsorevenwithatomsthanlithography. Theinformationhighwaysandpathwaysofourpresentagetheinformationageareliterallypavedwithchips of crystallinesiliconmade by lithography. Nearly every book, magazine, newspaper, brochure,yer, catalog, andotherprintpiecethathasbeenproducedduringthelastthreehundredyearshasbeenprintedwithoffsetlithography. Nearlyeveryintegratedcircuit (IC)inthechipsthat runthecomputersandtelecommunicationsystemsthat power the informationhighway, as well as medical devices, electronics,homeandindustrial appliances, automobiles, andairplanestomentionbut afewis madebysemiconductor lithography. Without suchintegratedcircuits,wewouldhavenopowerfulcomputers,nolarge-scaleautomation,nocommuni-cation satellites, or even space exploration. There would certainly be no electroniccalculators or digital watches, no transistor radios, portable tape recorders, personaldigitalassistants,Internet,cellphones, etc.Manydiagnostic proceduresinmedi-cineanddentistryrelyonintegratedcircuits, asdoestheheart pacemaker andthe modern hearing aid. The impact of all these things on our lives is tremendous.For instance, we can watch events on our television sets or mobile phones or on theInternet astheyarehappeningthousandsofmilesaway. Wecanwithdrawourmoneyfromautomatictellermachinesalmosteverywhereintheworld, withoutthe aid of a bank clerk, whose function has been transformed from that of an acco-untskeepertooneofanintermediarybetweenthecustomerandthecomputer.3 Many products are now manufactured, assembled, and shipped today by automaticmachines that rely on integrated circuits for their operations. Airplanes are guidedby computer-controlled systems and even airline seats are reserved worldwide byaninstantaneous computer bookingsystem. The list is almost endless andisgrowingeveryday. Aworldwithout lithographywouldbeunrecognizabletoany one of us today, although we may not always be cognizant of its pervasivenessand reach in our daily lives.Howdidweget towherewearetoday?It all startedwiththeinventionoflithographyin1798, ofphotolithographyandphotographysimultaneouslyin1826,andsubsequentdevelopmentsinlithographyeversince,allofwhichweremade possible by antecedent developments in chemistry and optical physics overa period spanning more than 30 centuries, since records began to be kept.Through this unique marriage of chemistry and optics, the science and technologyof lithographyhaveevolvedandmadepossiblethemassproductionof printedmaterials, starting from the late eighteenth century during the industrial revolutionandculminatinginthemicroelectronicsrevolutionthatusheredinthemasspro-ductionofmicroelectronicequipment, startingfromthemiddleofthetwentiethcenturywiththe inventionof the transistor in1947andthe inventionof theintegratedcircuit 12yearslater. Innovationsinlithography, newmaterials, andscalingtoever-smaller dimensions have ledtomanyorders of magnitude ofimprovement in the capability of transistors to carry out computation, thuspavingthewayfortheinformationageinwhichwecurrentlylive. Allofthesedevelopments have radically inuenced the course and trajectory of human civili-zation and development.Thisbookthereforedealswithhowchemistrymediateslithography, atopicthat hasnot beenpreviouslydiscussedat length. Inparticular, it tracesthearcof developments inlithographyfromachemical perspective, startingfromitsinvention and reaching back in an unmistakably continuous line to a periodmuch earlier still. Like an arc, it has a beginning, a bow, and a tip. The beginningof this arc is the invention of lithography in 1798, the bowof the arc is the inventionofphotolithographyin1826, andthetipofthearccoincideswiththedevelop-ment of semiconductor lithography in the 1950s and culminates in the stateof the art in the eld today.The objective of this book is not to lay out 30 centuries of the history of science,particularlythat of physicsandchemistry, likealongpieceof wallpaper, anddivide it into so many supercial categories after the manner of the encyclopedistand the abridger. Instead, we will focus on the lines of strategic change and care-fully examine those moments in the history of physics and chemistry that seemcon-sequential and uncover the intellectual knots that had to be untied, which directly orindirectly aided the development of lithography. The treatment therefore needs notfollowinchronological order andlinear fashion, but rather must beorganizedaround similar coherent themes.It is very useful to learn from the mistakes of early scientists, to examine parti-cularintellectualhurdlesassociatedwithgivenperiods, aswellasthecourseofscienticdevelopmentsthat ranintoblindalleys, but that nonethelessaffectedthe progress of science in general and lithography in particular.4 Chapter 1 Since its invention, lithography has witnessed tremendous evolution. Many ofits variants are now practiced, ranging from stone plate lithography used in ne artprinting, to offset lithography used in the printing of newspapers and the like, and tosemiconductor lithography, which utilizes a variety of exposure radiations to printintegratedcircuits.Whileallofthesevariantsoflithographyarecoveredinthisbook, our emphasis will be on semiconductor lithography, since it is the most scien-tically and technically advanced form of lithography. And within semiconductorlithography, optical lithography is the most dominant technique used in fabricationof integrated circuits. Most importantly, relative to other lithographic techniques,semiconductor lithography best exemplies the marriage of chemistry andopticsa theme that we explore in depth in this book.Lithographyinits veryessenceisaseriesof chemical transformationsafact recognized by its inventor who called it chemical printing. Like all chemicaltransformations,itscurrencyoftransactionistheelectronouter-shellelectronsof theatoms, molecules, andcompoundsof thematerial (alsocalledresist) onwhich the image of the mask or the object to be printed is recorded, to beprecise. Theseelectronsorchestratedistinct bond-breakageandbond-formationevents in all of the process steps in lithography that ultimately lead to the contrastbetweentheclear (exposed) areaandthedark(unexposed) areaof theimage-recordingmedium. Preparationofthesubstrate, coatingoftheresist, theactualexposure, andsubsequentlythepostexposurethermalandrelatedprocessingareall characterized by distinct chemical processes that taken together areultimately about chemical bond breakage and formation.In the substrate preparation step involving priming, the surface chemistry of thesubstrateismodiedtopromotetheadhesionbetweenthesubstrateandtheresistmaterial during coating. In the exposure process proper, exquisite radiation chemistrytakes place inside the radiationsources in order to generate the exposure radiation, butalso because of the interaction of these radiationsbe they photons, ions, electrons,xrayswiththeouter-shell electrons of theradiation-sensitivecompounds andmolecules in the resist, leading to bond breakage and/or bond formation.Inthepostexposurethermalprocessingsteps,thermallydrivendiffusionandreactionoftheactivespeciesthatcatalyzedeprotection,bondscission,orcross-linking reactions in the resist are engendered. In the development step, appropriateareas of theresist lmaredissolvedawayeither throughphysical dissolution(involvingnochemical reaction) or throughacid-baseneutralizationreactionsbetweentheexposedareasof theresist andthedevelopingsolvent (dependingon polarity). This is the basis of the contrast between the exposed and unexposedareas of the resist lm.The main attribute of optical lithography that made it the manufacturing tech-nologyof choicefor ICs sincethebeginningof theICerais thetremendousthroughput advantages it offers through its ability to reproduce an entire IClayout from a master (or reticle) in a single exposure, in contrast to other technol-ogies that address a eld point by point. In addition to the compelling throughputadvantages, therewere resolution and cost advantages as well. The infrastructurefor light sources, lenses, reticles, photosensitive polymers, and other opticalmaterials developed for other optical and photographic applications wereIntroduction to Lithography 5 appropriated and applied to IC lithography, allowing development resources to beshared.In 1965, Gordon Moore1postulated that the exponential growth in the numberoftransistorsinanICledtocertaintechnicalandeconomicadvantages.Smallertransistors switch faster, allowing more operations per second. And more transis-tors with more interconnections enable computations of much greater complexityto be achieved. This postulate has since been codied as Moores law,2which statesthat the complexity of ICs as measured by the number of transistors approximatelydoubles every two years (see Fig. 1.1). This law has led to unprecedented growth inthe computer industry. Technologies that were once available only in supercompu-ters are now commonly available in childrens toys. Satellite communications net-works that were once the domain of the military now help drivers nd their way totheir locations.Agoodmetricfor measuringprogress inIClithographyis resolution, theabilitytoresolve anddistinguishtwoneighboringfeatures onthe chip. Twomainapproachesforimprovingresolutionincludedecreasingthewavelengthof051015202530351965 1970 1975 1980 1985 1990 1995 2000 2005 2010YearLog_base_2 of transistor countIntelAMDLinear (Intel)Linear (AMD)Figure1.1Plot of the number of transistors versus year for microprocessors manufac-tured by Advanced Micro Devices, Inc. and Intel Corporation microprocessors.31G.E.Moore,Crammingmorecomponentsontointegratedcircuits,Electronics38(8),114 117(1965).2G.E. Moore, Progressindigital electronics,IEEEMeeting1975, Tech. Digest 11 13(1975);G.E. Moore, Lithography and the future of Moores law, Proc. SPIE 2440, 2 17 (1995).3For information on transistor count number versus year of manufacture for AMDs microprocessors,please see http://www.amd.com; for Intel Corporations microprocessors, please see http://www.intel.com/museum/archives/history docs/Moore.htm/Intel Microprocessor TransistorCount Chart.6 Chapter 1 theopticalsource and increasingthenumerical aperture of theopticalsystem, asderived from Rayleighs resolution criterion4and shown in Eq. (1.1).w k1lNA, (1:1)wherewisthehalfpitchofthefeaturebeingprinted,k1isaprocess-dependentparameter, lis the exposure wavelength, and NAis the numerical apertureoftheopticalsystem, whichisdenedintermsofthemaximumconeangleofrays (umax) subtendedbythemaximumpupil diameter at theimageplaneas:NA n sin umax(wherenistherefractiveindexinimagespace).Equation(1.1)unites chemistry with optics in lithography, for it is the interaction of the exposurephotons, electrons, ions, or x rays transmitted through the numerical aperture of theoptics, with theelectrons of the high-contrast recording mediumphotosensitivematerials (resists)that mediates chemical phenomena on which lithographic pat-terning is based. The exposed part of the resist is altered relative to the unexposedpart, leading to contrast between the two regions during development.The progress of optical lithography is partly, then, the result of decreasing theexposure wavelength. From the initial broadband sources, the IC industry made amigration rst to the mercury g-line (436 nm), then to the i-line (365 nm), and thenswitchedtoexciplex5lasersourcesrstKrF(248 nm)andnowArF(193 nm).AmigrationtoanF2excimerlasersourcewascontemplatedbytheICindustry,but didnot materialize, evenaftersignicant investments, primarilybecauseofissues associated with the availability of ICindustry-grade calciumuoride(CaF2), usedinthe lens elements. The migrationtowardshorter wavelengths4LordRayleigh, Investigations inoptics, withspecial reference tothe spectroscope, London,Edinburgh, Dublin Phil.Mag. J. Sci., Series1 6, l8(49), Pt. XXXI, 261 274, Pt. XLVI,403, 411,andPt. LVI, 477 486(1879); LordRayleigh, Investigationsinoptics, withspecial referencetothespectroscope,London, Edinburgh, DublinPhil. Mag. J. Sci., Series7, 9(53), Pt. V, 40 55(1879); Lord Rayleigh, On the theory of optical images, with special reference to the microscope,London, Edinburgh, Dublin Phil. Mag. J. Sci. 42(255), Pt. XV, 167 195 (1896).5The term exciplex refers to a combination AB of two different atoms; it exists only in an electronically excited state and dissociates as soon as the excitation is lost. It differs from an excimer, anexcited state dimer of two similar atoms AA. The exciplex lasers that have found widespread applications in lithography are based on KrF and ArF formed in electrical discharge in a mixture containing krypton and uorine in KrF lasers and argon and uorine in ArF lasers, respectively. The only trueexcimer laser that has found application in lithography is based on excitedstate F2 dimers (lasing at157 nm). The KrF and ArF exciplex and F2 excimer survive for a few nanoseconds, long enough toparticipate in laser action. As soon as the excitation is gone, the atoms separate because the potentialenergycurveoftheirgroundstateisrepulsive.Unfortunately,thewidelyusedmisnomerexcimerlaser appears in the literature to describe exciplex lasers XeCl(lasing at 308 nm), KrF(lasing at248 nm), andArF(lasingat193 nm)whenexciplexlaserisappropriate. Inthisbook, wewillusetheappropriateterms. [For thephotochemistryof excimers andexciplexes, pleasesee, forexample, P.W. Atkins, Physical Chemistry, 5thed., p. 609, W.H. Feeman, NewYork(1994);P. Suppan, ChemistryandLight, pp. 104 110, RoyalSocietyofChemistry, Cambridge, England(1994)].Introduction to Lithography 7 naturally limits the pool of available photosensitive materials that could beemployed in resist formulations.Because the NA of the optical system limits the spatial frequencies that can betransmittedtoexposetheresist,theNAoflensdesignshasmigratedfrom0.2to0.42 to 0.63 to 0.75 to 0.95. With a fundamental limit of NA 1.0 for a conven-tional optical system, the introduction of immersion ArF lithography has enabledthe migrationto hyper-NA(.1.0) optical systems. It is noteworthy that thedrivetowardhighNAis at acost of decreaseddepthof focus andincreaseddifculty in fabricating a lens with adequate eld size.6Today,theleading-edgemicroelectronicdevicesarebeing madewithphoto-lithography at 193 nm, which is inevitably a continuation of the progressionfromlonger-wavelengthlithographies andis dictatedbythe requirements forhigherresolutionandthedriveintheICindustrytowardgreaterpackingdensityand higher speeds, as noted earlier.The resolution that will be necessary for the manufacture of future generationsof ICs with feature sizes below 22 nm is beyond the limits of 365-, 248-, and 193-nmUVlithographies. AccordingtotheInternational TechnologyRoadmapforSemiconductors(ITRS),7extremeultraviolet(EUV)lithographyat13.5 nmisapromisingcandidateforachievingsuchhighresolution. WithEUVlithography,sub-22-nmdevices canbefabricatedwithconventional masks usingreectiveoptics of 0.250.45 NA.As statedabove, this bookattempts tosystematicallyreappraisethemaindevelopmentsinchemistryandopticsthathaveultimatelyledtolithographyaspracticed today, especially in semiconductor lithographythe most advancedformoflithography.8Thetaskisnodoubtanonerousone,butonethatmustbedoneinordertounearththehiddenconnectionsbetweenthevariousstreamsofthoughts that materialized as lithography and subsequently as semiconductorlithography.6M.J. Bowden, Thelithographicprocess: thephysics,Chapter2inIntroductiontoMicrolithography, L.F. Thompson, C.G. Willson, andM.J. Bowden, Eds., pp. 19 138, AmericanChemicalSociety, Washington, DC (1994).7http://www.itrs.org8Although the emphasis of this book is on semiconductor lithography, attempts will be made wherenecessary to highlight relevant aspects of the lowtechnology variants of lithography as practiced inoffset lithography and ne art lithography.8 Chapter 1 Chapter 2Invention of Lithographyand PhotolithographyGod grant that it [lithography] may soon spread all over the world; that it may proveuseful to mankind, and contribute to its improvement; and that it may never be abusedtoanydishonourableorwickedpurpose;andIshallthenneverceasetoblessthehour in which I invented it.Alois Senefelder,11771 18342.1 IntroductionBeforebeginningthisjourneythroughhowlithographyandsubsequentlyphoto-lithography came into existence, and how thetechnology has evolved ever since,it is necessarytoreviewthe cultural, scientic, andtechnological frameworkout ofwhichtheseplanographicprintingtechniquesemerged. Agreat deal hasalready been written about the artistic and sociological impacts of the ne art litho-graphers andphotographers of thenineteenth and twentiethcenturies,which willnot be reviewed here. The introduction of lithography at the end of the eighteenthcentury and of the simultaneous introduction of photolithography and photographyinthethirddecadeof thenineteenthcenturygreatlyinuencedeveryfacet ofhuman endeavor, particularly in scientic and technological pursuits.Withtheriseduringtheseventeenthandeighteenth centuriesofmany scien-tic elds based on visual observationssystematics (or the taxonomyof biologicalorganisms), topology, and geologythe ability to make illustrative drawingsof scienticobservations becameanincreasinglyimportant skill. Averyhighpremiumwasalsoplacedontheabilitytomakerealisticandaccuratedrawingsof mechanical artsandengineering. Concomitant withthesedevelopmentswastherapidindustrializationbrought about bytheindustrial revolutioninBritain1A. Senefelder,A CompleteCourse of Lithography, p. 85,Da Capo Press, New York (1997). [Thisbook is an unabridged republication of the rst English edition published in London in1819, which was originally published in German under the title, Vollstandiges Lehrbuch derSteindruckerey.]9 and its inuence elsewhere during the late eighteenth century, which increased theneed for draftsmen to produce drawings to aid in the production and use of the ever-expanding number of machines needed for the industries.2Thesefactorsnaturallyincreasedthevalueofindividualswhowereabletorecordimages directlyas seeninnaturewithout interpretationbyanartist oradraftsman.Beingabletodrawprovidedonewithupwardsocialmobilityinanerawhereclassandsocialdistinctionsdeterminedonesworthinasociety.Theoriginsofthisexact formofdrawingstemfromart conventionsofperspectivedrawings set forthintheearlyRenaissance, whichwereinturnderivedfromEuclidsgeometry.Theremovalofarticefromartthroughthecodicationofasystem of drawing that produced what was understood to be scientically accuratereectionsof theworldwasthemaingoal of therulesof perspective. Andbytheseventeenthcentury, theseRenaissanceruleswerebrought totheirapexbythegreat Dutchartists. Inadditiontorules of perspectives, artists anddrafts-men were aided by a variety of mechanical drawing devices or drawing machineslike perspectograph, (invented in 1816 by Thomas Alason3), physiognotrace(inventedin1786byGillesLuisChretien),andcameras,mostlycameraobscuraand camera lucida.4The camera obscura was the most common camera in use then. Although it wasreferred to in writings dating fromantiquity, the camera obscura was rst describedindetail byJohannBaptistaPortain1533. Literallymeaningdarkroom,thecameraobscurais basicallyadarkchamber or box, withanopeningthroughwhich light passes. The light entering the camera opening falls onto the wall oppo-site the opening to form an image. A camera obscura with small opening requiresno lens to be operational. However, larger openings outtted with alens producevery bright images.5Another type of camera, the camera lucida, which means light room and mayrefer to the fact that the instrument did not require any enclosure, box, or lens as inthecameraobscura, was rst describedin1807byWilliamHydeWollaston,althoughtheexactsourceofthenameisunknown.Therearebasicallytwomaintypes of camerae lucidae. The rst one is a device with a reective glassmountedonastand, suchthat theglassispositionedat a45-degangletothepaper. This allows the artist or draftsman to see the reection of the scene on theglassand also tolook through theglassto thepaper.The sceneis thusperceivedtobesuperimposedonthepaper andcanbeeasilycopied. Imagesmadewiththis type of camera lucida arelaterally reversed. The othertype of camera lucidauses a four-sided prism fastened to a small stand. The use of this type of instrumentcallsfortheartistordraftsmantopositiontheeyesothatitseesboththeimage2M.S. BargerandW.B. White, TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, pp. 4 10, Johns Hopkins University Press, Baltimore (1991).3T. Alason, in Trans. Soc. Arts, pp. 145 147 (1816).4M.S. BargerandW.B. White, TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, pp. 4 5, Johns Hopkins University Press, Baltimore (1991).5ibid., pp. 6 8.10 Chapter 2 of thescenereecteddirectlyontheretinaandthesceneonwhichthepaperis copied.6Being more portable and convenient to use than the camera obscura because itwasaverysimpledevicewithonlyafewpartsanditdidnotrequireadarkenedsurrounding,andthatonceitsoperationhadbeenlearneditwaseasytouse,thecamera lucida quicklyfoundwide use amongdraftsmen, especiallyfor taskssuchasenlargingorreducingdrawingsandforcopywork. Itsportabilitymadethe camera lucida useful to both professional artists and amateurs making topolo-gicalandarchitecturalviews.Inparticular,thecameralucidahadspecialappealtotravelersbecauseit couldbeusedtomakecorrect representations7of theplaces visited even by those who could not draw as well as artists. The renownedtraveler and British naval ofcer Col. Basil Hall wrote a laudatory book about thecameralucida, inwhichheclaimedthat oncetheoperationofthiscamerawasmastered,theusercouldrovewherehepleases,possessedofthemagicalsecretof recording the features [scenes] and freed from the triple misery of Perspective,Proportion and Form, all responsibility respecting these taken off his hands.8Equallysignicantwastheincreaseddemandduringthisperiodnotonlyforuniqueworksofartsuchasportraitsanddrawing, butalsoforreproductionsofworks of art such as prints. Demand for printed books also witnessed a phenomenalrise during this period. By sheer necessity, new printing methods and new methodsof making the paper needed for the mass production of inexpensive art works andbooks were developed during this time. Lithography, the only new printing methoddiscovered since the invention of movable type some three hundred years earlier,wasintroducedduringthisperiod. It revolutionizedprintmakingineveryfacetbecause it was faster and cheaper than any of the previous printing processes.92.2 Invention of LithographyThe invention of lithography dates from1798 when the playwright AloisSenefelder10(see Fig. 2.1) writing with ink11prepared with wax, soap, and6ibid., pp. 6 8.7B. Hall, Forty Etchings, from Sketches Made with the Camera Lucida in North America in 1827 and1828, Cadell & Co., Edinburgh (1829), memorandum.8M.S. BargerandW.B. White, TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, pp. 6 8, Johns Hopkins University Press, Baltimore (1991).9ibid., pp. 8 9.10A. Senefelder, Vollstandiges Lehrbuch der Steindruckerey, Karls Thienemann and Gerold,Munich, Vienna, (1818), a complete course in lithography (in German).11The lithographicink isused for writingor drawingimmediatelyon thestone,or forcovering thesurface of it, or for transferring drawings, executed on the paper, to the stone. The ink functions principally to ll the pores of the stone in those places to which it is applied with an oily greasy substance,and it also resists the action of aquafortis and other acids. Inks are generally of two kinds: one of athicker nature for drawing on the stone; and another of a more liquid nature for transferring drawingstothestone.Senefelderdisclosesthefollowingeightrecipesasmakingthebestinkcompositions:(1) whitebeeswax(8partsbyweight), soap(2partsbyweight), lampblack(1part byweight);Invention of Lithography and Photolithography 11 lampblackonatabletofpolishedKellheimlimestone,12theborderofwhichhelined with wax,13and on the entire surface of which he applied an acid solution14for a short period of time, led him to the serendipitous discovery that the limestonewasetchedawayinareasonwhichhehadnotwritten.Thewaxwritingsolutionresisted15theacid.Onremovingtheacid,heobservedthatthewrittenorimage(2) wax (12 parts by weight), common tallow (4 parts by weight), soap (4 parts by weight), lampblack(1 part by weight); (3) wax (12 parts by weight), shellac (4 parts by weight), soap (4 parts by weight),lampblack (1 part by weight); (4) tallow (8 parts by weight), shellac (8 parts by weight), soap (4 partsbyweight),lampblack(1partbyweight);(5)wax(8partsbyweight),shellac(4partsbyweight),mastic (4parts byweight), soap(4 parts by weight), lampblack (1part by weight); (6) wax(8parts byweight), tallow(4parts byweight), shellac (4parts byweight), soap(4parts byweight), lampblack (1 part by weight); (7) wax and gum guaicum melted together in equal quantities(12partsbyweight), tallow(4partsbyweight), soap(4partsbyweight), lampblack(1part byweight); (8) wax (6 parts by weight), shellac (4 parts by weight), tallow (2 parts by weight), mastic(3partsbyweight), Venetianturpentine(1part byweight), soap(4partsbyweight), lampblack(1partbyweight). Preparationoftheinkcallsformixingtogetherallofthedifferentingredients(in the manner listed above for each composition) except the soap, in an iron saucepan, and exposingthe mixture to astrong reuntil the whole of the mass ignites. When the quantity of the mixture isreduced to one half, the saucepan is carefully covered, or poured into a container of water, to extinguish the ame and cool the substance. Colorants for the ink, besides lampblack, may include indigo,blue lake, vermillion, and red ochre, and various other colors, provided they do not alter the nature ofthe soap. Distilled water is recommended for dissolving the ink. [A. Senefelder, A Complete Course ofLithography, pp. 110 118, Da Capo Press, New York (1997).]12Thebest Kellheimstonesformakinglithographicstoneplates, Senefelderreported, camefromSolenhofen,avillageinBavaria,Germany.Chemically,thesestonesconsistofcalciumcarbonate.Innitricandotheracids,theycanbealmostentirelydissolved,withthecarbonicacidescapingintheformofgas.Thedemandforthesestonesatthetimewasveryhigh,buttheiravailabilitywasrestricted by political and economic events such as the continental blockade and the various militarycampaignsoftheperiod.Thus,earlyonitbecameamatterofnationalprioritytondeitherlocalsources of limestone or suitable substitutes for printing stones in order to free countries likeEngland andFrance fromtheir dependence on German limestone. [Cited in M.S. Barger andW.B. White, The Daguerreotype: NineteenthCentury Technology and Modern Science, p. 9, JohnsHopkins University Press, Baltimore (1991).]13A substance that is sometimes used for covering the stones, which like the ink compositions, resiststhe action of aquafortis, is known under the name of etching ground, as it differs very little from thatwhichengraversusefortheircopperplates.Senefeldersrecipeforthiscallsfor:wax(12partsbyweight),mastic(6partsbyweight),asphaltum(4partsbyweight),colophony(2partsbyweight),tallow (1 part by weight). Preparation of the etching ground involves melting all of the above ingredients together on the re in an iron saucepan, and exposing the latter to heat until the asphaltum iscompletely dissolved. Then, the mass is left burning until it is reduced to about twothirds; after whichthe re is extinguished and the product cooled and formed into different shapes and preserved for use.Alternatively, a good etching ground can be prepared from plain wax, if it is left boiling and burninguntil nearlyveparts of it areconsumed. [A. Senefelder, ACompleteCourseof Lithography,pp. 122 123, Da Capo Press, New York (1997).]14Aquafortis, or nitricacid, muriaticacid, vinegar, tartaricacid, malicacid, andoxalicacidaretypicalacidsused instoneplatelithographytoetchawaynonimage,nonwrittenareasofthestone,i.e., areas that are not properly covered with grease.15Because the wax resisted the etching action of the acid, materials behaving as such within the eldof lithography have since then been called resists.12 Chapter 2 areaswereraisedbyabout 1/10inchabovetherest ofthestone. Bycarefullyrollinginkover thestonesurface, hecoulddeposit inkpigments onlyontheimageareasofthestone,whichhecouldeasilytransfertoasheetofpaperwithlittle pressure (see Fig. 2.2 for the rst lithographic press constructed by SenefelderandFig. 2.3foraportablehandpressalsoconstructedbySenefelderin1818).Senefelder called his invention steindruck, which means stone printing.16Figure 2.1Portrait of AloisSenefelder, theinventor of lithography. (Publishedwithpermission from the Deutsches Museum, Munich.)16According to Senefelder, the invention of lithography draws its direct origin under the most triingof circumstances in a story he narrated thus: I had just succeeded in my little laboratory in polishing astoneplate, whichIintendedtocoverwithetchingground, inordertocontinuemyexercisesinwritingbackwards, whenmymotherenteredtheroom, anddesiredmetowriteherabillforthewasherwoman, whowaswaitingforthelinen; Ihappenednot tohaveeventhesmallest slipofpaper at hand, as my little stock of paper had been entirely exhausted by taking proof of impressionsfrom the stones; nor was there even a drop of ink in the inkstand. As the matter would not admit ofdelay, and we had nobody in the house to send for a supply of the decient materials, Iresolved towritethelistwithmyinkpreparedwithwax, soap, andlampblack, onthestonewhichIhadjustpolished, and from which I could copy it at leisure.SometimeafterthisIwasjustgoingtowipethiswritingfromthestone,whentheideaallatoncestruckme,totrywhatwouldbetheeffectofsuchawritingwithmypreparedink,ifIweretobiteinthestonewithaquafortis[nitricacid];andwhether,perhaps,itmightnotbepossibletoapplyprintinginktoit,inthesamewayastowoodengravings,andsotakeimpressionsfromit.Iimmediately hastenedto put this idea in execution, surrounded the stone with a border of wax, andcoveredthesurfaceofthestone, totheheightoftwoinches, withamixtureofonepartofaquafortis,andtenpartsofwater,whichIleftstanding5 minutesonit;andonexaminingtheeffectofthisexperiment, I foundthewritingelevatedabout a10thpart of aline(or 1 120thpart of aninch.) Someof thener, andnot sufcientlydistinct, lines, hadsufferedinsomemeasure, butthe greater part of the letters hadnot beendamagedat all intheir breadth, consideringtheirelevation;sothatIcondentlyhopedtoobtainveryclearimpressions, chieyfromprintedcharacters, inwhichtherearenot manynestrokes.I now proceeded to apply the printing ink to the stone, for which purpose I rst used a commonprinters ball; but, after some unsuccessful trials, I found that thin piece of board, covered with necloth, answeredthepurposeperfectly, andcommunicatedtheinkamoreequalmanner, thananyInvention of Lithography and Photolithography 13 Figure2.2Wooden lithographic press constructed by Alois Senefelder and used in hisinventionof lithography. (PublishedwithpermissionfromtheDeutsches Museum,Munich.)Figure2.3Lithographic stone and small hand press constructed by Alois Senefelder in1818 and used for fast production of lithographic prints. Note the copy made from thehandwritten note on the lithographic stone. (Published with permission fromtheDeutsches Museum, Munich.)other material I had before used. My further trials of this method greatly encouraged my perseverance.The application of the printing ink was easier than in the other methods, and I could take impressionswith a fourth part of the power that was requisite for an engraving, so that the stones were not at allliable to the danger of breaking; and, what was the greatest moment for me, this method of printingwas an entirely newinvention, which had occurred to nobody before me. [A. Senefelder, A CompleteCourse of Lithography, pp. 9 11, Da Capo Press, New York (1997) (originally published in 1819).]14 Chapter 2 Because Senefelder was printing in relief, this method is not the same as whatwecalllithographytoday.Nevertheless,Senefeldersinventionwasasignicantadvancementovertheoldercopperplateengravingprintingmethod,whichwasrather laborious, slow, and costly. But the basis of all modern lithographyderives alsofromanother observationof his,17whichwas that whenagreasy(oily)imageisdrawnonaslaboflimestonesurfaceofappropriatesizegroundattodesiredtexture,perhapswithapencil,followingwhichasolutionofgumarabic18(preferablyacidicinthepHrangebetween3.5and5) isapplied, andwhen an ink roller is subsequently rolled over the entire stone surface, ink woulddeposit onlyonthe image areas onthe stone (i.e., areas onthe stone drawnwiththegreasypencil)andwouldberepelledinthenonimageareas(i.e., areasofthestonewithoutthedrawingsfromthegreasypencil).Withalittlepressure,hecouldeasilytransfer theimageonthestonetoapaper laidfacedownonthe stone. This inventionbased on the fact that oil and water repel eachotheris the basis of all contemporary lithographic printing. He called thisinventionchemischedruckerey, whichmeans chemical printingbecausetheprocessdependsonthechemical interactionofgrease, diluteacid, gumarabic,and water, rather than the stone from which the name lithography is derived.17According to Senefelder, My whole process was therefore, as follows: To wash the polished stonewith soapwater, to dry it well, to write or draw upon it with the composition of ink of soap and wax,then to etch it with aquafortis; and lastly, to prepare it for printing with an infusion of gumwater.I hadhopedtohavebeenabletodispensewiththegumwater, but wassoonconvincedthat itreallyentersintochemicalafnitywiththestone,andstopsitsporesstillmoreeffectuallyagainstthefat, andopens themtothewater. Inless thanthreedaysafter myrst idea, I producedasperfect andclear impressions, asanythat hassincebeenobtained. [A. Senefelder, ACompleteCourse of Lithography, pp. 31 32, Da Capo Press, New York (1997).]18Thelithographicstone, beingmadeof limestoneandthereforecontainingnumerous pores, isequallycapableofimbibinggreasyaswell aswaterysubstancesthroughthesepores. Thesesubstances have the quality of adhering to the particles that make up the stone, but can be easily separatedfrom them, so long as the nature of the stone is not altered. This alteration is effected by sulfuric, tartaric, and phosphoric acids. Water evaporates gradually from the pores when the stone dries; but gumarabic and other greasy substances do not. Printing ink cannot adhere to the stone, so long as it contains a sufcient quantity of water. In general, it adheres only faintly to the calcium carbonate surface,and does not get stronger afnity to it, until its pores are lled with the greasy hydrophobic etchingground (resist) to which the ink, also hydrophobic, can favorably unite. This afnity of adhesion of theprinting ink withthe resist on the stone takes place onlywhen the two hydrophobic substancescandirectlycontact eachother. If this contact is interrupted(whichmayhappenwhentheetchinggroundhaspenetratedtoodeepintothestoneand hasleftthesurface),theetchingground willnottake the printing ink until direct contact has been reestablished between these two greasy substances.When applied to a wellpolished stone, the solution of gum arabic, being very hydrophilic, producesthe effect that the wetted spot will not accept the hydrophobic ink as long as it remains wet. But assoonasthespot driesup, theinkadherestoit, but canbeeasilywipedoff withaspongeandwater. Thegumarabicdoesimparttothestonethequalityofrejectingtheprintingink, aqualitythat is made all the more durable if the acid has been previously applied to this spot, before the applicationofthegumarabic. Onthisprinciplelieslithographicprinting. [A. Senefelder, ACompleteCourse of Lithography, pp. 146 147, Da Capo Press, New York (1997).]Invention of Lithography and Photolithography 15 The process has been variously called steindruckerey, polyautography, engrav-ingorprintingfromstone, andimprimeriechemique. TheFrenchwerethersttocall theprocess byits current name, lithographie,19whichmeans writingwith stone, and derived fromGreek words lithos meaning stone andgraphmeaning to write.Not long after Senefelders original discovery, artists across Europe employedit to make reproductions of the works of old masters and, in time, recognized it as avaluablemedium fortheirownoriginal works.Notonlywasittherstprintingprocesstobeintroducedaftertheinventionofthemovabletypethreehundredyearsearlier,Barger andWhite20assert, it was alsobasedonchemical, notmechanical, principles.Descriptions of theprocess werewidelydisseminatedinscienticandtechnical journals, as well as inthepopular press. By1815,Mulhouse,France,haddevelopedintoamajorcenterforlithographyinEurope,andmanypeoplecametherefromEnglandandGermanytolearntheprocess.21By 1825, A. Hyatt Mayor asserts that lithography matured its classic style whenGoya, asanexileinBordeaux, drewfourbigstonesofbullghts. At 79, whenmost menarehappyif theycanrenewhat theyinventedyearsearlier, Goyacreatedthe rst great works of art inthemedium, the rst that combinethefreedom of a sketch with a black-and-white as rich and as satisfying as a painting.The road that he opened was soon followed by great artists like Delacroix,Daumier, Degas, Lautrec, Bonnard, Vuillard, Matisse, Picasso, andpracticallyevery prominent painter in France, and ultimately by those in other countries.22From France, lithography spread westward to the United States and eastwardtoPersia. Remarkably, Britishengraversandaquatintersfought theadoptionoflithographyinEngland,fearingthatitthreatenedtheirmonopolyofreproducingpaintings and drawings, and so they persuaded Parliament to exclude the excellentGerman limestones with a prohibitive import duty. On their part, Americanengraverswereundertheimpressionthatlithographywasjustashortcuttowhatengravers did, but since theywere not part of anorganizedbodythat couldlobbytheU.S. Congress, CurrierandIveswereleft freetoexploit theprocessfor reproducing thousands of paintings and drawings.23TherststeamlithographicpresswasinventedinFrancein1850andintro-duced into the United States by R. Hoe around 1868.24Lithographic stoneswere used for the image and a blanket-covered cylinder received the imagefromtheplateandtransferredittothesubstrateinthesteamlithographicpress.Directrotarypressesforlithographyusingzincandaluminummetalplateswere19M.S.BargerandW.B. White,TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, pp. 8 10, Johns Hopkins University Press, Baltimore (1991).20ibid., p. 9.21ibid., p. 9.22A.H. Mayor, inA. Senefelder, ACompleteCourseof Lithography, pp. V VI, DaCapoPress,NewYork(1997)[Mayorprovidesanexcellent introductiontothe1977editionof Senefeldersclassic book].23ibid.24http://4dps.dynodan.com/printingprocessexplained/index.html16 Chapter 2 introducedinthe1890s.25Therst offset presswasindependentlyinventedin1903 by Ira A. Rubel (a paper manufacturer) and A.F. Harris.26The offset process rose to become the most popular form of printing during the1950sasqualityof plates, inks, paper, etc. improvedtremendously. Beginningfromthelate1950s, it becamethedominant printingtechniquebecauseit pro-ducessharper, cleanerimagereproductionsoverletterpress, anditwasalsolessexpensiveincomparisontogravure. Today, themajorityof printing, includingnewspapers, is done by the offset process.It must beemphasizedthat lithographicprintingisaplanographicprintingtechniqueinthat theinkedimagedareasareonthesamelevel astheuninked,nonprinting areas. It differs from relief or raised-image processes (e.g., letterpress)and intaglio or incised-image processes.27Asthepopularityoflithographygrewsoonafterithadbeeninvented,someoftheliteraturereportsaboutthelithographicprocesssuggestedthatitmightbepossibletotransferdesignsdirectlyontothestoneifthedesigncouldbemadetranslucent andif thelithographic stone could bevarnished with alight-sensitivesubstance. Light passing throughthe design couldthenbe transferred to theprepared stone below.28This idea came to fruition at the hands of Joseph NicephoreNiepce around 1826, who succeeded in making the rst permanent photograph ofnature, using photolithographic techniques.2.3 Invention of PhotolithographyPhotography and photolithography share a common history. They were bothinvented with the same technique by the same manJoseph NicephoreNiepcewhosucceededintakingtherst permanent photographfromnature,usingtheactionof light onaphotosensitivesubstrate. AlthoughtheinventionofphotographyhasbeenvariouslyascribedtoThomasWedgwood, whointhe1790s conceived the original idea of permanently xing the images of thecamera through thedarkening action of silver salts in light, but wasunsuccessfulin practice, to Fox Talbot, who in 1835 introduced the negative/positive process,the principle still employedinphotographytoday, toDaguerre, whoin1837inventedtherst practical processofphotography(daguerreotypeprocess), thecredit alone belongs to Joseph Nicephore Niepce, who obtained the rst permanentrecorded image from nature in 1826.2925ibid.26ibid.27L. Stroebel and R. Zakia, Eds., Encyclopedia of Photography, 3rd ed., p. 310, Focal Press, Boston(1993).28M.S.BargerandW.B. White,TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, p. 10, Johns Hopkins University Press, Baltimore (1991).29H. Gernsheimand A. Gernsheim, The History of Photography: From the CameraObscura to theBeginning of the Modern Era, p. 1, Thames & Hudson, London (1969).Invention of Lithography and Photolithography 17 To understand the invention of photolithography, it is necessary to examine thework of those who had been conducting experiments that could lead to permanentphotographic imagingwithlight. These menhave beenvariously referredtoaspioneersor fathersof photographyandincludeThomasWedgwood(17711805), JosephNicephoreNiepce(17651833), LouisJacquesMande Daguerre(17891851), and William Henry Fox Talbot (18001877).2.4 Pioneers of PhotographyTherstrecordedattemptsatimagingusinglight-sensitivematerialsweremadebyJohannHeinrichSchulze(16871744), whodiscoveredthelight sensitivityof silver nitratein1723.30FollowingSchulzes experiment, theknowledgeofthe chemical and optical principles of photography was fairly widespread and pub-lishedinseriousscientictreatises andevenpopular booksof amusingparlortricks. However, the circumstance that photography was not invented earlierremainsthegreatestmysteryofitshistory.31InuencedbySchulzeswork,sub-sequent experimenters used light-sensitive materials as part of their light-sensitiveinks. Imaging on a more sophisticated level was done sometime during the 1790sby Thomas Wedgwood,32who in his rst attempt sought to use the sun to produceimages on light-sensitive paper and leather. Although he was successful in produ-cing silhouettes, he did not have a way of stopping the action of light on his papers,resulting in his silhouettes gradually darkening and eventually fading away and dis-appearing. The primary light-sensitive material he reported using was silvernitrate,33as well as silver chloride, whichhe statedhadnoadvantages oversilvernitrate. Healsonotedthat theimagesobtainedinacameraobscuraweretoo faint to be recorded with his sensitive paper.34Although Wedgwood was the rst to unite the two main ingredients ofphotographythedarkeningofsilversaltsinlightandtheimageofthecamera30M.S.BargerandW.B. White,TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, p. 16, Johns Hopkins University Press, Baltimore (1991).31H. Gernsheimand A. Gernsheim, The History of Photography: From the CameraObscura to theBeginning of the Modern Era, p. 1, Thames & Hudson London (1969).32AccordingtoBargerandWhite,thereareconictingreportsastowhenWedgwoodstoppedhisexperimentationbecauseof ill health[TheDaguerreotype: NineteenthCenturyTechnologyandModernScience,p.16,JohnsHopkinsUniversityPress,Baltimore(1991)].TheyciteJohnWergewhonotedthatWedgwoodhadgivenuphisactivitiesasearlyas1792[EvolutionofPhotographywithaChronological Recordof Discoveries, Inventions, Etc., p. 9, Piper andCarter, London(1890)]. Theyalsocite Wedgwoods biographer, R.B. Litchled, whoplaces the date later, in1799 [R.B. Litchled, TomWedgwood, the First Photographer, Duckworth andCo., ConventGarden (1903)].33T. Wedgwood and H. Davy, An account of a method of copying painting on glass and of makingproles by the agency of light on nitrate of silver, invented by T. Wedgwood, Esq., with observationsby H. Davy, J. R. Inst. (Lond.) 1, 170 (1802).34M.S.BargerandW.B. White,TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, p. 16, Johns Hopkins University Press, Baltimore (1991).18 Chapter 2 obscurait wasotherssuchasNiepce, Daguerre, Talbot, andotherswholledin the ne details in the ever-complex tapestry of ideas that eventually materializedas photography. Apparently, it never occurred to any of the great number of artistsoftheseventeenthandeighteenthcenturieswhowereusingthecameraobscurato try to x its image permanently. It was only when scientic men such as thosementionedabovewantedtomakepicturesandturnedtothecameraobscuraforassistance that the sciences of chemistryandoptics were at last successfullymarried and united in photography.35Perhaps aware that the solution to producing a permanent photographic imagelayinarrestingtheactionoflight onthephotochemical reactionsof thesilvernitrate, the pioneers of photographysought for ways toaccomplishjust that.Anaccountoftheirenormouscontributionstotheinventionanddevelopmentofphotography,althougharesultofanevolutionratherthananysuddendiscoverybyanyoneofthem,ispresentedbelow.Forgivenknowledgeofthesameprin-ciples, it is only natural to expect that people working quite separately andwithout knowledgeof eachother shouldgeneratethesameinventionat aboutthesametime, andthisisinfactborneoutbythenumerousdifferentprocessesforwhichclaimsofpriorityweremadeinvariouspartsofEuropeimmediatelyaftertheannouncement byFrancoisDominiqueAragoofDaguerresdiscoveryon January 7, 1839.36An excellent account of the events surrounding the inventionof photography has been provided elsewhere.37Here, we will summarize the mainevents that are pertinent to the invention of photolithography.2.4.1 Joseph Nicephore NiepceThe inventor of photographyand photolithographyThesingularhonorfortheinventionofphotographyaswellasphotolithographygoes toJosephNicephoreNiepce (17651833) (see Fig. 2.4), whowas borninChalon-sur-Saone, France, toawealthybourgeois family. Althoughtrainedforthepriesthood, he, likemanyothermembersofreligiousorders, wasforcedtoabandonhisprofessionduringtheFrenchRevolution. In1792hejoinedthearmy, but hiscareer asasoldier wascut short becauseof about withyellowfever. He moved to Nice in 1794, where he married and became a petit bureaucrat.In 1801, he and his family returned to the family estate in Gras outside ofChalon-sur-Saone. His older brother, Claude, joinedthemalittlelater, wheretogether they occupied themselves with inventions.38Their rst major inventionwas thepyreolophore, a boat withaninternalcombustionenginethat waspatentedin1807. Their effortstomarket andsell35H. Gernsheimand A. Gernsheim, The History of Photography: From the CameraObscura to theBeginning of the Modern Era, pp. 1 2, Thames & Hudson, London (1969).36ibid.37M.S.BargerandW.B. White,TheDaguerreotype:NineteenthCenturyTechnologyandModernScience, Chapter 3, Johns Hopkins University Press, Baltimore (1991).38ibid., pp. 17 20.Invention of Lithography and Photolithography 19 thepyreolophorelastedwellover20yearsandtookClaudetoParisin1816andthentoEnglandin1817. Theyalsodiscoverednewmethodsforthecultivationandextractionofdyefromthewoadplant, forwhichtheyreceivedrecognitionfromthe French government. During this time, indigo had become scarceandexpensiveasaresultofthecontinentalblockade,whilethedemandforbluedyefor militaryuniforms didnot diminishinequal measure. In1817, JosephNicephoreNiepcealsobecameaninventorofamachinethatwastheforerunnerof the bicycle.39In1813, theinterest oftheNiepcebrothersshiftedtolithography. Therstpatent forthelithographicprocesshadbeengrantedinFrancein1802, andtheprocesswasthenprimarilyusedforprinting music.ItsspreadwasduetoFrenchcontactsmadeinGermanyduringNapoleonsoccupationofBavaria.Duetotheoccupation, lithographyarousedmoreinterest inFrancethanit didinEngland;however, itspracticewashinderedbytheFrenchpolitical situationuntil aftertherestorationof LouisXVIItothethronein1815. It wasafterthat timethatFrenchlithographytookoffinpopularity, somuchsothat bytheendof1817,thegovernment, alarmedbythespreadof thenewtechnologyandtherelativeeaseofmakingbroadsideswithit, placedrestrictiveregulationsontheprocessforreasonsofstatesecurity.Inspiteoftheserestrictions,theFrenchbecametheleading practitioners of the art by 1820.40Another factor that ledtothepopularizationof lithographyinFranceandthat was also an incentive for the Niepce brothers was the institution of premiumsbytheSociete dEncouragement des Arts et Metiers for improvements tothelithographicprocess. Yearlypremiumswereconsistentlyofferedfromthetimeof the introduction of lithography in France until well into the 1840s for devisingbetter methodsof transferringdesignstolithographicstones, ndingasuitableFigure2.4Portrait of JosephNicephore Niepce, the inventor of photolithography.(Published with permission from the Deutsches Museum, Munich.)39ibid., p. 17.40ibid., p. 18.20 Chapter 2 substitute for the German limestone traditionally used as lithographic stones, andproducing materials to ink lithographic stones. The prizes for the premiumsrangedfrom1,000to3,000francs,dependingontheyearandtheobjective.TheEnglishSocietyofArtsalsoofferedsimilarpremiumsthroughoutthe1820sand1830s, with prizes ranging from 20 to 50 pounds.41MotivatedbythepremiumsfromtheSociete dEncouragement, duringtheearlycourseof his researchonlithography, JosephNicephoreNiepceweddedthetwoobjectives of improvingbothlithographyandmethods of transferringdesignstostone.Forseveralyears,heworkedsporadicallyonvariousaspectsoflithography,therstfruitofwhichwasoutlinedinaletterhesenttotheSocietedEncouragementwithlocalstonesamplessuitableforlithographyfoundintheregionofChalon-sur-SaoneinSeptember1816. Muchtohisrelief, thesestoneswerejudgedbytheSociete dEncouragement tobeof sufcient qualitytousefor lithography.42Also around1816, JosephNicephore Niepce was successful incapturingimages usingacameraobscuraandsilver chloridepapers. However, andlikeThomasWedgwoodbeforehim, hedidnot ndawaytostoptheactionofthelight, nordidheovercomeasecondseriousproblemtheimagesheproducedwerenegativemirrorimages, reversedinbothtoneandgeometry,ofthescenescaptured by his camera.43Discouraged withtheresultshe obtainedonpaper, JosephNicephore Niepceabandoned that avenue of i