background statement for semi draft document 4316l and...

35
i Background Statement for SEMI Draft Document 4316L Line Item Revision to SEMI S2-0715, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT, and SEMI S22-0715, SAFETY GUIDELINE FOR THE ELECTRICAL DESIGN OF SEMICONDUCTOR MANUFACTURING EQUIPMENT Delayed Revision related to Fail-to-safe Equipment Control Systems (FECS) and PM Alignment Notice: This background statement is not part of the balloted item. It is provided solely to assist the recipient in reaching an informed decision based on the rationale of the activity that preceded the creation of this Document. Notice: Recipients of this Document are invited to submit, with their comments, notification of any relevant patented technology or copyrighted items of which they are aware and to provide supporting documentation. In this context, “patented technology” is defined as technology for which a patent has issued or has been applied for. In the latter case, only publicly available information on the contents of the patent application is to be provided. Background Statement This ballot consists of 2 line items to be implemented in Delayed Revision format: Clarification/Improvement of the FECS criteria Removing the list of sections from 2.2 of SEMI S22 and inserting a table of contents to replace it (this is a new requirement of the Procedure Manual 3.2.3.1.2). This ballot is only changing S22 as the S2 scope section is being changed by another effort) The ballot has been formatted as if the line item passes and how the delayed revision section would look. The line item changes both documents so that the line item includes the materials included in the next available Delayed Revision Sections for both S2 and S22 documents. Please forward a courtesy copy of any comments or negatives against the ballot to Chris Evanston <[email protected]> and Sean Larsen <[email protected]>. As this is a technical ballot, all votes of reject must be accompanied by supportive material indicating the reason(s) for disapproval (i.e., Negatives) and be submitted with your vote before the balloting deadline or they will be considered as invalid. If you have any comments on the ballot (suggestions or questions that you do not believe are technical negatives), please clearly indicate them as COMMENT to assist us with reducing the administrative overhead in handling them during the task force and committee meetings. Review and Adjudication Information Task Force Review Committee Adjudication Group: S22 TF NA EHS Committee Date: Monday, 13 July 2015 (tentative) Thursday, 16 July 2015 Time & Timezone: 0900 10:30 PDT (tentative) 0900-1800 PDT Location: San Francisco Marriott Marquis Hotel 780 Mission Street San Francisco Marriott Marquis Hotel 780 Mission Street City, State/Country: San Francisco, CA, USA 94103 San Francisco, CA, USA 94103 Leader(s): Chris Evanston (Salus) Sean Larsen (Lam Research) Bert Planting (ASML) Chris Evanston (Salus) Sean Larsen (Lam Research) Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | [email protected] Paul Trio (SEMI NA) 408.943.7041 | [email protected] This meeting’s details are subject to change, and additional review sessions may be scheduled if necessary. Contact the task force leaders or Standards staff for confirmation. Telephone and web information will be distributed to interested parties as the meeting date approaches. If you will not be able to attend these meetings in person but would like to participate by telephone/web, please contact Standards staff.

Upload: others

Post on 17-Jun-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

i

Background Statement for SEMI Draft Document 4316L Line Item Revision to SEMI S2-0715, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURIN G EQUIPMENT, and SEMI S22-0715, SAFETY GUIDELINE FOR THE ELECTRICAL DESIGN OF SEMICONDUCTOR MANUFACTURING EQUIPMENT Delayed Revision related to Fail-to-safe Equipment Control Systems (FECS) and PM Alignment Notice: This background statement is not part of the balloted item. It is provided solely to assist the recipient in reaching an informed decision based on the rationale of the activity that preceded the creation of this Document. Notice: Recipients of this Document are invited to submit, with their comments, notification of any relevant patented technology or copyrighted items of which they are aware and to provide supporting documentation. In this context, “patented technology” is defined as technology for which a patent has issued or has been applied for. In the latter case, only publicly available information on the contents of the patent application is to be provided.

Background Statement This ballot consists of 2 line items to be implemented in Delayed Revision format:

• Clarification/Improvement of the FECS criteria • Removing the list of sections from 2.2 of SEMI S22 and inserting a table of contents to replace it (this is a

new requirement of the Procedure Manual 3.2.3.1.2). This ballot is only changing S22 as the S2 scope section is being changed by another effort)

The ballot has been formatted as if the line item passes and how the delayed revision section would look. The line item changes both documents so that the line item includes the materials included in the next available Delayed Revision Sections for both S2 and S22 documents. Please forward a courtesy copy of any comments or negatives against the ballot to Chris Evanston <[email protected]> and Sean Larsen <[email protected]>. As this is a technical ballot, all votes of reject must be accompanied by supportive material indicating the reason(s) for disapproval (i.e., Negatives) and be submitted with your vote before the balloting deadline or they will be considered as invalid. If you have any comments on the ballot (suggestions or questions that you do not believe are technical negatives), please clearly indicate them as COMMENT to assist us with reducing the administrative overhead in handling them during the task force and committee meetings.

Review and Adjudication Information Task Force Review Committee Adjudication Group: S22 TF NA EHS Committee Date: Monday, 13 July 2015 (tentative) Thursday, 16 July 2015 Time & Timezone: 0900 10:30 PDT (tentative) 0900-1800 PDT Location: San Francisco Marriott Marquis Hotel

780 Mission Street San Francisco Marriott Marquis Hotel 780 Mission Street

City, State/Country: San Francisco, CA, USA 94103 San Francisco, CA, USA 94103 Leader(s): Chris Evanston (Salus)

Sean Larsen (Lam Research) Bert Planting (ASML) Chris Evanston (Salus) Sean Larsen (Lam Research)

Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | [email protected]

Paul Trio (SEMI NA) 408.943.7041 | [email protected]

This meeting’s details are subject to change, and additional review sessions may be scheduled if necessary. Contact the task force leaders or Standards staff for confirmation. Telephone and web information will be distributed to interested parties as the meeting date approaches. If you will not be able to attend these meetings in person but would like to participate by telephone/web, please contact Standards staff.

Page 2: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

ii

Safety Checklist and Related Materials for SEMI Dra ft Document #4316L Line Item Revision to SEMI S2-0715, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURIN G EQUIPMENT, and SEMI S22-0715, SAFETY GUIDELINE FOR THE ELECTRICAL DESIGN OF SEMICONDUCTOR MANUFACTURING EQUIPMENT Delayed Revision Related to Programmable Safety Cir cuits

Developing/Revising Body Name/Type: S22 TF

Technical Committee: EHS

Region: North America Leadership Position Last First Affiliation

Leader Evanston Chris Salus Engineering

Leader/Tech Editor Larsen Sean Lam Research * Only necessary if different from leaders Documents, Conflicts, and Consideration Safety related codes, standards, and practices used in developing the safety guideline, and the manner in which each item was considered by the technical committee # and Title Manner of Consideration SEMI S2 Environmental, Safety and Health Guideline for Semiconductor Manufacturing Equipment

Considered to align and improve criteria as determined to be necessary.

SEMI S22 Safety Guideline for the Electrical Design of Semiconductor Manufacturing Equipment

ISO 13849-1 — Safety of Machinery – Safety related parts of control systems – Part 1: General principles for design.

IEC 61508 — Functional safety of electrical/electronic/programmable electronic safety related systems – entire family 1 through 7

IEC 62061 — Safety of machinery – Functional safety of safety-related electrical, electronic and programmable electronic control systems

Known inconsistencies between the safety guideline and any other safety related codes, standards, and practices cited in the safety guideline # and Title Inconsistency with This Safety Guideline NFPA 79 – Electrical Standard for Industrial Machinery Numerous inconsistencies between strict interpretation

of requirements and S22 practices. IEC 60204-33 Safety of machinery – Electrical equipment of machines – Part 33: Requirements for semiconductor fabrication equipment

Some inconsistencies between two documents. Many interpretive differences between documents due to significantly differing language.

ANSI/IEC 61010-1 – Safety requirements for electrical equipment for measurement, control, and laboratory use – Part 1: General requirements

Some inconsistencies between two documents. Many interpretive differences between documents due to significantly differing language.

Page 3: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

iii

Other conflicts with known codes, standards, and practices or with commonly accepted safety and health principles to the extent practical # and Title Nature of Conflict with This Safety

Guideline NFPA 79 – Electrical Standard for Industrial Machinery

There are numerous conflicts between strict interpretation of criteria between both each other and industry practice. This effort is working to minimize them.

IEC 60204-1 Safety of machinery – Electrical equipment of machines – Part 1: General requirements IEC 60204-33 Safety of machinery – Electrical equipment of machines – Part 33: Requirements for semiconductor fabrication equipment ANSI/IEC 61010-1 – Safety requirements for electrical equipment for measurement, control, and laboratory use – Part 1: General requirements

Participants and Contributors Name Affiliation

Alan Crockett Bert Planting ASML Brian Claes Lam Research Brian Epstein ENT Consulting Carl Wong AKT / AMAT Charles Hoover Rockwell Chuck Mello UL Dave Deboer ASML Ed Guild Edward Karl AMAT Eric Sklar Safety Guru James Oswalt Mattson Jeff Hamilton Applied Materials Jeff Hamilton TEL Jeff Hom UL John Freudenberg Hypertherm Keith Endow KLA-Tencor Kenji Sugihara Panasonic Larry Johnson Estec Solutions Lauren Crane KLA-Tencor Mark Krauss EHS2 Marv Shilt Rockwell Mike Sherman Intertek Noguo Oi Ulvac Paul Kryska Lam Research Ryosuke Imamiya DNS Steve Brody Brooks Steve Roberge Axcelis Sunny Rai Intertek Supika Mashiro TEL T. Nishihara DNS Thomas Hoertig Pilz Automation Thomas Pilz Pilz Automation Tou Vang Lam Research William Petry IBM Yoshiro Sugita TUV

End of Background Statement

Page 4: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 4 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

SEMI Draft Document 4316L Line Item Revision to SEMI S2-0715, ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURIN G EQUIPMENT, and SEMI S22-0715, SAFETY GUIDELINE FOR THE ELECTRICAL DESIGN OF SEMICONDUCTOR MANUFACTURING EQUIPMENT Delayed Revision related to Fail-to-safe Equipment Control Systems (FECS) and PM Alignment

Line Item 1, Part A: Revision to SEMI S2-0715 DELAYED REVISIONS 1 (Effective July 1, 2018) Fail-to-safe Equipment Control Systems Revision

NOTICE: This Delayed Revisions Section contains material that has been balloted and approved by the SEMI Environmental Health and Safety Committee, but is not immediately effective. The provisions of this material are not an authoritative part of the document until their effective date. The main body of SEMI S2-0715 remains the authoritative version. Some or all of the provisions of revisions not yet in effect may be applied prior to the effective date, providing they do not conflict with portions of the authoritative version other than those that are to be revised or replaced as part of the deferred revision, and are labeled accordingly. NOTICE: Unless otherwise noted, all material to be added is underlined, and all material to be deleted is struck through.

D1-1 Revision to §5 (Terminology) (OPTIONAL Before Effective Date) D1-1.1 Add definition for management of change as shown below.

5.2.XX management of change – a documented review process with a cross functional team with relevant expertise and the goal of evaluating the changes to ensure they have the intended safety performance

D1-2 Revision to §11 (Safety Interlock Systems) (OP TIONAL Before Effective Date) D1-2.1 Add § 11.6.2 as shown below.

11.6.2 In addition to ¶ 11.6.1, if a FECS is used as part of the safety interlock system, then the additional following criteria should be satisfied.

a) The program the SME equipment supplier programs onto the FECS should be reviewed, along with the way the FECS and the program added by the equipment supplier integrates into the overall semiconductor manufacturing equipment, to ensure its control function has the intended safety performance.

b) A management of change process, such as a formal engineering change control process, should be put in place to ensure the program put on the FECS by the SME equipment supplier cannot be changed without going through a review to ensure these changes will not adversely affect its intended safety performance. This should include both software/firmware and hardware.

c) A means should be provided for the field service engineer or end user to determine if a correct version of SME equipment supplier-provided programming is installed in the FECS. This could be addressed by an indication of the software/firmware version and verifying it is compatible with the hardware configuration.

Page 5: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 5 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

D1-3 Revision to §12 (Emergency Shutdown) (OPTIONAL Before Effective Date) D1-3.1 Revision to ¶ 12.2.2 as shown below.

12.2.2 The EMO circuit should consist of electromechanical components.

EXCEPTION 1: Solid-state devices and components may be used, provided the system or relevant parts of the system are evaluated and found suitable for use. The components should be evaluated and found suitable considering abnormal conditions such as over voltage, under voltage, power supply interruption, transient over voltage, ramp voltage, electromagnetic susceptibility, electrostatic discharge, thermal cycling, humidity, dust, vibration and jarring. The final removal of power should be accomplished by means of electromechanical components.

EXCEPTION 2: FECS may be used in conjunction with electromechanical or solid state devices and components provided the FECS meets the criteria of ¶¶ 11.6.1 and 11.6.2. conforms to an appropriate standard for electronic safety systems Components of the FECS should be tested and certified according to the requirements of the standard used. IEC 61508 and ISO 13849-1 (EN 954-1) are examples of internationally recognized electronic safety systems standards. The final removal of power should be accomplished by means of electromechanical components.

NOTE 44: ¶ 13.4.3 states additional assessment criteria for safety-related components and assemblies.

NOTE 45: A FECS is a subsystem of a (PES) Programmable Electronic System. IEC 61508 is the preferred standard for complex PES.

Page 6: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 6 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

Line Item 1, Part B: Revisions to SEMI S22-0715 DELAYED REVISIONS 1 (Effective July 1, 2018) Fail-to-safe Equipment Control Systems Revision

NOTICE: This Delayed Revisions Section contains material that has been balloted and approved by the SEMI Environmental Health and Safety Committee, but is not immediately effective. The provisions of this material are not an authoritative part of the document until their effective date. The main body of SEMI S22-0715 remains the authoritative version. Some or all of the provisions of revisions not yet in effect may be applied prior to the effective date, providing they do not conflict with portions of the authoritative version other than those that are to be revised or replaced as part of the deferred revision, and are labeled accordingly. NOTICE: Unless otherwise noted, all material to be added is underlined, and all material to be deleted is struck through.

D1-1 Revision to § 5 (Terminology) (OPTIONAL Before Effective Date) D1-1.1 Add a definition of management of change as shown below.

5.1.XX management of change – a documented review process with a cross functional team with relevant expertise and the goal of evaluating the changes to ensure they have the intended safety performance

D1-2 Revision to § 13 (Safety Circuits) (OPTIONAL B efore Effective Date) D1-2.1 Modify § 13.3.4 as shown below.

13.3.4 EMO Design — The design of the EMO circuit should include all the following:

a) the EMO circuit should not include controls that enable it to be defeated or bypassed;

b) the EMO circuit should consist of electro-mechanical components;

c) resetting the EMO switch should not re-energize circuits, equipment, or subassemblies that create a hazard to personnel or the facility;

d) the EMO circuit should shut down the equipment by de-energizing rather than energizing control components; and

e) the EMO actuator should be self-latching.

NOTE 40: For equipment intended for use in locations where fire or explosion hazards may exist, it is recommended that a pneumatic or intrinsically safe EMO circuit be considered.

13.3.4.1 The EMO circuit should consist of electro-mechanical components.

EXCEPTION 1: Solid-state devices and components may be used, provided the system or relevant parts of the system are evaluated and found suitable for use. The components should be evaluated and found suitable considering abnormal conditions such as over voltage, under voltage, power supply interruption, transient over voltage, ramp voltage, electromagnetic susceptibility, electrostatic discharge, thermal cycling, humidity, dust, vibration and jarring. The final removal of power should be accomplished by means of electromechanical components.

EXCEPTION: FECS may be used in conjunction with electromechanical or solid state devices and components provided the FECS meets the criteria of ¶¶ 13.7.3.1 and 13.7.3.2. The final removal of power should be accomplished by means of electromechanical components.

NOTE 40: For equipment intended for use in locations where fire or explosion hazards may exist, it is recommended that a pneumatic or intrinsically safe EMO circuit be considered.

NOTE 41: ¶ 8.4.1 states additional assessment criteria for safety-related components and assemblies.

Page 7: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 7 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

D1-2.2 Add § 13.7.3.2 as shown below.

13.7.3.2 In addition to ¶ 13.7.3.1, if a FECS is used as part of the safety interlock system, then the additional following criteria should be satisfied.

a) The program the SME equipment supplier programs onto the FECS should be reviewed, along with the way the FECS and the program added by the equipment supplier integrates into the overall semiconductor manufacturing equipment, to ensure its control function has the intended safety performance.

b) A management of change process, such as a formal engineering change control process, should be put in place to ensure the program put on the FECS by the SME equipment supplier cannot be changed without going through a review to ensure these changes will not adversely affect its intended safety performance. This should include both software/firmware and hardware.

c) A means should be provided for the field service engineer or end user to determine if a correct version of SME equipment supplier-provided programming is installed in the FECS. This could be addressed by an indication of the software/firmware version and verifying it is compatible with the hardware configuration.

Page 8: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 8 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

Line Item 2: Revisions to SEMI S22-0715 DELAYED REVISIONS 2 (Effective July 1, 2018) Procedure Manual 3.2.3 Revision

NOTICE: This Delayed Revisions Section contains material that has been balloted and approved by the SEMI Environmental Health and Safety Committee, but is not immediately effective. The provisions of this material are not an authoritative part of the document until their effective date. The main body of SEMI S2-0715 remains the authoritative version. Some or all of the provisions of revisions not yet in effect may be applied prior to the effective date, providing they do not conflict with portions of the authoritative version other than those that are to be revised or replaced as part of the deferred revision, and are labeled accordingly. NOTICE: Unless otherwise noted, all material to be added is underlined, and all material to be deleted is struck through.

D2-1 Revision to front of Safety Guideline (OPTIONA L Before Effective Date) D2-1.1 Add a table of contents as shown below.

SEMI S22-0715 SAFETY GUIDELINE FOR THE ELECTRICAL DESIGN OF SEMICONDUCTOR MANUFACTURING EQUIPMENT

This Safety Guideline was technically approved by the global Environmental Health & Safety Technical Committee. This edition was approved for publication by the global Audits and Reviews Subcommittee on December 23, 2013. Available at www.semiviews.org and www.semi.org in February 2013; originally published November 2003; previously published February 2013.

Table of Contents 1 Purpose ..................................................................................................................................................................... 2 2 Scope ........................................................................................................................................................................ 2 3 Limitations ................................................................................................................................................................ 3 4 Referenced Standards and Documents ...................................................................................................................... 3 5 Terminology ............................................................................................................................................................. 5 6 Alternate Methods of Conformity ............................................................................................................................. 9 7 Design Philosophy ..................................................................................................................................................... 9 8 General Considerations ........................................................................................................................................... 10 9 Facilities Electrical Connection .............................................................................................................................. 12 10 Protection Against Electric Shock or Burn ..........................................................................................................`15 11 Protection Against Risk of Electrical Fire ............................................................................................................ 17 12 The Protective Earthing System ........................................................................................................................... 20 13 Safety Circuits ...................................................................................................................................................... 22 14 Interface Control ................................................................................................................................................... 25 15 Electrical Enclosures ............................................................................................................................................ 26 16 Conductors and Cables ......................................................................................................................................... 27 17 Wiring Practices ................................................................................................................................................... 28 18 Electric Motors 186 Watts (1/4 Horsepower) and Larger ..................................................................................... 32 19 Accessories and Lighting ....................................................................................................................................... 33 20 Markings ................................................................................................................................................................ 34 21 Technical Documentation ..................................................................................................................................... 35 22 Testing ................................................................................................................................................................... 36 Appendix 1 Ampacity Tables ..................................................................................................................................... 43 Appendix 2 Overcurent Protective Device Location Examples ................................................................................ 49 Appendix 3 Indicator and Pushbutton Color Tables .................................................................................................. 50 Appendix 4 Enclosure Openings ............................................................................................................................... 52 Related Information 1 Current Ratings for Three-Phase Transformers ..................................................................... 53

Page 9: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 9 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

Related Information 2 Method for Determining Short Circuit Current Rating .......................................................... 55 Related Information 3 Available Fault Currents for Different Nominal Voltages and Full Load Currents at a Typical Facility ........................................................................................................................................................................ 63 Related Information 4 Creepage and Clearance ........................................................................................................ 66 Related Information 5 Conductor Sizing ................................................................................................................... 70

<page break>

NOTICE: Paragraphs entitled “NOTE” are not an official part of this document and are not intended to modify or supersede it.

NOTICE: Conformance to the “should” provisions of this guideline is necessary to declare conformance to this document. Conformance to “may,” “suggested,” “preferred,” “recommended,” “NOTE,” or “Related Information” provisions is not necessary to declare conformance.

1 Purpose

1.1This guideline provides…

D2-2 Revision to §2 (Scope) (OPTIONAL Before Effect ive Date) D2-2.1 Delete section 2.2 as shown below.

2.2 This Document contains the following:

1. Purpose

2. Scope

3. Limitations

4. Reference Standards and Documents

5. Terminology

6. Alternate Methods of Conformity

7. Design Philosophy

8. General Considerations

9. Facilities Electrical Connection

10. Protection Against Electric Shock or Burn

11. Protection Against Risk of Electrical Fire

12. Bonding to the Protective Conductor

13. Safety Circuits

14. Interface Control

15. Electrical Enclosures

16. Conductors and Cables

17. Wiring Practices

18. Electric Motors ¼ Horsepower and Larger

19. Accessories and Lighting

20. Markings

21. Technical Documentation

22. Testing

Page 10: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 10 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

< End of Change Proposals >

The rest of this Document is material that is required for inclusion in a ballot by the SEMI Standards Procedure Guide (¶ 3.4.3.3.1) for reference information, but it

does not contain any proposed Document changes.

The material is a best guess representation of what S2-0715 and S22-0715 will be. All of the material for this version is published and available in S2-0712d and S22-0712a, it has just been reformatted to move the Delayed Revision material to the main body of the document to represent the 0715 version of the documents that

will be changed.

Page 11: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 11 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

SEMI S2-0715 ENVIRONMENTAL, HEALTH, AND SAFETY GUIDELINE FOR SEMICONDUCTOR MANUFACTURING EQUIPMENT

This Safety Guideline was technically approved by the global Environmental Health & Safety Technical Committee. This edition was approved for publication by the global Audits & Reviews Subcommittee on January 5, 2015. Available at www.semiviews.org and www.semi.org in September 2012. Originally published in 1991; previously published April 2015.

NOTICE: Paragraphs entitled “NOTE” are not an official part of this safety guideline and are not intended to modify or supersede the official safety guideline. These have been supplied by the committee to enhance the usage of the safety guideline.

1 Purpose

1.1 This safety guideline is intended as a set of performance-based environmental, health, and safety (EHS) considerations for semiconductor manufacturing equipment.

2 Scope

2.1 Applicability — This guideline applies to equipment used to manufacture, measure, assemble, and test semiconductor products.

2.2 Contents — This document contains the following sections:

1. Purpose

2. Scope

3. Limitations

4. Referenced Standards and Documents

5. Terminology

6. Safety Philosophy

7. General Provisions

8. Evaluation Process

9. Documents Provided to User

10. Hazard Alert Labels

11. Safety Interlock Systems

12. Emergency Shutdown

13. Electrical Design

14. Fire Protection

15. Process Liquid Heating Systems

16. Ergonomics and Human Factors

17. Hazardous Energy Isolation

18. Mechanical Design

19. Seismic Protection

20. Automated Material Handlers

21. Environmental Considerations

22. Exhaust Ventilation

Page 12: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 12 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

23. Chemicals

24. Ionizing Radiation

25. Non-Ionizing Radiation and Fields

26. Lasers

27. Sound Pressure Level

28. Related Documents

Appendix 1 — Design Guidelines for Equipment Using Liquid Chemicals

Appendix 2 — Ionizing Radiation Test Validation

Appendix 3 — Non-Ionizing Radiation (Other than Laser) and Fields Test Validation

Appendix 4 — Fire Protection: Flowchart for Selecting Materials of Construction

Appendix 5 — Laser Data Sheet – SEMI S2

2.3 Precedence of Sectional Requirements — In the case of conflict between provisions in different sections of this guideline, the section or subsection specifically addressing the technical issue takes precedence over the more general section or subsection.

NOTICE: This safety guideline does not purport to address all of the safety issues associated with its use. It is the responsibility of the users of this safety guideline to establish appropriate safety and health practices and determine the applicability of regulatory or other limitations prior to use.

3 Limitations

3.1 This guideline is intended for use by supplier and user as a reference for EHS considerations. It is not intended to be used to verify compliance with local regulatory requirements.

3.2 It is not the philosophy of this guideline to provide all of the detailed EHS design criteria that may be applied to semiconductor manufacturing equipment. This guideline provides industry-specific criteria, and refers to some of the many international codes, regulations, standards, and specifications that should be considered when designing semiconductor manufacturing equipment.

3.3 This guideline is not intended to be applied retroactively.

3.3.1 Equipment models with redesigns that significantly affect the EHS aspects of the equipment should conform to the latest version of SEMI S2.

3.3.2 Models and subsystems that have been assessed to a previous version of SEMI S2 should continue to meet the previous version, or meet a more recently published version, and are not intended to be subject to the latest version of SEMI S2.

3.4 In many cases, references to standards have been incorporated into this guideline. These references do not imply applicability of the entire standards, but only of the sections referenced.

4 Referenced Standards and Documents

4.1 SEMI Standards and Safety Guidelines

SEMI E6 — Guide for Semiconductor Equipment Installation Documentation

SEMI F5 — Guide for Gaseous Effluent Handling

SEMI F14 — Guide for the Design of Gas Source Equipment Enclosures

SEMI F15 — Test Method (SF6 Tracer Gas) for Enclosures Has Been Moved to SEMI S6

SEMI S1 — Safety Guideline for Equipment Safety Labels

SEMI S3 — Safety Guideline for Process Liquid Heating System

SEMI S6 — EHS Guideline for Exhaust Ventilation of Semiconductor Manufacturing Equipment

Page 13: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 13 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

SEMI S7 — Safety Guidelines for Environmental, Safety, and Health (ESH) Evaluation of Semiconductor Manufacturing Equipment

SEMI S8 — Safety Guidelines for Ergonomics Engineering of Semiconductor Manufacturing Equipment

SEMI S10 — Safety Guideline for Risk Assessment and Risk Evaluation Process

SEMI S12 — Guidelines for Equipment Decontamination

SEMI S13 — Environmental, Health and Safety Guideline for Documents Provided to the Equipment User for Use with Semiconductor Manufacturing Equipment

SEMI S14 — Safety Guidelines for Fire Risk Assessment and Mitigation for Semiconductor Manufacturing Equipment

SEMI S22 — Safety Guideline for the Electrical Design of Semiconductor Manufacturing Equipment

4.2 ANSI Standards1

ANSI/RIA R15.06 — Industrial Robots and Robot Systems – Safety Requirements

ANSI/ISA S84.01 — Application of Safety Instrumented Systems for the Process Industry

4.3 CEN/CENELEC Standards2

CEN EN 775 — Manipulating Industrial Robots – Safety

CEN EN 1050 — Safety of Machinery – Principles of Risk Assessment

CEN EN 1127-1 — Explosive Atmospheres – Explosion Prevention and Protection – Part 1: Basic Concepts and Methodology

4.4 DIN Standards3

DIN V VDE 0801 — Principles for Computers in Safety-Related Systems

4.5 IEC Standards4

IEC 60825-1 — Safety of Laser Products – Part 1: Equipment Classification, Requirements

IEC 61010-1 — Safety Requirements for Electrical Equipment for Measurement, Control, and Laboratory Use – Part 1: General Requirements

IEC 61508 — Functional Safety of Electrical/Electronic/Programmable Electronic Safety-Related Systems

4.6 IEEE Standards5

IEEE C95.1 — Standard for Safety Levels with respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3kHz to 300 GHz

4.7 ISO Standards6

ISO 10218-1 — Robots for Industrial Environments – Safety Requirements – Part 1: Robot

1 American National Standards Institute, Headquarters: 1819 L Street, NW, Washington, DC 20036, USA. Telephone: 202.293.8020; Fax: 202.293.9287. New York Office: 11 West 42nd Street, New York, NY 10036, USA. Telephone: 212.642.4900; Fax: 212.398.0023; http://www.ansi.org 2 European Committee for Standardization (CEN)/European Committee for Electrotechnical Standardization (CENELEC), Central Secretariat: rue de Stassart 35, B-1050 Brussels, Belgium; http://www.cen.eu 3 Deutsches Institut für Normung e.V., Available from Beuth Verlag GmbH, Burggrafenstrasse 4-10, D-10787 Berlin, Germany; http://www.din.de 4 International Electrotechnical Commission, 3 rue de Varembé, Case Postale 131, CH-1211 Geneva 20, Switzerland. Telephone: 41.22.919.02.11; Fax: 41.22.919.03.00; http://www.iec.ch 5 Institute of Electrtical and Electronics Engineers, 3 Park Avenue, 17th Floor, new York, NY 10016-5997, USA; Telephone: 212 419 7900, Fax: 212 752 4929, http://www.ieee.org 6 International Organization for Standardization, ISO Central Secretariat, 1 rue de Varembé, Case postale 56, CH-1211 Geneva 20, Switzerland. Telephone: 41.22.749.01.11; Fax: 41.22.733.34.30; http://www.iso.ch

Page 14: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 14 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

ISO 13849-1 — Safety of Machinery – Safety-Related Parts of Control Systems – Part 1: General Principles for Design

4.8 NFPA Standards7

NFPA 12 — Standard on Carbon Dioxide Extinguishing Systems

NFPA 13 — Standard for Installation of Sprinkler Systems

NFPA 72 — National Fire Alarm Code

NFPA 497 — Recommended Practice for the Classification of Flammable Liquids, Gases, or Vapors and of Hazardous (Classified) Locations for Electrical Installations in Chemical Process Areas

NFPA 704 — Standard System for the Identification of the Hazards of Materials for Emergency Response

NFPA 2001 — Standard on Clean Agent Fire Extinguishing Systems

4.9 Underwriters Laboratories Standard8

UL 508A — Industrial Control Panel

4.10 US Code of Federal Regulations9

21 CFR Parts 1000-1050 — Food and Drug Administration/Center for Devices and Radiological Health (FDA/CDRH), Performance Standards for Electronic Products, Title 21 Code of Federal Regulations, Parts 1000-1050

4.11 Other Standards and Documents

ACGIH, Industrial Ventilation Manual10

ASHRAE Standard 110 — Method of Testing Performance of Laboratory Fume Hoods11

Burton, D.J., Semiconductor Exhaust Ventilation Guidebook12

Uniform Building Code™ (UBC)13

Uniform Fire Code™14

NOTICE: Unless otherwise indicated, all documents cited shall be the latest published versions.

5 Terminology

5.1 Abbreviations and Acronyms

5.1.1 ACGIH® — American Conference of Governmental Industrial Hygienists (ACGIH is a registered trademark of the American Conference of Governmental Industrial Hygienists.)

5.1.2 ASHRAE — American Society of Heating, Refrigeration, and Air Conditioning Engineers

5.1.3 MPE — Maximum Permissible Exposure

5.1.4 NOHD — Nominal Ocular Hazard Distance

7 National Fire Protection Association, 1 Batterymarch Park, Quincy, MA 02269, USA. Telephone: 617.770.3000; Fax: 617.770.0700; http://www.nfpa.org 8 Underwriters Laboratory, 333 Pfingsten Rd, Northbrook, IL 60062, USA. Telephone: 877.854.3577; Fax: 847.407.1395; http://www.ul.com 9 United States Food and Drug Administration/ Center for Devices and Radiological Health (FDA/CDRH). Available from FDA/CDRH; http://www.accessdata.fda.gov/scripts/cdrh/cfdocs/cfcfr/cfrsearch.cfm 10 ACGIH, 1330 Kemper Meadow Road, Cincinnati, OH 45240, USA. http://www.acgih.org 11 ASHRAE, 1791 Tullie Circle, NE, Atlanta, GE 30329, USA. http://www.ashrae.org 12 IVE, Inc., 2974 South Oakwood, Bountiful, UT 84010, USA. http://www.eburton.com 13 International Conference of Building Officials, 5360 Workman Mill Road, Whittier, CA 90601-2298, USA. http://www.icbo.org 14 International Fire Code Institute, 5360 Workman Mill Road, Whittier, CA 90601-2298, USA. http://www.ifci.org

Page 15: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 15 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.2 Definitions

NOTE 1: Composite reports using portions of reports based upon earlier versions of SEMI S2 and SEMI S10 may require understanding of the SEMI S2-0703 or SEMI S10-1296 definitions for the terms hazard, likelihood, mishap, severity, and risk.

5.2.1 abort switch — a switch that, when activated, interrupts the activation sequence of a fire detection or fire suppression system.

5.2.2 accredited testing laboratory — an independent organization dedicated to the testing of components, devices, or systems; that is recognized by a government or regulatory body as competent to perform evaluations based on established safety standards.

5.2.3 baseline — for the purposes of this document, “baseline” refers to operating conditions, including process chemistry, for which the equipment was designed and manufactured.

5.2.4 breathing zone — imaginary globe, of 600 mm (two foot) radius, surrounding the head.

5.2.5 capture velocity — the air velocity that at any point in front of the exhausted hood or at the exhausted hood opening is necessary to overcome opposing air currents and to capture the contaminated air at that point by causing it to flow into the exhausted hood.

5.2.6 carcinogen — confirmed or suspected human cancer-causing agent as defined by the International Agency for Research on Cancer (IARC) or other recognized entities.

5.2.7 chemical distribution system — the collection of subsystems and components used in a semiconductor manufacturing facility to control and deliver process chemicals from source to point of use for wafer manufacturing processes.

5.2.8 cleanroom — a room in which the concentration of airborne particles is controlled to specific limits.

5.2.9 combustible material — for the purpose of this guideline, a combustible material is any material that does propagate flame (beyond the ignition zone with or without the continued application of the ignition source) and does not meet the definition in this section for noncombustible material. See also the definition for noncombustible material.

5.2.10 equipment — a specific piece of machinery, apparatus, process module, or device used to execute an operation. The term “equipment” does not apply to any product (e.g., substrates, semiconductors) that may be damaged as a result of equipment failure.

5.2.11 face velocity — velocity at the cross-sectional entrance to the exhausted hood.

5.2.12 facilitization — the provision of facilities or services.

5.2.13 fail-safe — designed so that a failure does not result in an increased risk.

NOTE 2: For example, a fail-safe temperature limiting device would indicate an out-of-control temperature if it were to fail. This might interrupt a process, but would be preferable to the device indicating that the temperature is within the control limits, regardless of the actual temperature, in case of a failure.

5.2.14 Fail-to-safe equipment control system (FECS) — a safety-related programmable system of control circuits designed and implemented for safety functions in accordance with recognized standards such as ISO 13849-1 (EN 954-1) or IEC 61508, ANSI SP 84. These systems (e.g., safety Programmable Logic Controller (PLC), safety-related Input and Output (I/O) modules) diagnose internal and external faults and react upon detected faults in a controlled manner in order to bring the equipment to a safe state.

NOTE 3: A FECS is a subsystem to a (PES) Programmable Electronic System as defined in IEC61508-4 Definitions.

NOTE 4: Related Information 13 provides additional information on applications of FECS design.

5.2.15 failure — the termination of the ability of an item to perform a required function. Failure is an event, as distinguished from “fault,” which is a state.

5.2.16 fault — the state of an item characterized by inability to perform a required function, excluding the inability during preventive maintenance or other planned actions, or due to lack of external resources.

5.2.17 fault-tolerant — designed so that a reasonably foreseeable single point failure does not result in an unsafe condition.

Page 16: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 16 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.2.18 flammable gas — any gas that forms an ignitable mixture in air at 20°C (68°F) and 101.3 kPa (14.7 psia).

5.2.19 flammable liquid — a liquid having a flash point below 37.8°C (100°F).

5.2.20 flash point — the minimum temperature at which a liquid gives off sufficient vapor to form an ignitable mixture with air near the surface of the liquid, or within the test vessel used.

5.2.21 gas cylinder cabinet — cabinet used for housing gas cylinders, and connected to gas distribution piping or to equipment using the gas. Synonym: gas cabinet.

5.2.22 gas panel — an arrangement of fluid handling components (e.g., valves, filters, mass flow controllers) that regulates the flow of fluids into the process. Synonyms: gas jungle, jungle, gas control valves, valve manifold.

5.2.23 gas panel enclosure — an enclosure designed to contain leaks from gas panel(s) within itself. Synonyms: jungle enclosure, gas box, valve manifold box.

5.2.24 harm — physical injury or damage to health of people, or damage to equipment, buildings, or environments.

5.2.25 hazard — condition that has the potential to cause harm.

5.2.26 hazardous electrical power — power levels equal to or greater than 240 VA.

5.2.27 hazardous production material (HPM) — a solid, liquid, or gas that has a degree-of-hazard rating in health, flammability, or reactivity of class 3 or 4 as ranked by NFPA 704 and which is used directly in research, laboratory, or production processes that have as their end product materials that are not hazardous.

5.2.28 hazardous voltage — unless otherwise defined by an appropriate international standard applicable to the equipment, voltages greater than 30 volts rms, 42.4 volts peak, 60 volts dc are defined in this document as hazardous voltage.

NOTE 5: The specified levels are based on normal conditions in a dry location.

5.2.29 hinged load — a load supported by a hinge such that the hinge axis is not vertical.

5.2.30 hood — in the context of § 22 of this guideline, “hood” means a shaped inlet designed to capture contaminated air and conduct it into an exhaust duct system.

5.2.31 incompatible — as applied to chemicals: in the context of § 23 of this guideline, describes chemicals that, when combined unintentionally, may react violently or in an uncontrolled manner, releasing energy that may create a hazardous condition.

5.2.32 intended reaction product — chemicals that are produced intentionally as a functional part of the semiconductor manufacturing process.

5.2.33 interlock — a mechanical, electrical or other type of device or system, the purpose of which is to prevent or interrupt the operation of specified machine elements under specified conditions.

5.2.34 ionizing radiation — alpha particles, beta particles, gamma rays, X-rays, neutrons, high-speed electrons, high-speed protons, and other particles capable of producing ions in human tissue.

5.2.35 laser — any device that can be made to produce or amplify electromagnetic radiation in the wavelength range from 180 nm to 1 mm primarily by the process of controlled stimulated emission.

5.2.36 laser product — any product or assembly of components that constitutes, incorporates, or is intended to incorporate a laser or laser system (including laser diode), and that is not sold to another manufacturer for use as a component (or replacement for such component) of an electronic product.

5.2.37 laser source — any device intended for use in conjunction with a laser to supply energy for the excitation of electrons, ions, or molecules. General energy sources, such as electrical supply mains, should not be considered to be laser energy sources.

5.2.38 laser system — a laser in combination with an appropriate laser energy source, with or without additional incorporated components.

5.2.39 lifting accessory — a component (e.g., eyehook, shackle, hoist ring, wire rope, chain, or eyebolt) which is part of a lifting fixture or is attached directly between the lifting device and the load in order to lift it.

Page 17: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 17 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.2.40 lifting device — a mechanical or electro-mechanical structure that is provided for the purpose of raising and lowering a load during maintenance or service tasks, and may be capable of moving the load in one or more horizontal directions.

5.2.41 lifting equipment — lifting devices, lifting fixtures and lifting accessories.

5.2.42 lifting fixture — a mechanical device or an assembly of lifting accessories (e.g., hoisting yoke, wire rope sling, webbing sling, or chain assembly) placed between the lifting device (but not permanently attached to it) and the load, in order to attach them to each other.

5.2.43 likelihood — the expected frequency with which harm will occur. Usually expressed as a rate (e.g., events per year, per product, or per substrate processed).

5.2.44 local exhaust ventilation — local exhaust ventilation systems operate on the principle of capturing a contaminant at or near its source and moving the contaminant to the external environment, usually through an air cleaning or a destructive device. It is not to be confused with laminar flow ventilation. Synonyms: LEV, local exhaust, main exhaust, extraction system, module exhaust, individual exhaust.

5.2.45 lower explosive limit — the minimum concentration of vapor in air at which propagation of flame will occur in the presence of an ignition source. Synonyms: LEL, lower flammability limit (LFL).

5.2.46 maintenance — planned or unplanned activities intended to keep equipment in good working order. See also the definition for service.

5.2.47 mass balance — a qualitative, and where possible, quantitative, specification of mass flow of input and output streams (including chemicals, gases, water, de-ionized water, compressed air, nitrogen, and by-products), in sufficient detail to determine the effluent characteristics and potential treatment options.

5.2.48 material safety data sheet (MSDS) — written or printed material concerning chemical elements and compounds, including hazardous materials, prepared in accordance with applicable standards.

5.2.49 maximum permissible exposure (MPE) — level of laser radiation to which, under normal circumstances, persons may be exposed without suffering adverse effects.

5.2.50 nominal ocular hazard distance (NOHD) — distance at which the beam irradiance or radiant exposure equals the appropriate corneal maximum permissible exposure (MPE).

NOTE 6: Examples of such standards are USA government regulation 29 CFR 1910.1200, and Canadian WHMIS (Workplace Hazardous Material Information System).

5.2.51 noncombustible material — a material that, in the form in which it is used and under the conditions anticipated, will not ignite, burn, support combustion, or release flammable vapors when subjected to fire or heat. Typical noncombustible materials are metals, ceramics, and silica materials (e.g., glass and quartz). See also the definition for combustible material.

5.2.52 non-ionizing radiation — forms of electro-magnetic energy that do not possess sufficient energy to ionize human tissue by means of the interaction of a single photon of any given frequency with human tissue. Non-ionizing radiation is customarily identified by frequencies from zero hertz to 3 × 1015 hertz (wavelengths ranging from infinite to 100 nm). This includes: static fields (frequencies of 0 hertz and infinite wavelengths); extremely low frequency fields (ELF), which includes power frequencies; subradio-frequencies; radiofrequency/microwave energy; and infrared, visible, and ultraviolet energies.

5.2.53 non-recycling, deadman-type abort switch — a type of abort switch that must be constantly held closed for the abort of the fire detection or suppression system. In addition, it does not restart or interrupt any time delay sequence for the detection or suppression system when it is activated.

5.2.54 occupational exposure limits (OELs) — for the purpose of this document, OELs are generally established on the basis of an eight hour workday. Various terms are used to refer to OELs, such as permissible exposure levels, Threshold Limit Values, maximum acceptable concentrations, maximum exposure limits, and occupational exposure standards. However, the criteria used in determining OELs can differ among the various countries that have established values. Refer to the national bodies responsible for the establishment of OELs. (Threshold Limit Value is a registered trademark of the American Conference of Governmental Industrial Hygienists.)

Page 18: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 18 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.2.55 operator — a person who interacts with the equipment only to the degree necessary for the equipment to perform its intended function.

5.2.56 parts-cleaning hood — exhausted hood used for the purpose of cleaning parts or equipment. Synonym: equipment cleaning hood.

5.2.57 placed on the market — made physically available, regardless of the legal aspects of the act of transfer (loan, gift, sale, hire).

5.2.58 positive-opening — as applied to electromechanical control devices. The achievement of contact separation as a direct result of a specified movement of the switch actuator through non-resilient members (i.e., contact separation is not dependent upon springs).

5.2.59 potentially hazardous non-ionizing radiation emissions — for the purposes of this guideline, non-ionizing radiation emissions outside the limits shown in Appendix 4 are considered potentially hazardous.

5.2.60 pyrophoric material — a chemical that will spontaneously ignite in air at or below a temperature of 54.4°C (130°F).

5.2.61 radio frequency (rf) — electromagnetic energy with frequencies ranging from 3 kHz to 300 GHz. Microwaves are a portion of rf extending from 300 MHz to 300 GHz.

5.2.62 readily accessible — capable of being reached quickly for operation or inspection, without requiring climbing over or removing obstacles, or using portable ladders, chairs, etc.

5.2.63 recognized — as applied to standards; agreed to, accepted, and practiced by a substantial international consensus.

5.2.64 rem — unit of dose equivalent. Most instruments used to measure ionizing radiation read in dose equivalent (rems or sieverts). 1 rem = 0.01 sievert.

5.2.65 reproductive toxicants — chemicals that are confirmed or suspected to cause statistically significant increased risk for teratogenicity, developmental effects, or adverse effects on embryo viability or on male or female reproductive function at doses that are not considered otherwise maternally or paternally toxic.

5.2.66 residual — as applied to risks or hazards: that which remains after engineering, administrative, and work practice controls have been implemented.

5.2.67 risk — the expected magnitude of losses from a hazard, expressed in terms of severity and likelihood.

5.2.68 safe shutdown condition — a condition in which all hazardous energy sources are removed or suitably contained and hazardous production materials are removed or contained, unless this results in additional hazardous conditions.

5.2.69 safety critical part — discrete device or component, such as used in a power or safety circuit, whose proper operation is necessary to the safe performance of the system or circuit.

5.2.70 service — unplanned activities intended to return equipment that has failed to good working order. See also the definition for maintenance.

5.2.71 severity — the extent of potential credible harm.

5.2.72 short circuit current rating — the maximum available current to which an equipment supply circuit is intended, by the equipment manufacturer, to be connected.

NOTE 7: Short circuit current rating for an electrical system is typically based on the analysis of short circuit current ratings of the components within the system. See UL 508A and Related Information 2 of SEMI S22 for methods of determining short circuit rating.

5.2.73 sievert (Sv) — unit of dose equivalent. Most instruments used to measure ionizing radiation read in dose equivalent (rems or sieverts). 1 Sv = 100 rems.

5.2.74 standard temperature and pressure — for ventilation measurements, either dry air at 21°C (70°F) and 760 mm (29.92 inches) Hg, or air at 50% relative humidity, 20°C (68°F), and 760 mm (29.92 inches) Hg.

Page 19: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 19 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.2.75 supervisory alarm — as applied to fire detection or suppression systems; an alarm indicating a supervisory condition.

5.2.76 supervisory condition — as applied to fire detection or suppression systems; condition in which action or maintenance is needed to restore or continue proper function.

5.2.77 supplemental exhaust — local exhaust ventilation that is used intermittently for a specific task of finite duration.

5.2.78 supplier — party that provides equipment to, and directly communicates with, the user. A supplier may be a manufacturer, an equipment distributor, or an equipment representative. See also the definition for user.

5.2.79 testing — the term “testing” is used to describe measurements or observations used to validate and document conformance to designated criteria.

5.2.80 trouble alarm — as applied to fire detection or suppression systems; an alarm indicating a trouble condition.

5.2.81 trouble condition — as applied to fire detection or suppression systems; a condition in which there is a fault in a system, subsystem, or component that may interfere with proper function.

5.2.82 user — party that acquires equipment for the purpose of using it to manufacture semiconductors. See also the definition for supplier.

5.2.83 velocity pressure (VP) — the pressure required to accelerate air from zero velocity to some velocity V. Velocity pressure is proportional to the kinetic energy of the air stream. Associated equation:

VP = (V/4.043)2 (3)

where:

V = air velocity in m/s

VP = velocity pressure in mm water gauge (w.g.)

U.S. units: VP = (V/4005)2 (4)

where:

V = velocity in feet per second

VP = velocity pressure in inches water gauge (w.g.)

5.2.84 volumetric flow rate (Q) — in the context of § 22 of this guideline, Q = the volume of air exhausted per unit time. Associated equation:

Q = VA (5)

where:

V = air flow velocity

A = the cross-sectional area of the duct or opening through which the air is flowing at standard conditions.

5.2.85 wet station — open surface tanks, enclosed in a housing, containing chemical materials used in the manufacturing of semiconductor materials. Synonyms: wet sink, wet bench, wet deck.

5.2.86 yield strength — the stress at which a material exhibits a specified permanent deformation or set. This is the stress at which, the strain departs from the linear portion of the stress-strain curve by an offset unit strain of 0.002.15

15 Roark’s Formulas for Stress and Strain, Seventh Edition, McGraw-Hill (2002): p. 826.

Page 20: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 20 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

6 Safety Philosophy

7 General Provisions

8 Evaluation Process

9 Documents Provided to User

10 Hazard Alert Labels

11 Safety Interlock Systems

11.1 This section covers safety interlocks and safety interlock systems.

NOTE 29: If a fire detection or suppression system is provided with the equipment, see § 14 for additional information.

11.2 Where appropriate, equipment should use safety interlock systems that protect personnel, facilities, and the community from hazards inherent in the operation of the equipment.

NOTE 30: Safety critical parts whose primary function is to protect the equipment (e.g., circuit breakers, fuses) are typically not considered to be safety interlocks.

11.3 Safety interlock systems should be designed such that, upon activation of the interlock, the equipment, or relevant parts of the equipment, is automatically brought to a safe condition.

NOTE 31: Timing is relevant to risk; a safe condition includes bringing the equipment to a safe state before the hazard can be accessed by personnel.

11.4 Upon activation, the safety interlock should alert the operator immediately.

EXCEPTION: Alerting the operator is not expected if a safety interlock triggers the EMO circuit (see § 12) or otherwise removes power to the user interface.

NOTE 32: An explanation of the cause is preferred upon activation of a safety interlock.

11.5 Safety interlock systems should be fault-tolerant and designed so that the functions or set points of the system components cannot be altered without disassembling, physically modifying, or damaging the device or component.

EXCEPTION: Components or circuits with adjustable set points or trip functions may be used in safety interlock systems if access is limited by requiring a deliberate action, such as using a tool or special keypad sequences, to access the adjustable devices or to adjust the devices. The justification for the adjustability of the interlock components or circuits should be included in the equipment evaluation report and equipment documentation.

NOTE 33: The intent is to limit access to the adjustable setpoints to properly trained maintenance and service personnel.

NOTE 34: This section does not address the defeatability of safety interlocks. See § 11.7 for additional information.

11.5.1 Interlock and EMO circuits should remove hazardous energies by de-energizing rather than energizing. Shunt trips are an example of components that do not operate by de-energizing.

EXCEPTION 1: This criterion is considered to be met if a) one part of a redundant circuit operated by de-energizing and the second part operates by energizing, or b) the monitoring circuit operates by energizing as long as the monitored circuit operated by de-energizing.

EXCEPTION 2: Earth Leakage (ground fault) sensing components (e.g., GFI, GFCI, RCD and ELB) often work by energizing with the components and are acceptable if 1) the earth leakage sensing component(s) meets ¶ 13.3.4.3 and b) the rest of the earth leakage interlock or EMO circuit operated by de-energizing..

11.6 Electromechanical devices and components are preferred. Solid-state devices and solid state components may be used, provided that the safety interlock system, or relevant parts of the system, are evaluated for suitability for use in accordance with appropriate standard(s). The evaluation for suitability should take into consideration abnormal conditions such as overvoltage, undervoltage, power supply interruption, transient overvoltage, ramp voltage, electromagnetic susceptibility, electrostatic discharge, thermal cycling, humidity, dust, vibration, and jarring.

EXCEPTION: Where the severity of a reasonably foreseeable mishap is deemed to be Minor per SEMI S10, a software-based interlock may be considered suitable.

Page 21: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 21 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

NOTE 35: Where a safety interlock is provided to safeguard personnel from severe or catastrophic harm as categorized by SEMI S10, consideration of positive-opening type switches is recommended.

NOTE 36: Evaluation for suitability for use may also include reliability, self-monitoring, and redundancy as addressed under standards such as NEMA ICS 1.1 and UL 991.

NOTE 37: Solid-state devices include operational amplifiers, transistors, and integrated circuits.

11.6.1 FECS may be used in conjunction with electromechanical or solid state devices and components provided the programmable safety control system conforms to an appropriate standard for electronic safety systems. Components of the FECS should be tested and certified according to the requirements of the standard used. Examples of recognized electronic safety systems standards include IEC 61508, ISO 13849-1, ANSI/ISA SP84.01, DIN V VDE-0801.

NOTE 38: ¶ 13.4.3 states additional assessment criteria for safety-related components and assemblies.

NOTE 39: A FECS is a subsystem of a (PES) Programmable Electronic System. IEC 61508 is the preferred standard for complex PES.

NOTE 40: Related Information 13 provides additional information on applications of FECS design.

11.7 The safety interlock system should be designed to minimize the need to override safety interlocks during maintenance activities.

11.7.1 Safety interlocks that safeguard personnel during operator tasks should not be defeatable without the use of a tool.

11.7.2 When maintenance access is necessary to areas protected by interlocks, defeatable safety interlocks may be used, provided that they require an intentional operation to bypass.

11.7.2.1 Upon exiting or completing the maintenance mode, all safety interlocks should be automatically restored.

11.7.2.2 If a safety interlock is defeated, the maintenance manual should identify administrative controls to safeguard personnel or to minimize the hazard.

11.8 The restoration of a safety interlock should not initiate equipment operation or parts movement where this can give rise to a hazardous condition.

11.9 Switches and other control device contacts should be connected to the ungrounded side of the circuit so that a short circuit to ground does not result in the interlocks being satisfied.

11.10 Where a hazard to personnel is controlled through the use of an enclosure, the enclosure should either: require a tool to gain access and be labeled regarding the hazard against which it protects personnel; or be interlocked. In addition to enclosures, physical barriers at the point of hazard should be included where inadvertent contact is likely.

NOTE 41: Where the removal of a cover exposes a hazard, consider additional labels. See § 10 for guidance.

12 Emergency Shutdown

12.1 The equipment should have an “emergency off” (EMO) circuit. The EMO actuator (e.g., button), when activated, should place the equipment into a safe shutdown condition, without generating any additional hazard to personnel or the facility.

EXCEPTION 1: An EMO circuit is not needed for equipment rated 2.4 kVA or less, where the hazards are only electrical in nature, provided that the main disconnect meets the accessibility provisions of § 12.5.2 and that the effect of disconnecting the main power supply is equivalent to activating an EMO circuit.

EXCEPTION 2: Assemblies that are not intended to be used as stand-alone equipment, but rather within an overall integrated system, and that receive their power from the user’s system, are not required to have an emergency off circuit. The assembly’s installation manual should provide clear instructions to the equipment installer to connect the assembly to the integrated system’s emergency off circuit.

NOTE 42: It is recommended that the emergency off function not reduce the effectiveness of safety devices or of devices with safety-related functions (e.g., magnetic chucks or braking devices) necessary to bring the equipment to a safe shutdown condition effectively.

NOTE 43: If a fire detection or suppression system is provided with the equipment, see § 14 for additional information.

Page 22: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 22 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

12.1.1 If the supplier provides an external EMO interface on the equipment, the supplier should include instructions for connecting to the interface.

12.2 Activation of the emergency off circuit should de-energize all hazardous voltage and all power greater than 240 volt-amps in the equipment beyond the main power enclosure.

EXCEPTION 1: A non-hazardous voltage EMO circuit (typically 24 volts) and its supply may remain energized.

EXCEPTION 2: Safety related devices (e.g., smoke detectors, gas/water leak detectors, pressure measurement devices, etc.) may remain energized from a non-hazardous power source.

EXCEPTION 3: A computer system performing data/alarm logging and error recovery functions may remain energized, provided that the energized breaker(s), receptacle(s), and each energized conductor termination are clearly labeled as remaining energized after EMO activation. Hazardous energized parts that remain energized after EMO activation should be insulated or guarded to prevent inadvertent contact by personnel.

EXCEPTION 4: Multiple units mounted separately with no shared hazards and without interconnecting circuits with hazardous voltages, energy levels or other hazardous conditions may have:

• separate sources of power and separate supply circuit disconnect means if clearly identified, or

• separate EMO circuits, if they are clearly identified.

12.2.1 The EMO circuit should not include features that are intended to allow it to be defeated or bypassed.

12.2.2 The EMO circuit should consist of electromechanical components.

EXCEPTION 1: Solid-state devices and components may be used, provided the system or relevant parts of the system are evaluated and found suitable for use. The components should be evaluated and found suitable considering abnormal conditions such as over voltage, under voltage, power supply interruption, transient over voltage, ramp voltage, electromagnetic susceptibility, electrostatic discharge, thermal cycling, humidity, dust, vibration and jarring. The final removal of power should be accomplished by means of electromechanical components.

EXCEPTION 2: FECS may be used provided the FECS conforms to an appropriate standard for electronic safety systems. Components of the FECS should be tested and certified according to the requirements of the standard used. IEC 61508 and ISO 13849-1 are examples of internationally recognized electronic safety systems standards. The final removal of power should be accomplished by means of electromechanical components.

NOTE 44: ¶ 13.4.3 states additional assessment criteria for safety-related components and assemblies.

NOTE 45: A FECS is a subsystem of a (PES) Programmable Electronic System. IEC 61508 is the preferred standard for complex PES.

12.2.3 All EMO circuits should be fault-tolerant.

12.2.4 Resetting the EMO switch should not re-energize circuits, equipment, or subassemblies.

12.2.5 The EMO circuit should shut down the equipment by de-energizing rather than energizing control components.

12.2.6 The EMO circuit should require manual resetting so that power cannot be restored automatically.

12.3 The emergency off button should be red, mushroom shaped, and self latching. A yellow background for the EMO should be provided.

12.4 All emergency off buttons should be clearly labeled as “EMO,” “Emergency Off,” or the equivalent and should be clearly legible from the viewing location. The label may appear on the button or on the yellow background.

12.5 Emergency off buttons should be readily accessible from operating and regularly scheduled maintenance locations and appropriately sized to enable activation by the heel of the palm.

12.5.1 Emergency off buttons should be located or guarded to minimize accidental activation.

12.5.2 No operation or regularly scheduled maintenance location should require more than 3 m (10 feet) travel to an EMO button.

12.5.3 The person actuating or inspecting the EMO switch assembly should not be exposed to hazards with a SEMI S10 risk of Medium or greater. Examples of hazards that could have such risk are

Page 23: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 23 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

• contacting energized electrical parts,

• contacting moving machinery,

• contacting surfaces that are at excessively high or low temperatures, and

• limited or poor access causing impacts, tripping or falling during rapid movement during an emergency.

12.6 See § 13.5 for additional EMO guidelines when EMOs are used with UPSs.

13 Electrical Design

14 Fire Protection

15 Process Liquid Heating Systems

16 Ergonomics and Human Factors

17 Hazardous Energy Isolation

18 Mechanical Design

19 Seismic Protection

20 Automated Material Handlers

21 Environmental Considerations

22 Exhaust Ventilation

23 Chemicals

24 Ionizing Radiation

25 Non-Ionizing Radiation and Fields

26 Lasers

27 Sound Pressure Level Appendix 1 — Design Guidelines for Equipment Using Liquid Chemicals

Appendix 2 — Ionizing Radiation Test Validation

Appendix 3 — Exposure Criteria and Test Methods for non-Ionizing Radiation (Other than Laser) and Electromagnetic Fields

Appendix 4 — Fire Protection: Flowchart for Select ing Materials of Construction

Appendix 5 — Laser Data Sheet – SEMI S2

Page 24: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 24 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

SEMI S22-0715 SAFETY GUIDELINE FOR THE ELECTRICAL DESIGN OF SEMICONDUCTOR MANUFACTURING EQUIPMENT

This Safety Guideline was technically approved by the global Environmental Health & Safety Technical Committee. This edition was approved for publication by the global Audits and Reviews Subcommittee on December 23, 2013. Available at www.semiviews.org and www.semi.org in February 2013; originally published November 2003; previously published February 2013.

NOTICE: Paragraphs entitled “NOTE” are not an official part of this document and are not intended to modify or supersede it.

NOTICE: Conformance to the “should” provisions of this guideline is necessary to declare conformance to this document. Conformance to “may,” “suggested,” “preferred,” “recommended,” “NOTE,” or “Related Information” provisions is not necessary to declare conformance.

1 Purpose

1.1 This guideline provides a set of design based electrical safety considerations for production equipment used in the semiconductor industry.

1.2 Basic product safety concerns can be addressed by satisfying the design, construction, and testing principles set forth in this Document or by satisfying the requirements of applicable electrical safety standards.

2 Scope

2.1 This Safety Guideline applies to semiconductor manufacturing equipment.

2.2 This Document contains the following:

1. Purpose

2. Scope

3. Limitations

4. Reference Standards and Documents

5. Terminology

6. Alternate Methods of Conformity

7. Design Philosophy

8. General Considerations

9. Facilities Electrical Connection

10. Protection Against Electric Shock or Burn

11. Protection Against Risk of Electrical Fire

12. Bonding to the Protective Conductor

13. Safety Circuits

14. Interface Control

15. Electrical Enclosures

16. Conductors and Cables

17. Wiring Practices

18. Electric Motors ¼ Horsepower and Larger

19. Accessories and Lighting

Page 25: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 25 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

20. Markings

21. Technical Documentation

22. Testing

2.3 Included in this Safety Guideline are design considerations for electrical safety interlocks that protect against electrical as well as non-electrical safety hazards; and non-electrical interlocks that protect against electrical safety hazards.

2.4 This Document applies to the electrical design for all equipment used to manufacture, measure, assemble, and test semiconductor products unless the equipment is specifically excluded. See § 3, Limitations.

NOTE 1: This Safety Guideline is most useful for semiconductor manufacturing equipment where no relevant product safety standard exists.

2.5 This Safety Guideline applies to equipment intended to be powered by hazardous voltages or hazardous electrical power.

NOTE 2: The official values in this guideline are expressed in the International System of Units (SI). Values that:

a) are expressed in Inch-Pound (also known as “US Customary” or “English”) units,

b) are enclosed in parentheses, and

c) directly follow values expressed in SI units

NOTE 3: are not official, are provided for reference only, and might not be exact conversions of the SI values.

NOTICE: SEMI Standards and Safety Guidelines do not purport to address all safety issues associated with their use. It is the responsibility of the users of the documents to establish appropriate safety and health practices, and determine the applicability of regulatory or other limitations prior to use.

3 Limitations

3.1 This Safety Guideline does not apply to equipment, subassemblies, and components that have been certified by an accredited testing laboratory and used within their certification parameters, or that conform to a relevant international or national product safety standard as defined by the scope of that standard.

NOTE 4: Criteria for jurisdictional acceptance may vary and should be taken into account although it is beyond the scope of this Document.

3.2 This Safety Guideline is not intended to address any requirements pertinent to explosive atmospheres, hazardous locations, and/or hazardous process materials.

3.3 This Safety Guideline does not apply to support equipment that is an integral part of the facility, such as facility air conditioning, facility fire detection or fire extinguishing systems, or facility power distribution systems, which include motor-generator sets and transformers.

3.4 This Safety Guideline does not include design specifications for performance or functional characteristics of the equipment.

4 Referenced Standards and Documents

4.1 SEMI Standards and Safety Guidelines

SEMI E33 — Specification for Semiconductor Manufacturing Facility Electromagnetic Compatibility

SEMI S1 — Safety Guideline for Equipment Safety Labels

SEMI S2 — Environmental, Health and Safety Guideline for Semiconductor Manufacturing Equipment

SEMI S3 — Safety Guidelines for Process Liquid Heating System

SEMI S8 — Safety Guideline for Ergonomics Engineering of Semiconductor Manufacturing Equipment

SEMI S10 — Safety Guideline for Risk Assessment and Risk Evaluation Process

Page 26: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 26 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

SEMI S13 — Environmental, Health and Safety Guideline for Documents Provided to the Equipment User for Use with Semiconductor Manufacturing Equipment

SEMI S14 — Safety Guidelines for Fire Risk Assessment and Mitigation for Semiconductor Manufacturing Equipment

4.2 IEC Standards16

IEC 60112 — Method for the Determination of the Proof and the Comparative Tracking Indices of Solid Insulating Materials

IEC 60204-1 — Safety of Machinery – Electrical Equipment of Machines – Part 1: General Requirements

IEC 60417 — Graphical Symbols for Use on Equipment

IEC 60529 — Degrees of Protection Provided by Enclosures (IP Codes)

IEC 60707 — Flammability of Solid Non-metallic Materials when Exposed to Flame Sources – List of Test Methods

IEC 60950-1 — Information Technology Equipment – Safety – Part 1: General Requirements

IEC 61010-1 — Safety Requirements for Electrical Equipment for Measurement, Control, and Laboratory Use – Part 1: General Requirements

IEC 61346-1 — Industrial systems, Installations and Equipment and Industrial Products – Structuring Principles and Reference Designations – Part 1: Basic Rules

IEC/EN 61508 — Functional Safety of Electrical/electronic/programmable Electronic Safety-related Systems (Family), specifically Part 1: General Requirements and Part 4: Definitions and Abbreviations.

4.3 European Documents17

4.3.1 CENELEC Standards

EN 60529 — Degrees of Protection Provided by Enclosures

IEC/EN 61508 — Functional Safety of Electrical/electronic/programmable Electronic Safety-related Systems (Family), specifically Part 1: General Requirements and Part 4: Definitions and Abbreviations.

4.3.2 European Commission Directives

89/336/EEC — Directive on Electromagnetic Compatibility

4.3.3 DIN Standard18

DIN V VDE 0801 — Principles for Computers in Safety-Related Systems

4.4 NFPA Standards19

NFPA 70 — National Electrical Code

NFPA 79 — Electrical Standard for Industrial Machinery

4.5 UL Standards20

UL 94 — Tests for Flammability of Plastic Materials for Parts in Devices and Appliances

UL 508A — Industrial Control Panels

16 International Electrotechnical Commission, 3 rue de Varembé, Case Postale 131, CH-1211 Geneva 20, Switzerland; Telephone: 41.22.919.02.11, Fax: 41.22.919.03.00, http://www.iec.ch 17 European Union ; http://europa.eu.int/ 18 Deutsches Institut für Normung e.V., Available from Beuth Verlag GmbH, Burggrafenstrasse 4-10, D-10787 Berlin, Germany; http://www.din.de 19 National Fire Protection Association, 1 Batterymarch Park, Quincy, MA 02269, USA; Telephone: 617.770.3000, Fax: 617.770.0700, http://www.nfpa.org 20 Underwriters Laboratory, 2600 N.W. Lake Road, Camas, WA 98607-8542, USA; Telephone: 877.854.3577, Fax: 360.817.6278, http://www.ul.com

Page 27: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 27 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

UL 943 — Ground-Fault Circuit-Interrupters

UL 2360 — Test Methods for Determining the Combustibility Characteristics of Plastics Used in Semi-Conductor Tool Construction

4.6 ANSI Standards21

ANSI/FM 4910 — Cleanroom Materials Flammability Test Protocol

ANSI/IPC 2221 — Generic Standard on Printed Board Design

ANSI/ISA S84.01 — Application of Safety Instrumented Systems for the Process Industries

4.7 ISO Standards22

ISO 13849-1 — Safety of Machinery – Safety-related Parts of Control Systems – Part 1: General Principles for Design

NOTICE: Unless otherwise indicated, all documents cited shall be the latest published versions.

5 Terminology

5.1 Definitions

5.1.1 access — a means of approaching or touching.

5.1.2 accessible — having or allowing access, see definition of access.

5.1.3 accredited testing laboratory — an independent organization dedicated to the testing of components, devices, or systems that is recognized by a governmental or regulatory body as competent to perform evaluations based on established safety standards.

5.1.4 ampacity — the current, in amperes, that a conductor can carry continuously under the conditions of use, without exceeding the design limits for the temperature rating of the insulation, the conductor and the wire termination.

5.1.5 basic insulation — provides a single layer of protection against electric shock.

5.1.6 bonding (bonded) — the permanent joining of metallic parts to form an electrically conductive path that ensures electrical continuity and the capacity to conduct safely any current likely to be imposed. See protective earthing system.

5.1.7 captive hardware — screw or nut that is intended to fasten and release other hardware (e.g., a flanged spade lug) by being loosened, but without being removed.

5.1.8 clearance distance — the shortest path between two conductive parts normally carrying current, or between a conductive part normally carrying current and a conductive part that is connected to the protective earthing system, measured through air.

5.1.9 comparative tracking index (CTI) — the value obtained in accordance with Method A of IEC 60112 which determines the Material Group of a printed wiring board. Where the CTI or material group is not known, Material Group IIIb should be used.

5.1.10 conduit — a part of a closed wiring system of circular or non-circular cross-section for insulated conductors and/or cables in electrical installations, allowing them to be drawn in and/or replaced.

5.1.11 control device (of a machine) — a device connected into the control circuit and used for controlling the operation of the machine (e.g., position sensor, manual control switch, relay, magnetically operated valve, etc.).

5.1.12 convenience receptacle — an electrical outlet provided on the equipment, which does not have any load connected to it during the normal operation of the equipment, but is intended by the equipment manufacturer to be used to power a piece of maintenance or service equipment.

21 American National Standards Institute, 25 West 43rd Street, New York, NY 10036, USA; Telephone: 212.642.4900, Fax: 212.398.0023, http://www.ansi.org 22 International Organization for Standardization, ISO Central Secretariat, 1 rue de Varembé, Case postale 56, CH-1211 Geneva 20, Switzerland; Telephone: 41.22.749.01.11, Fax: 41.22.733.34.30, http://www.iso.ch

Page 28: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 28 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.1.13 cord connected equipment — equipment that is intended to be electrically connected to an electric supply by means of a flexible supply cord and attachment plug.

5.1.14 creepage distance — the shortest path between two conductive parts normally carrying current or between a conductive part normally carrying current and a conductive part that is bonded to the protective earthing system, measured along the surface of the insulation.

5.1.15 disconnecting means — a device, or group of devices, or other means by which the conductors of a circuit are intended to be disconnected from their source of supply.

5.1.16 door — a hinged enclosure panel.

5.1.17 double insulation — comprised of both supplementary insulation and basic insulation combined.

5.1.18 duct — an enclosed channel designed expressly for holding and protecting electrical conductors, cables, and bus bars. Conduits, wireways and under-floor channels are types of ducts.

5.1.19 earthing — see protective earthing system.

5.1.20 electrical enclosure — a panel, compartment, or other defined area housing electrical components used to enhance the safety of the equipment containing those components.

5.1.21 electrical feed — (referenced from the equipment) a facility supply conductor that provides electrical energy to a piece of equipment.

NOTE 4: Electrical wiring from enclosure to enclosure, routed through the facility, but provided with the equipment to power subsystems, are not considered electrical feeds.

5.1.22 energized — electrically connected to a source of voltage.

5.1.23 equipment — a specific piece of machinery, apparatus, process module, or device used to execute an operation. The term “equipment” does not apply to any product (e.g., substrates, semiconductors).

5.1.24 exposed (as applied to energized parts) — capable of being inadvertently touched or approached nearer than a safe distance by a person. It is applied to parts that are not suitably guarded, isolated, or insulated.

5.1.25 fail-safe — designed so that a failure does not result in an increased risk.

NOTE 5: For example, a fail-safe temperature limiting device would indicate an out-of-control temperature if it were to fail. This might interrupt a process, but would be preferable to the device indicating that the temperature is within the control limits, regardless of the actual temperature, in case of a failure.

5.1.26 fail-to-safe equipment control system (FECS) — a safety-related programmable system of control circuits designed and implemented for safety functions in accordance with recognized standards such as ISO 13849-1 (EN 954-1) or IEC/EN 61508, ANSI/ISA S84.01. These systems (e.g., safety programmable logic controller (PLC), safety-related input and output (I/O) modules) diagnose internal and external faults and react upon detected faults in a controlled manner in order to bring the equipment to a safe state.

NOTE 6: A FECS is a subsystem to a programmable electronic system (PES) as defined in IEC 61508-4.

5.1.27 failure — the termination of the ability to perform an intended function. Failure is an event, as distinguished from “fault,” which is a state.

5.1.28 fault — the state of an item characterized by the inability to perform its intended function; excludes the inability to perform required functions during preventive maintenance or other planned actions, or due to lack of external resources.

5.1.29 fault-tolerant — designed so that a reasonably foreseeable single point failure does not result in an unsafe condition.

5.1.30 ground fault — an unintentional, electrically conducting connection between an ungrounded or grounded conductor of an electrical circuit and the normally non-current-carrying conductors, metallic enclosures, metallic raceways, or metallic equipment.

Page 29: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 29 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.1.31 ground-fault-circuit-interrupter (GFCI) — a device intended for the protection of personnel that functions to de-energize a circuit or portion thereof within an established period of time when a current to ground exceeds a value in the range of 4 to 6 mA (for further information, see UL 943, Standard for Ground-Fault Circuit-Interrupters).

5.1.32 grounding — see protective earthing system.

5.1.33 hazard — a condition that is a prerequisite to a mishap.

5.1.34 hazardous voltage — voltages greater than 30 volts RMS, 42.4 volts peak, or 60 volts DC.

5.1.35 hazardous electrical power — power levels equal to or greater than 240 VA.

5.1.36 insulation — a layer of non-conductive material used as the outer surface of a conductor for the purpose of protecting against electric shock.

5.1.37 interlock — a mechanical, electrical or other type of device or system, whose purpose is to prevent or interrupt the operation of equipment subsystems under specified conditions.

5.1.38 interrupting capacity — the highest current at rated voltage that a device is intended to interrupt.

NOTE 7: Also known as amperes interrupting capacity (AIC).

5.1.39 isolated power system — a power system that has a high impedance between its conductors and the facilities connection of the equipment. High impedance can be characterized by resistances of one meg-ohm or more. Isolated power systems are supplied power by isolation transformers or power supplies that have no direct electrical connection between their primary conductors and their secondary conductors.

NOTE 8: An isolation transformer with one leg of the secondary connected to ground is not an isolated power system.

5.1.40 main disconnecting means — a disconnecting means that is intended to be used to disconnect facilities electrical power from the system.

5.1.41 maintenance — planned activities intended to keep equipment in proper working order (see also the definition for service).

5.1.42 material group — a categorization of the comparative tracking index (CTI) of an insulator as follows:

• Material Group I: 600 <= CTI

• Material Group II: 400 <= CTI <600

• Material Group IIIa: 175 <= CTI <400

• Material Group IIIb: 100 <= CTI <175

5.1.43 maximum nominal load — the maximum continuous power a circuit will draw under operating conditions prescribed by the manufacturer. Non-periodic power variations of less than a second in duration are not considered continuous.

5.1.44 multi-outlet assemblies — assemblies whose primary function is to provide electrical connections and that have multiple receptacles which are intended for electrical connections.

5.1.45 neutral conductor — an earthed (grounded) AC current carrying conductor.

NOTE 9: It is distinct from protective earthing which is an earthed (grounded) non-current carrying conductor or a non-earthed (non-grounded) current carrying conductor.

5.1.46 normal operating conditions — the condition of the equipment reasonably foreseen by the manufacturer, including specified conditions of use, during operation, maintenance and servicing while the equipment is operated, maintained and serviced according to the manufacturer’s instructions and with no unauthorized equipment modifications. Normal operating conditions should include consideration of reasonably foreseeable misuse.

5.1.47 operator — a person who interacts with the equipment only to the degree necessary for the equipment to perform its intended function.

5.1.48 operator access — an area to which, under normal operating conditions, one of the following applies: access may be gained without the use of a tool, the means of access is deliberately provided to the operator, or the operator

Page 30: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 30 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

is instructed to enter regardless of whether or not a tool is needed to gain access (if the operator is so instructed, this area becomes evaluatable as an operator accessible area).

5.1.49 overcurrent — any current in excess of the rated current of the equipment or the rated ampacity (current-carrying capacity) of the conductor; it may result from overload, short circuit, or ground fault.

5.1.50 overload — operation of equipment in excess of normal, full-load rating, or of a conductor in excess of rated ampacity that, when it persists for a sufficient length of time, would cause damage or dangerous overheating. A fault, such as a short circuit or ground fault, is not an overload (see short circuit and ground fault).

5.1.51 permanently connected equipment — equipment that is electrically connected to a supply by means of a connection that may be detached only by the use of a tool.

5.1.52 pollution — any addition of foreign matter, solid, liquid or gas, that may produce a reduction of dielectric strength or increase of surface resistivity.

5.1.53 pollution degree — for the purpose of evaluating clearances the following two degrees of pollution in the micro-environment are recognized for use with this document:

5.1.53.1 pollution degree 1 — no pollution or only dry, non-conductive pollution occurs. The pollution has no influence. Cleanroom Class 1000 or less.

NOTE 10: Cleanroom Class 1000 or less is pollution degree 1, however the pollution degree in a particular area in a given piece of equipment may exceed pollution degree 1, even if the equipment is installed in a cleanroom class 1000 or less.

5.1.53.2 pollution degree 2 — normally only non-conductive pollution occurs. Occasionally, however, a temporary conductivity caused by condensation is expected. Cleanroom Class greater than 1000.

NOTE 11: Cleanroom Class greater than 1000 is pollution degree 2, however the pollution degree in a particular area in a given piece of equipment may exceed pollution degree 2, even if the equipment is installed in a cleanroom greater than 1000.

5.1.54 protective conductor — a conductor that provides electrical continuity between conductive components that are not intended to be energized during normal operations and the equipment’s protective earthing conductor terminal.

5.1.55 protective earthing conductor — a normally non-current carrying conductor connected between earth (ground) at the source of supply and the protective earthing (grounding) terminal on the equipment enclosure.

5.1.56 protective earthing conductor terminal — a terminal bonded to conductive parts of an equipment enclosure for safety purposes and intended to be connected to an external protective earthing (grounding) conductor (the protective earthing conductor).

5.1.57 protective earthing system — the earthing (grounding and bonding) system connecting accessible conductive parts of the equipment to an external earth (ground) at the source of supply. The protective earthing system may include bonded structural members, bonding jumpers, the protective earthing conductor terminal on the equipment and the protective earthing conductor in the incoming supply wiring to the equipment.

5.1.58 raceway — an enclosed channel of metal, or nonmetallic materials, designed expressly for holding wires, cables, or busbars.

5.1.59 readily accessible — capable of being reached quickly for operation or inspection, without requiring climbing over or removing obstacles or using portable ladders, chairs, etc.

5.1.60 reinforced insulation — a single layer of insulation that provides a degree of protection against electric shock equivalent to double insulation.

5.1.61 risk — the expected losses from a mishap, expressed in terms of severity and likelihood.

5.1.62 safe shutdown condition — a condition in which all hazardous energy sources and hazardous production materials are removed or suitably contained, unless this results in additional hazardous conditions.

5.1.63 safety circuit — a circuit whose intended function is to make the equipment safer. Interlocks, EMO circuits, and other protective circuits are safety circuits.

5.1.64 service — unplanned activities intended to return equipment that has failed to proper working order. See also maintenance.

Page 31: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 31 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

5.1.65 severity — the extent of the worst credible loss (damage, injury, or release) from a mishap caused by a specific hazard.

5.1.66 short circuit current rating — the maximum prospective available current to which an equipment supply circuit is intended, by the equipment manufacturer, to be connected.

NOTE 12: Short circuit current rating for an electrical system is typically based on the analysis of short circuit current ratings of the components within the system. See UL 508A and Related Information 2 for methods of determining short circuit current rating. Related Information 3 describes the fault current available at equipment points of connection in a typical semiconductor facility.

5.1.67 supplementary insulation — applied to basic insulation in order to ensure protection against electric shock in the event of the failure of basic insulation.

5.1.68 testing — measurements or observations used to validate and document conformance to designated criteria.

5.1.69 tool — an external device used to aid a person to perform a mechanical function.

5.1.70 types of electrical work — defined by the electrical energy levels a person is exposed to when performing a task. All tasks that should be performed on a system fall into one of the four following type categories of electrical work:

5.1.70.1 type 1 — equipment is fully de-energized.

5.1.70.2 type 2 — equipment is energized. Energized circuits are covered or insulated with no exposed parts.

NOTE 13: Type 2 work includes those tasks where the energized circuits are, or may be, measured by placing probes through suitable openings in the covers or insulators.

5.1.70.3 type 3 — equipment is energized. Energized circuits are exposed and inadvertent contact with un-insulated energized parts is possible. Potential exposures are not greater than 30 volts RMS, 42.4 volts peak, 60 volts DC, and 240 volt-amps in dry locations.

5.1.70.4 type 4 — equipment is energized. Energized circuits are exposed and inadvertent contact with un-insulated energized parts is possible. Potential exposures are greater than 30 volts RMS, 42.4 volts peak, 60 volts DC, 240 volt-amps in dry locations, or where induced or contact radio-frequency currents exceed the limits in SEMI S2.

5.1.71 wire guide — any method of mechanically securing wire or cable into a restrictive routing.

6 Alternate Methods of Conformity

7 Design Philosophy

8 General Considerations

9 Facilities Electrical Connection

10 Protection Against Electrical Shock or Burn

11 Protection Against Risk of Fire

12 The Protective Earthing System

13 Safety Circuits

13.1 General — Transformers or power supplies should be used for supplying safety circuits. Such transformers should have electrical isolation between the primary and the secondary windings. Safety circuits should be designed using non-hazardous voltage and power levels consistent with the correct operation of the control circuit.

NOTE 38: See ¶ 13.3.3 EXCEPTION 2 for specific EMO function exception.

13.2 Start Functions

13.2.1 Start functions should operate by energizing the relevant circuit.

13.2.2 Prevention of Unexpected Start-up — The engineering design should prevent unexpected start-up.

Page 32: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 32 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

13.3 Emergency Off

13.3.1 The equipment should have an “emergency off” (EMO) circuit. An EMO actuator (e.g., button), when activated, should place the equipment into a safe shutdown condition, without generating any additional hazard to personnel or the facility.

EXCEPTION 1: Cord- and plug-connected single phase equipment, rated no greater than 240 volts line-to-line/150 volts line-to-earth and no greater than 2.4 kVA, where the hazards are only electrical in nature, do not need to have a separate EMO circuit if the main disconnecting means is readily accessible to the operator and maintenance personnel. This main disconnecting means should be red and labeled indicating its On/Off status.

EXCEPTION 2: Assemblies that are not intended to be used as stand-alone equipment, but rather within an overall integrated system, and which receive their power from the end-user system, may not have a separate emergency off circuit. The assembly’s installation manual should provide clear instructions to the equipment installer to connect the assembly to the integrated system’s emergency off circuit.

NOTE 39: It is recommended that the emergency off function not reduce the effectiveness of safety devices or of devices with safety-related functions (e.g., magnetic or braking devices) necessary to bring the equipment to a safe shutdown condition effectively.

13.3.2 EMO Interfaces — External EMO interfaces should be provided where the equipment is likely to be integrated and is likely to have shared hazards with other assemblies in the end user’s facility. If an external EMO interface is provided, the supplier should include instructions for connecting to the interface.

13.3.3 EMO Function — Activation of the emergency off circuit should de-energize all hazardous voltage and all power greater than 240 volt-amperes in the equipment beyond the main power enclosure.

EXCEPTION 1: A non-hazardous voltage EMO circuit (typically 24 volts) may remain energized.

EXCEPTION 2: Safety related devices (e.g., smoke detectors, gas/water leak detectors, pressure measurement devices, etc.) may remain energized from a non-hazardous power source.

EXCEPTION 3: A computer system or PLC performing data/alarm logging and error recovery functions may remain energized, provided that the breaker and receptacle supplying the power to the computer system are clearly labeled as remaining energized after EMO activation. Hazardous energized parts that remain energized after EMO activation should be insulated or guarded to prevent inadvertent contact by personnel.

EXCEPTION 4: Multiple units mounted separately with no shared hazards and without interconnecting circuits with hazardous voltages, energy levels or other hazardous conditions may have:

• separate sources of power and separate supply circuit disconnect means if clearly identified, or

• separate EMO circuits, if they are clearly identified.

13.3.4 EMO Design — The design of the EMO circuit should include all the following:

a) the EMO circuit should not include controls that enable it to be defeated or bypassed;

b) the EMO circuit should consist of electro-mechanical components;

c) resetting the EMO switch should not re-energize circuits, equipment, or subassemblies that create a hazard to personnel or the facility;

d) the EMO circuit should shut down the equipment by de-energizing rather than energizing control components; and

e) the EMO actuator should be self-latching.

EXCEPTION: Solid-state devices and components may be used, provided the system or relevant parts of the system are evaluated and found suitable for use. The components should be evaluated and found suitable considering abnormal conditions such as over voltage, under voltage, power supply interruption, transient over voltage, ramp voltage, electromagnetic susceptibility, electrostatic discharge, thermal cycling, humidity, dust, vibration and jarring. The final removal of power should be accomplished by means of electromechanical components.

NOTE 40: For equipment intended for use in locations where fire or explosion hazards may exist, it is recommended that a pneumatic or intrinsically safe EMO circuit be considered.

Page 33: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 33 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

13.3.5 EMO Identification — The EMO identification should include the following:

a) the emergency off actuator should be red and mushroom shaped;

b) a yellow background for the EMO should be provided;

c) all Emergency Off actuators should be clearly labeled as “EMO,” “Emergency Off,” or the equivalent, and should be clearly legible from the viewing location. The label may appear on the actuator or on the yellow background; and

d) Emergency Off buttons should be located or guarded to minimize accidental activation.

13.3.6 EMO Location and Size — Emergency Off buttons should be readily accessible from operating and regularly scheduled maintenance locations and appropriately sized to enable activation by the heel of the palm.

13.3.7 No operator or regularly scheduled maintenance location should require more than 3 m (10 ft.) travel to the EMO button.

13.3.8 The person actuating or inspecting the EMO switch assembly should not be exposed to hazards with a SEMI S10 risk of Medium or greater. Examples of hazards that could have such risks are:

• contacting energized electrical parts,

• contacting moving machinery,

• contacting surfaces that are at excessively high or low temperatures, and

• limited or poor access causing impacts, tripping or falling during rapid movement during an emergency.

13.4 Operating Modes — When a system has more than one operating mode, and operating mode selection can result in a hazardous condition, mode selection should be restricted to trained service or maintenance personnel.

13.5 Suspension of safeguards should satisfy ¶ 8.15.

13.6 Safety Controls

13.6.1 Hold-to-run Controls — Hold-to-run controls should only be used if a hazard analysis determines that they are an appropriate and adequate means to mitigate a hazard. When hold-to-run controls are used, they should necessitate continuous actuation of the control devices to achieve operation.

13.6.2 Two Handed Controls — When dual series-connected hand controls are used to isolate the operator from hazards, the hand controls and/or control circuit should comply with the following:

a) the hand controls should be momentary contact switches with black or green heads. Each hand control should be protected against unintended operation;

b) each hand control should be arranged by design, construction, and/or separation so that the use of both hands is needed to start the machine cycle. Preferably, they are mounted at least 610 mm (24 in.) apart at the same height;

c) two hand controls should be designed so that both hand controls need to be depressed within one second of each other for the machine to cycle and both hand controls need to be held depressed until the hazard no longer exists; and

d) the control system should incorporate an anti-repeat feature that limits the machine to one cycle for each depression of the hand controls. The control system should incorporate an anti-tie-down feature that demands the release of both hand controls between cycles.

13.6.3 Combined Start and Stop Controls — Controls that alternately initiate and stop motion should only be used when no hazardous condition can arise from their operation.

13.7 Safety Interlock Circuits

13.7.1 Protection against Fault Conditions — When a single point failure can result in an unacceptable level of risk, a safety interlocking circuit or other suitable means should be provided to protect against the consequences of that single point failure.

Page 34: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 34 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

13.7.2 Safety Interlock Function — Safety interlock systems should be designed such that, upon activation of the interlock, the equipment, or relevant parts of the equipment, is automatically brought to a safe condition. Each safety interlock, when activated, should alert the operator immediately.

NOTE 41: Timing is relevant to risk; a safe condition includes bringing the equipment to a safe state before the hazard can be accessed by personnel.

EXCEPTION: If a safety interlock triggers the EMO circuit, or otherwise removes power to the user interface, notification to the operator is not needed.

NOTE 42: An explanation of the cause is preferred upon activation of a safety interlock.

13.7.3 Safety Interlock Design — Electromechanical devices and components are preferred, but solid state devices and solid state components may be used provided that the safety interlock system or relevant parts of the system are evaluated for suitability for use in accordance with appropriate standard(s). The evaluation for suitability should take into consideration abnormal conditions such as overvoltage, undervoltage, power supply interruption, transient overvoltage, ramp voltage, electromagnetic susceptibility, electrostatic discharge, thermal cycling, humidity, dust, vibration, jarring, or interfacing to a network.

EXCEPTION: Where the severity of a reasonably foreseeable mishap is deemed to be minor per SEMI S10, a software-based safety interlock may be considered suitable.

13.7.3.1 FECS may be used in conjunction with electromechanical or solid state devices and components provided the programmable safety control system conforms to an appropriate standard for electronic safety systems. Components of the FECS should be tested and certified according to the requirements of the standard used. Examples of recognized electronic safety systems standards include IEC 61508, ISO 13849-1 (EN 954-1), ANSI/ISA SP84.01, DIN/V/VDE-0801.

NOTE 43: ¶ 8.4.1 states additional assessment criteria for safety-related components and assemblies.

NOTE 44: A FECS is a subsystem to a programmable electronic system (PES). IEC 61508 is the preferred standard for complex PES.

13.7.4 Safety Interlock Override — The safety interlock system should be designed to minimize the need to override safety interlocks during maintenance activities.

13.7.5 When maintenance access to areas protected by safety interlocks is necessary, safety interlocks that can be defeated may be used, provided that they require an intentional operation to bypass. Safety interlocks that safeguard operator tasks should not be able to be defeated without the use of a tool. Upon exiting or completing the maintenance mode, all safety interlocks should be automatically restored.

13.7.6 If a safety interlock is defeated, the maintenance manual should identify administrative controls to safeguard personnel and to minimize the hazard.

13.7.7 The restoration of a safety interlock should not automatically initiate machine motion or operation where this can give rise to a hazardous condition.

13.7.8 Safety Interlock Circuit Connection — To reduce the risk of interlocks not functioning correctly from short circuiting of the device or wiring to ground, switches, contacts, and other safety interlock control devices should not be connected to the earthed side of the circuit.

13.7.9 Interlock and EMO circuits should remove hazardous energies by de-energizing rather than energizing. Shunt trips are an example of components that do not operate by de-energizing.

EXCEPTION 1: This criterion is considered to be met if (a) one part of a redundant circuit operates by de-energizing and the second part operates by energizing, or (b) the monitoring circuit operates by energizing as long as the monitored circuit operates by de-energizing.

EXCEPTION 2: Earth Leakage (ground fault) sensing components (e.g., GFI, GFCI, RCD and ELB) often work by energizing within the components and are acceptable if (a) the earth leakage sensing component(s) meets ¶ 8.4.1 and (b) the rest of the earth leakage interlock or EMO circuit operates by de-energizing.

13.7.10 Safety interlock circuits should be designed so that the functions or set points of their components cannot be altered without disassembling, physically modifying, or damaging the devices or components.

Page 35: Background Statement for SEMI Draft Document 4316L AND ...downloads.semi.org/web/wstdsbal.nsf/0/1c1b681c2991... · Standards Staff: Paul Trio (SEMI NA) 408.943.7041 | ptrio@semi.org

This is a Draft Document of the SEMI International Standards program. No material on this page is to be construed as an official or adopted Standard or Safety Guideline. Permission is granted to reproduce and/or distribute this document, in whole or in part, only within the scope of SEMI International Standards committee (document development) activity. All other reproduction and/or distribution without the prior written consent of SEMI is prohibited.

Page 35 Doc. 4316L SEMI

Semiconductor Equipment and Materials International 3081 Zanker Road San Jose, CA 95134-2127 Phone: 408.943.6900, Fax: 408.943.7943

LET

TE

R (

YE

LLO

W)

BA

LLO

T

DRAFTDocument Number: 4316L

Date: 4/20/2015

EXCEPTION: Components or circuits with adjustable set points or trip functions may be used in safety interlock systems, when justified, if access is limited by requiring a deliberate action, such as using a tool or special keypad sequences, to access the adjustable devices or to adjust the devices. The justification for the adjustability of the interlock components or circuits should be included in the equipment evaluation report and equipment documentation.

NOTE 45: The intent is to limit access to the adjustable setpoints to properly trained maintenance and service personnel.

13.8 Multiple Points of Control

13.8.1 Where multiple points of control are provided on a system, a hardware based device which meets the considerations of ¶ 13.7 should be used to ensure a single point of control when multiple points of control can cause an unacceptable risk.

13.8.2 The control point selection hardware-based device should either be lockable or be able to be under the immediate control of the person(s) exposed to the hazard.

14 Interface Control

15 Electrical Enclosures

16 Conductors and Cables

17 Wiring Practices

18 Electric Motors 186 Watts ( 1/4 Horspower) and Larger

19 Accessories and Lighting

20 Markings

21 Technical Documentation

22 Testing

Appendix 1 — Ampacity Tables

Appendix 2 — Overcurrent Protective Device Location Examples

Appendix 3 — Indicator and Pushbutton Color Tables

Appendix 4 — Enclosure Openings

NOTICE: SEMI makes no warranties or representations as to the suitability of the safety guideline(s) set forth herein for any particular application. The determination of the suitability of the safety guideline(s) is solely the responsibility of the user. Users are cautioned to refer to manufacturer’s instructions, product labels, product data sheets, and other relevant literature respecting any materials or equipment mentioned herein. These safety guidelines are subject to change without notice.

By publication of this safety guideline, Semiconductor Equipment and Materials International (SEMI) takes no position respecting the validity of any patent rights or copyrights asserted in connection with any item mentioned in this safety guideline. Users of this safety guideline are expressly advised that determination of any such patent rights or copyrights, and the risk of infringement of such rights are entirely their own responsibility.