architectura computer

699
Файл взят с сайта - http://www.natahaus.ru/ где есть ещё множество интересных и редких книг, программ и прочих вещей. Данный файл представлен исключительно в ознакомительных целях. Уважаемый читатель! Если вы скопируете его, Вы должны незамедлительно удалить его сразу после ознакомления с содержанием. Копируя и сохраняя его Вы принимаете на себя всю ответственность, согласно действующему международному законодательству . Все авторские права на данный файл сохраняются за правообладателем. Любое коммерческое и иное использование кроме предварительного ознакомления запрещено. Публикация данного документа не преследует за собой никакой коммерческой выгоды. Но такие документы способствуют быстрейшему профессиональному и духовному росту читателей и являются рекламой бумажных изданий таких документов. Все авторские права сохраняются за правообладателем. Если Вы являетесь автором данного документа и хотите дополнить его или изменить, уточнить реквизиты автора или опубликовать другие документы, пожалуйста, свяжитесь с нами по e-mail - мы будем рады услышать ваши пожелания.

Upload: nicolai-griu

Post on 08-Nov-2014

168 views

Category:

Documents


2 download

TRANSCRIPT

- http://www.natahaus.ru/ , . . ! , . , . . . . . . , , , e-mail - .

COmPUTER SCIENCE

.

4-

^ - --

2003

1. 2. 3. 4. 5. 6. 7. 8. 9. . . 15 18 56 139 230 334 437 517 556 647 663 674 683

14 15

1. , (1642-1945) (1945-1955) (1955-1965) (1965-1980) (1980-?) Pentium II UltraSPARC II PicoJavall

1818 19 21 24 29 29 ;.... 32 35 37 39 40 41 42 45 45 48 50 52 54

2. RISC CISC -

5656 57 58 62 64 65 69 73 73 74 75 77 81 84

IDE SCSI- RAID- - CD-R CD-RW DVD - 85 85 87 90 91 92 93 98 102 105 105 108 108 111 119 121 126 129 133 134

3. (flip-flops) Pentium II UltraSPARC II PicoJavall

139139 139 142 144 145 149 149 151 157 161 163 163 165 168 168 172 174 177 177 179 182 183 188 191 193 193 200 203

8

^ 205 206 207 215 219 219 220 223 224

ISA PCI USB -

4. : Mic-1 : IJVM IJVM IJVM Java IJVM IJVM Mic-1 : Mic-2 : Mtc- 7 : Mic-4 - Pentium II UltraSPARC II picoJava II Pentium, UltraSPARC picoJava

230230 231 237 240 244 245 247 248 252 254 254 258 271 271 274 280 284 290 293 294 300 306 311 314 314 319 322 327 329 330

5. Pentium II UltraSPARC II Java

334336 336 338 340 342 342 345 348

Pentium II UltraSPARC II Java Pentium II UltraSPARC II Pentium II UltraSPARC II JVM - Pentium UltraSPARC II picoJava II Pentium II UltraSPARC II JVM

9 349 350 351 351 352 352 353 354 356 358 360 361 364 365 365 366 366 366 367 369 369 372 373 375 377 377 378 379 379 380 381 383 385 385 386 390 394 397 403 404 404 405 410 412 413 417 418 419 421

10

423 423 425 426 429 430 430 431

Intel IA-64 Pentium II IA-64:

6. Pentium II UltraSPARC II - - -

437438 439 441 444 445 448 449 452 455 460 463 463 464 465 469 470 471 472 476 479 480 489 493 504 510 511

7. ? ? ,

517518 518 519 521 524 527 527 529 530 530 531 531 532

11 536 537 538 540 543 545 547 551 552

8. SIMD - UMASMP UMA UMAc NUMA CC-NUMA COW Clusters of Workstations { )

556557 559 564 572 574 579 584 587 587 588 592 593 597 603 605 607 609 619 621 622 626 627 632 635 642 643

9.

647647 648 649 649 650 651 652 652 653 654

12

.

665665 667 669 670 673 674

. IEEE 754

676676 680 683

685

, , ,

. . , . ( , , ). . , , . , . 85 , , 5 . . Amsterdam Compiler Kit ( ), MINIX ( UNIX ). Amoeba ( ). MINIX Amoeba . . . , (IEEE), . 1994 . 1997 ( ) . (Who's Who in the World). http://www.cs.vu.nl/~ast/.

, , - . , , - , . , , , ( , ). , , . , , Pascal, Java, Java . , (Intel Pentium II, Sun UltraSPARC II Sun picojava II). , , , . . ( , ). , . , , , . , ( ) , , , . 1 - , . : Pentium II, UltraSPARC II picojava II. . -: RAID, CD-R, DVD, . .

16

3 ( ) -. ( , PCI USB). . 4 ( ) . , JVM. . . , Mic-4, . (, Pentium II). , (, , , ). . 5 ( ) . Pentium II, UltraSPARC II JVM. 6 ( ) Pentium II (Windows NT) UltraSPARC II (UNIX). . , . UNIX , , , , . 7 ( ) , . , . 8 ( ) . (UMA, NUMA ) ( COW). . , , . , . , , , . web- . PostScript . . , . : http://www.cs.vu.nl/~ast/sco4/ (Ray Ontko). . , - , (Henri Bal), (Alan Charlesworth), -

17

(Koorosh Gharachorloo), (Marcus Goncalves), (Karen Panetta Lentz), (Timothy Mattson), - (Harlan McGhan), (Miles Murdocca), (Kevin Normoyle), ' (Mike O'Connor), (Mitsunori Ogihara), (Ray Ontko), (Aske Plaat), (William Potvin II), (Nagarajan Prabhakaran), . (James H. Pugsley), . (RonaldN. Schroeder), (Ryan Shoemaker), -. (Charles Silio, Jr.) (Dale Skrien). (Adriaan Bon), (Laura de Vries), (Dolf Loth), (Guido van't Noordende) , . Qim Goodman) ( , ). JVM , JVM . . . , , , Pentium'oM. , Pentium , IBM-386, . , , , , . .

5 68069

1

, , . , , . . , : 2 ; , ; . , . . , . , , . , . , . , . . . . , . , .

, , , , . X, Y. - . , .

19

, . , , . , 1. , 0. , 0. , , 1. , 1, 0. , 0, , 1. . 0, , 1, , 0. 0. , , , . . 0, 1. , 1 0, 1 , 0 . 1 0 . , 0, . , . , 1, . 1, 0 0. 1 , 0, , 0, . 1, . , . , 0, . , , . , 0 1 . , 1 , 0, . , 1 -

20

1.

, , . . , , 1. , 2, 2. 2, 2 . 1, , 1. , , , , . , , . 1.1. , , . 1 , ,

3

3 3 1 , 1 0, 1 1 , 0, 0

2

2 2

1

1 1

. 1.1.

. - , , . , . , , . , , , . C++ COBOL , , -

21

. : . , . . , 0, . , 1, 2,..., , , . , , . , , . : . , , , , . , , , . , ( ), . , .

. (. 1.2). 0 . , 1. , 0. . 1.2, , , . . , . , , . , , . , , . (, 0 1). , . . 1 , 0 1. , , , 16,32 64, . . . 3.

22

1.

5

()

4

()

3

()

2

()

1

. 1.2. . .

. 8 32 , , (- ). . , . . , - , , . , . . , . , , . , , 2. , . , A D D , , , , . , , 2.

23

. , , Western Wombat Model 100X . . . , , - . , , . . (, , ). : , , . , . , , , . - . , , , . , , . . . . , . , . , . , . , 4, , . 2 3 , 4, 5 , , . 1,2,3 4,5 . 1,2 3 . , , , , . , , . . . 1, 2 3, . , , .

24

1.

, . . . BASIC, , C++, Java, LISP Prolog. , , 3 4. , , . , . , Java . , . , , . : , . . , . , . , . , , , . (, ) . , , , . .

, , . , ( 1), ( 0), . - . , , , , . , . , , ( , , ) , . , , - , , , , . . , , , ,

25

, . . : . , , ( , ). ; . , : , , . , , , , . , , X , a Y . .

1940- 2 : , , , . . 1951 , - , , [158]. (), . , . , , , . 50- . 60- . 70- , , , .

, , . . , , - , , 3 5 ( ). , , , , . 80 ;

26

1

. , . FORTRAN, : 1. , , FORTRAN, . 2. , FORTRAN, . . 3. , . , . . 4. . , , . , . 5. . 6. . . . , , FORTRAN, . , ,

. . , , , . , , . 60- , . . , . 1.3 FMS (FORTRAN Monitor System) IBM-709.

27

'JOB, 5494, BARBARA "XEQ FORTRAN

FORTRAN

DATA

END . 1.3. FMS

*JOB ( , ). *FORTRAN, FORTRAN . , FORTRAN. , *DATA . , ( ), . . *FORTRAN , *DATA . , . . , , . , ( -) . . . .

28

1.

. , . . 60- () , . . , . , (, ). ( ) . , , , .

1970 , , , . , , . , , , . , INC (INCrement), . ADD, , . INC , ADD, . . : 1. . 2. . 3. . 4. . 5. . , , , . : 1. ( ). 2. ().

29

3. , , 4. , ( ). .

60--70- . , . , . , , . , , . . . , ( , , ). , , , , . , , . .

. , . , , . , . , , . 1.1.

(1642-1945) , , (1623-1662), . 1642 , 19 , , . : . .

30

1.

(1646-1716) , . , . 150 (1792-1871), , . , , , , . . : , - -. , , . , 17000 , , . 4 : (), , ( ), ( ). 1000 50 , . , , , . , . , . . 2 , , (, ) . , , . , . . , . , , . . Ada. , . , , XIX . , . , .

1.1. 1834 1936 1943 1944 1946 1949 1951 1952 1960 1961 1962 1963 1964 1964 1965 1970 1974 1974 1978 1981 1985 1987 1990 Z1 COLOSSUS Mark! ENIAC I EDSAC Whirlwind I IAS PDP-1 1401 7094 B5000 360 6600 PDP-8 PDP-11 8080 CRAY-1 VAX IBM PC MIPS SPARC RS6000

31

/ DEC IBM IBM Burroughs IBM CDC DEC DEC Intel Cray DEC IBM MIPS Sun IBM , - ( 50 ) , - ( 50 000 ) - 70- . 8- - 32- RISC RISC SPARC

30- XX . , . , 1944 , . .

32

1.

. . , , . () . , . - : , . , , . 1940 . , . . , , . . , , . Mark I 1944 . 72 23 6 . - . , Mark II, . .

(1945-1955) . . , . , ENIGMA, - . ENIGMA , , , . , , , . COLOSSUS. . COLOSSUS 1943 , 30 , COLOSSUS . , .

33

. , . (, , ). , . , , , . . 1943 , , . , , ENIAC (Electronic Numerical Integrator and Computer ). 18 000 1500 . ENIAC 30 140 . 20 , 10- . ( , - , - , .) ENIAC 6000 . 1946 , . , , -. . . EDS (1949 ). . JOHNIAC Rand, ILLIAC , MANIAC - WEIZAC . EDVAC (Electronic Discrete Variable Computer ). , , , ( ). Unisys Corporation. . , , , . EDVAC, ENIAC, , , EDVAC, IAS1. , . , ; , - , .. Immediate Address Storadge . . .

34

1.

, . , . , . , , . , , ENIAC, 10 (1 9 ), . , , - . EDS , , , , . IAS , . . 1.4.

. 1.4. -

J--

: , - , , -. 4096 , 40 , 0 1. 2 20 , 40 . 8 , 12 4096 . - 40 , . . , , . , IAS, Whirlwind I. IAS, ENI , Whirlwind I 16 .

35

( ), -. IBM , . IBM , 1953 IBM-701, , UNIVAC . IBM-701 2048 36 , . , . IBM-704, 4 , 36 . 1958 IBM , IBM-709, IBM-704.

(1955-1965) Bell Laboratories , , 1956 . , 50- . . 16 , Whirlwind I. -0 (Transistorized experimental computer 0 0) -2. -2 , , , 1957 DEC (Digital Equipment Corporation ), , -0. , PDP-1, , , DEC, . DEC . PDP-1 1961 . 4 18 5 . , IBM-7090, IBM-709. PDP-1 . PDP-1 $120000, a IBM-7090 . DEC PDP-1, . PDP-1 , , . PDP-1 512 512 , . PDP-1, . DEC PDP-8, 12- . PDP-8 , PDP-1 ($16000). (Omnibus) (. 1.5).

36

1.

. PDP-8 I AS. . DEC 50 000 PDP-8 -. -

Omnibus . 1.5. PDP-8

, IBM IBM-709 IBM-7090, IBM-7094. 2 , 32 16 . IBM-7090 IBM-7094 ENIAC, 60- . IBM IBM-1401 . , IBM-7094, . , . IBM-1401 . 4 8 (4 ). 6 , . M O V E , , . , 1. 1964 CDC (Control Data Corporation) 6600, , IBM-7094. , CDC . , ( ) . , , . , , , , 10 . 6600 . , , , ( , ) . 6600 . 6600 , . , . CDC-6600, CDC-7600 -1.

37

: , , , , : . ( ) ( ). Burroughs B5000. PDP-1, -7094 CDC-6600 , (DEC) (IBM CDC). . 5000 . Algol 60 ( Pascal), , . , . .

(1965-1980) 1958 ( ) . , , . . 1964 IBM , : IBM-7094 IBM-1401, , . , 36 , . , , . , IBM . , System/360, , . System/360 . (). , . IBM-1401 IBM-360 ( 30), a IBM-7094 - IBM-360 ( 75). 75 , , , , . , , . . . , -

38

1.

. . 1.2 IBM-360.0 . 1.2. IBM-360 , , , 1

30 40 50 60 1 1000 64 13

3,5 625 256 23

10 500 256 44

21 250 512 166

IBM-360 . , , -, . IBM-360 , . IBM-1401, IBM-7094, IBM-360. IBM-360 , IBM-1401, , IBM-1401, . IBM-360 , . : IBM-360, IBM-1401 IBM-7094. . IMB-360 : 16 32 , , IBM-1401. , IBM-1401. IBM-360 224 (16 ). . IBM-360 IBM-370, IBM-4300, IBM-3080, IBM-3090. . 80- 16 , IBM , 32 , 2 . , 32 , 32 . 16 . IBM , 32 . , 4 , 32 . - PDP-11, PDP-8co

39

16 . PDP-11 IBM-360, a PDP-1 - IBM-7094. IBM-360, PDP-11 , , , . PDP-1 , , DEC .

(1980-?) () 80- , , , . . PDP-1 , ( ). 80- , , . . . , , . . , , Intel 8080, , 8- . . . . /, Intel 8080. , , . , Apple ( Apple II), . , , Apple IBM. , , IBM, , . , , IBM , , , - , -, , . ( ), Intel 8088 . (IBM PC) 1981 .

40

1.

IBM , . ( ), , , , $49. , IBM PC, . IBM, IBM PC , , PC , IBM. . ( Commodore, Apple, Amiga, Atari) , Intel, IBM PC , . , , , . IBM PC MS-DOS, Microsoft. IBM Microsoft MS-DOS OS/2, , Apple Macintosh. Microsoft Windows, MS-DOS, , OS/2 . OS/2 , a Microsoft Windows, IBM Microsoft. , Intel Microsoft, , Intel, IBM, , , . 80- CISC1 RISC2. RISC . 90- , , , . RISC, CISC 2 .

. . , , .1

Complex instruction set computer . . . Reduced instruction set computer . . .

2

41

. . ( ), . , , Intel , 1965 . , , . 4 , , , , , , . , 18 , 60% . , . 1.6, , .100000000 10000000 1000000 . | 100000 10000 4 16 64 4

16

^

256

2

-

1

3

(

10 1 1965II 1 I

1980

1985

1990

1995

1970

1975

. 1.6. , 60% .

, , , - , , . , XXI , , 2020 . , , , , 1 . , . ( ) . ( , $10 ). .

42

1

, , , . . , , Microsoft : . , 80- troff ( troff ). Troff . . , , . , , , -. . , . , IBM PC/XT, 1982 , 10 , , . , , , (, , . ), , , , 50% . . , 300 /, , 56 /, ISDN, 2x64 /, - , 1 /. - (, -12/13) $700 , 10 300 000 , 10- 1 , , 1 / (1012 /) 100 , .

, Bell Laboratories, , . , , 1000 / , , 100 / 100 , 10, 1 000 000 .

43

: , , . , . . 1.3. 1.3. . ($) 1 10 100 1000 10 000 100 000 1 000 000 10 000 000 , , -

, Happy Birthday, - . , , , . , , , . , , ( , ?). , , , , CD-, , . . , . , 1 -, , . . , , . , web-. , , - ( , ) . . . . : . , , CD-ROM, ,

44

1.

. , , . Intel , , . ( ), . , . . . , (NOW Networks of Workstations) (COW Clusters of Workstations). , , 1 /, , . . . , -. , 60- . IBM-360. , , - 1 (1 =1012). , , . , , , . 2000 . - , 60- 70- , COBOL, . , . , . , 31 9999 , COBOL-, 8000 1. . , , . , , . , 31 1999 . . .

45

, , , , , .

, : Pentium II, UltraSPARC II picojava II.

Pentium II 1968 , , , , , -, Intel . $3000, . 60- 20 . 1969 Busicom Intel 12 . Intel , , , 4- , . 1970 , 4004 2300 . , Intel, Busicom , . Intel , 4004 , Busicom $60000, , Busicom Intel . Busicom Intel, Intel 8- 8008, 1972 . Intel 8008, . , , Intel , 16 ( 8008), , . 8080, 1974 . PDP-8, : DEC PDP-8, a Intel 8080. 1978 8086 16- . 8086 8080, . 8088 , 8086.

46

1.

, 8086, 16- 8-, - , , 80861. IBM 8088 IBM PC, . 8088, 8086 1 . 80- , Intel 80286, 8086. , 8086 8088, -, - - . 80286 IBM PC/AT PS/2. , 8088, ( , 8088). 32- 80386, 1985 . 80286, . , , , , . 80486. , 80386, 8 -. , () . - , . 80486 , . Intel, , , (, 80486) , Pentium ( ). 80486, , Pentium , ( 2). , , Sexium (sex - ), . Pentium , , Pentium Pro. , . , . Pentium Pro -. 8 8 . Pentium Pro ( ) - 256 . . , 8088, , 8086. 8- , 8088 . . . .

47

Pentium Pro Pentium II, , , - ( multimedia extensions). , . . Pentium, Pentium Pro. , Pentium II Pentium Pro -. 1998 Intel Celeron. Celeron , Pentium II, . Celeron , Pentium II, . 1998 Intel Pentium II . - , , , Pentium II, . Intel . 1.4. 1.4. Intel. (1 = 1 /) 4004 4/1971 , 0,108 2 300 640 8- 16- IBM PC 32- 8 - , Pentium Pro +

8008 8080

4/1972 4/1974

0,08 2

3 500 6 000

16 64

8086

6/1978

5-10

29 000

1

8088 80286 80386 80486 Pentium

6/1979 2/1982 10/1985 4/1989 3/1993

5-8 8-12 16-33 25-100 60-223

29 000 134 000 275 000 1 200 000 3 100 000

1 1 4 4 4

Pentium Pro Pentium II1

3/1995 5/1997

150-200 233-400

5 500 000 7 500 000

1

64

Pentium Pro Pentium II 36 , 64 . . , .

48

1.

Intel 8086. , Pentium II , 80861. , . , Pentium II , 8086, , 8086. , Pentium II , , Pentium II 7,5 , . , , . ( . 1.4), , . . 1.7.Pentium 1 80286 100 8080. 8008^ ^ '

Pentium

^

80486

Pentium Pro

8086 8088

80386

1 -100 -

10 h1 ! 1 1 t I i i 1 , 1 > I i I i i i i . i 1 1970 1972 1974 1976 1978 1980 1982 1984 1986 1988 1990 1992 1994 1996 1998

i

I

i

I

i

I

. 1.7.

UltraSPARC II 70- UNIX, , UNIX - , PDP-11 VAX. , , , , , 8086, () . . . .

49

, UNIX. 1981 , UNIX , , SUN-1 (Stanford University Network ). , 27- , . Sun. -, , . , UNIX. 1982 Sun Microsystems. , Sun-1, Motorola 68020 , Sun-2 Sun-, Motorola. , ( ), . Sun Ethernet TCP/IP ARPANET, . 1987 Sun, , , (RISC II). SPARC (Scalable Processor ARCitecture ). Sun-4. Sun . , Sun SPARC. , , . , , . MicroSPARC, HyperSPARK, SuperSPARK TurboSPARK. , , . Sun , SPARK . , Intel, . , SPARC, , Intel, Sun SPARC International SPARC. SPARC, , . SPARC, , SPARC ( ).

50

1.

SPARC 32- 36 . (Integer Unit ) . 55 . 14 . , Intel 8- 16- ( 8088, 8086, 80286), 32- ( 80386), a Sun, Intel, 32-. SPARC 1995 , 64- ( 9) 64 . UltraSPARC I, 1995 . 32- SPARC, 64-. , UltraSPARC , , . , 64- , 23 , 64- , , , . VIS (Visual Instruction Set) . . UltraSPARC web- 2 (, 1 = 1012 ). UltraSPARC . UltraSPARC I UltraSPARC II UltraSPARC III. , - . SPARC, 64- UltraSPARC II ( 9).

PicoJava II Bell Laboratories . UNIX. - UNIX . , Bell Laboratories, - , C++, . 90- Sun Microsystems , , web-. C++, , , , . C++ Java, . Java - , . , .

51

Java , , Pentium, SPARC . . , . Java SPARC, Pentium, . , Sun JVM ( Java

Virtual Machine Java).

32- , 226 . , . Sun , Java JVM, JVM . , , . , Java, JVM (, Pentium II Windows 98 SPARC UNIX) . , JVM, ( Java, World Wide Web). . JVM ( ) . JVM , . JVM , . JIT- (Just In Time ), . JVM- , JVM- . JVM (JVM- JIT-) Sun JVM , JVM - . Picojava I picojava II . ( $50), , , , , . , Sun, picojava, , , - . . Java , . , , Java. -

52

1.

, . , . JIT-, JVM. Picojava II ( ), , , Sun Microjava 701 . , Sun. picojava II , Pentium II UltraSPARC II . Picojava II , 4 , JVM . JVM JVM. Picojava II : - , . picojava II , , . Sun Microjava 701, picojava II. , , picojava II , , . Pentium II, UltraSPARC II picojava II , . CISC , RISC . . , .

, (, ). , , . , , ( ), , , , . . . , , .

53

, , , , Pentium II, UltraSPARC II picojava II. . -, , . -, , . , , , , , . , , . , , Pentium II, UltraSPARC II picojava II. , . , , . 2 : , , -. . 3, 4, 5 6 , . 1.2. , . -1, , , , . , . 3 , . , . , . , , , PCI. , , . 4 . , . . 5 , . 3 , . 6 , , . Windows NT, Pentium , UNIX, UltraSPARC .

54

1.

7 . , . . 8 , . , , . , 9 , , .

1. : 1. . 2 . 3. . 2. ? 3. , ? . 4. , ? , . 5. , . , , . . , ? 6. , . m , , m -1. , , , , +1 ? 7. . , . , , . 8. ? ?

55

9. . , (: ). 10. 75- IBM-360 50 , 30, 5 . , . 11. 1.4 1.5 . , - . ? 12. . ?

, -. , . . , - , , .

2

. 2.1 . . , . , , . , , , . ( -) . . . - (, ) (, ). . , . . , . , . , , . , , 1. , , . . , .

57

. , , - .

-

. 2 . 1 . -

- . 2.2. ( 1 32), (- ) . , . 2.2 . , . , . , . . , . . 2.2 . , . : -. , , . ( , 1 .) . . . . , , 16- 8086 8088 16-, 32- 32 . . ,

58

2.

+

^~*

+

-

. 2.2. -

, , - . . - , . , .

: 1) ; 2) , 1; 3) ; 4) , , ; 5) , , 2;1

, . . , . , , - . . . .

2

59

6) ; 7) 1, . () . . 2.1 - Java. : , , , . , , (instr), (instr_type), (datajloc) (data). . , , . 2 . 1 . ( Java)public class Interp{ s t a t i c i n t PC. //PC s t a t i c i n t AC; // , static int instr. // s t a t i c i n t instr_type. // ( ) s t a t i c mt data_loc. // - 1 , s t a t i c i n t data. // s t a t i c boolean run_bit = t r u e ; //. , public s t a t i c void interpretCint memory[], i n t starting_address{ // . () , ADD . . HALT, run_bit false. , , run b i t PC=starting_address. while (run_bit) { instr-memory[PC], // i n s t r -+1. // m s t r _ t y p e = g e t j n s t retype ( i n s t r ) . // data_loc=find_data(instr, m s t r j t y p e ) . // ( - 1 , ) 1f(datajoc>=0) // data_lock=-l. //,

data=memory[data_loc]. execute(mstr_type.data),

// //

}private s t a t i c i n t get_instr_type(mt addr) {.} private s t a t i c i n t find_dataCint i n s t r . i n t type) {.} private s t a t i c void executednt type, i n t data) {..} }

, , , , . ,

60

2.

, . . 1. -, , . () , , , , . , . , , . . , , , . , . , (). , . , , . , , . , . . , , , . , . - , . . , , . , , , . 50- IBM, , , , , , . , IBM . , , . , , ?

61

. , 1951 , , , , . IBM System/360, , . . . : 1) ; 2) , ; 3) , , . 70- , . . . , , . , 70- , . 70- , (, -1 Control Data Cyber). , , . VAX ( Digital Equipment Corporation), 200 . , VAX , . , . VAX, ( DEC). Compaq DEC 1998 . 8- , 70- . . , , . ,

62

2.

. Motorola 68000 Zilog Z8000, , , . Motorola 68000 , , Z80 ( Zilog Z8000) , Motorola 6800 ( Motorola 68000). , , , Motorola , a Exxon ( Zilog) . ( ) . , Motorola 68000 10 , , 100 , 2 500 . , , 2000 , , . , 6000 . , .

RISC CISC 70- , . , , , . - , , , . . ( ). IBM ; , - 801. IBM , , , . 1980 VLSI . RISC RISC I, RISC II. , 1981 , , MIPS. SPARC MIPS . . , -

I [ 63 , . , , , , . , , , . , ( 50). : DEC VAX IBM 200 300. RISC Reduced Instruction Set Computer . RISC CISC (Complex Instruction Set Computer ). CISC VAX, . , RISC CISC , . RISC VAX, Intel IBM. , , (. . 2.2), , - (, ) . , RISC 4 5 , CISC, RISC 10 ( ), . , , , RISC. RISC, , , Alpha DEC, CISC (Pentium . .) . . : ? -, RISC , Intel. -, , Intel CISC. Intel, 486-, RISC, ( ) , CISC . , . , RISC, , .

i

2.

, RISC, , . (, 10 , ), . , . , RISC, . - , , , , . . . . . . CISC , . , . . , . 500-MIPS 500 , , (MIPS Millions of Instructions Per Second .) , , , . , ( ) , , , . , 1 , 2 , , 2 , . , , .

65

. . , , . , . , . , . . , . . , , , , . , ( L O A D STORE). . , ( 32). , , . . .

, , . , , . ( ). : . . . . , .

, . ,

66

2.

, . , . , , , , . IBM Stretch, 1959 . : . . , , , . . 2.3, 5 , . 1 , , . 2 , , . , . 4 , (. . 2.2). , 5 .1 2 4 5

1 2 4 5 3 4

. 2.3. 5 (); (). 9

. 2.3, , . 1 1 1, . 2 2 1, 1 2. 3 1, 2 2, 1 . 4 4 1, 2, 2 3, 1 4. , 5 1 , .

67

, . . , , 5 ( ). 10 ( ) . , . , . , . , . : ( ) . , . 2.3. , 2 . , , 10 . , 100 , . (2 ) , 100 , 500 . ( ) ( ). , , , 1000/ .

, . . 2.4. , . 2.3. . . , (, ), . , , (, , ), . ( , ) RISC. 386- . 1 Intel 486- .486- , 386- . 5- 486. . .

68

2.

, a Pentium . . 2.4, ( 1 2) . (u-) . (v-) , (FXCH). 1 2

4

5

. 2.4.

, , . , , , ( -). . . , Pentium , , , . , , , Pentium , 486-, . , . , (, , , ). . , . 2.5. Pentium II, , ( 4). 1987 . 30 CDC 6600. CDC 6600 100 10 . , . , 3 , 4 . 3 10 , 10 , , .

69

, ( ). . 2 5, .4

1 2 / /

W

5

1

. 2.5.

, , . , , , 3D . , , - - 20 /, , Intel. , , 1, . - , 5-10 . 50, 100 , . . , , , , , , .

70

2.

, . . . , . , , . - (array processor) , . ILLIAC IV ( ). . 2.6. , , 8x8 /. . , , ( ). - , 50 . 1 , .

1 CZJ CD I I

8x8 /

. 2.6. - ILLIAC IV

(vector processor) - (array processor). - , . , (array processor), ,

71

. Cray Research, , , Cray-1 (1974) . Cray Research SGI. . , , , . - (array processor) , , (vector processor) , . . , . , , . - (array processor) , , , . - (array processor) , (vector computer), , . (vector processor), , . , , , .

- , . , , . , , - . . , . . 2.7, . . , , , . , . . 2.7, . , . , . , , , . (, -).

72

2.

. 2.7. {); , ()

, . , , . , - . , , , .

(< 64) , . , . , , , , . . ( , ). ,

73

2D, 3D, . , . . , 10 000 . , , , . , . 8.

, . . , , .

, . 0 1. . (, , . .) , , . ( ), - , . , , . , , . , . , , IBM, , . - . 4 . 4 16 10 ( 0 9). 6 . 1944 - ; 16 : : 0001 10010100 0100 : 0000011110011000 16 - 0 9999, 10000 , 16 65536 . , .

74

2.

, , - , 0 9, 0 10 10 . 0 9999, 10 000 . , 16 . , .

, . , , . , 0 -1. . , 2 . . 2.8 3 96- . , . 0 1 2 3 4 5 6 7 8 9 10 11 -8 . 2.6. 96- 0 1 2 3 4 5 6 7 12 1 0L 1I |

2I4 5|_

| | | | | -16 -

II

, ( ), . m , 2|. , , . 2.8, ,

75

4 , 0 11. , . 2.8, 6 2.8, , 3- . . 12- 212 8 , 212 64 . . 2.1 . 2 . 1 . Burroughs B1700 IBM PC DECPDP-8 IBM 1130 DECPDP-15 XDS 940 Electrologica X8 XDS Sigma 9 Honeywell 6180 CDC 3600 CDC Syber

1 8 12 16 18 24 27 32 36 48

60

, , 8- , , . 32- 4 , 64- 8 . , , , (, ). , 32- 32- 32- , 64- 64- , , 64- .

. , , , . . 2.9, 32- , ( SPARC IBM). 2.9,6 32- ( Intel). , 32- (, 6) 110 , 29

76

2.

. , 110 3 ( 7, 11 . .). , 110 0 ( 4, 8 . .). , , 0. 4

0 48 12

1 5 9 13

2 6 10 14

3 7 1 1

3 7 1 1 15

2 6 10 14

1 5 9 13

0

0 4 8 12

48 12

12

15

32-

32- 6

. 2.9. (), ()

, . , . , , , ( ) ( ). 0, . . 2.10, , . 2.10, Jim Smith, 21 , 260 (1x256+4=260).

J I 4 S I 0 0 00 12 16 0 0 0 0 0 21 1 4

0 0 0 0 0 0

I J I S0

0 4 8 12 16

I J I S0 4 0 1 0 0 0

J S

I

I0

0 0 0

0

4

0 0

0 0

0 21 1 4

21 0

8 0 21 12 1 4 16

. 2.10. (); {), (); ()

. , . , -

77

, 0 19. , . , 0 0 . ., . 2.10, . , , , 24 212 , . , , , , . , , . . 2.10, . , , MIJTIMS, . , 0 (), 1 () . . . , . ( , , , . . - .) , .

- . , . . , . , , , . , m , ( ). ( =+). n- , m , . , 10001001 10110001, , . . , 1 . , , . d, , d , . , 11110001 00110000 3, 3 . m- , , 2 . , - -

78

2.

2 2" . , , . , . . . d , d+1, d , d , 2d+l, , d , , , . , . , 1 ( ). 2, . , . . , , . , . : 0000000000,0000011111, 1111111111 5 , . 0000000111, , 0000011111 ( ). , , , 0000000000 0000000111, . , m , . 2 , . n- . , 2 +1 , ( ). 2, (+1)2, SHIFT, , , . SHIFT, C T R LA L T ( CTRL-ALT-DEL, IBM PC ).

- , - . - , , . 2.26, . ( : , .) () 50 , . , . , , , , . , , . , , . ( 400 1000) , . 30 60 1. . 2.26, 6. 1

- ( , ) 150 . , , , . . . .

-

113

- , , ( ). \

. 2.26. - (); - ()

- . , , , . , , . , , . , .

- , . . , , . , , , , . (Rheinitzer) 1888 ( , . .) 1960 . , . , , . , ,

114

2.

, . . , . . . , , , . , . , . . 2.27, . , . , , . 2.27, . . () , 90. jS * *"

. 2.27. (); , ()

. . . . , -

-

115

, , , . , , . . , , . . . , 640x480 640 , 480 . , , , , . . ., , - . 60 , ( , - ). . , , , . . , . , . , , , . , , , . .

: , RS-232-C. , , , , . . : . . 2.28 , ( ). , , .

116

2.

ABC

\

\ \\ 222 1 ;

. 2.28.

, . , , . , , , . , 25x80 4000 (2000 2000 ). . - , . , . , , . , , , .

25x80, , . . . 640x480 , 800x600 . 1280x960 . , , , . , . . 2.28. - . , . , , , 9x14 . . . 8, 16 24 .

-

117

, . , . , , . , . -, -. 640x480 (VGA), 800x600 (SVGA), 1024x768 (XVGA) 1280x960. , 4:3, . , 8 , 3 . , 1024x768 2,3 -. - . 8- . , 256 , 24 . , . , , - 2/3, 256 . , . , , . . , , , , . - , - . 1024x768, 2,3 - . 25 , 57,6 /. (E)ISA , PCI, . , , . , . , , , .

RS-232-C , ( ). () () , RS-232-C. RS-232-C 25 . RS-232-C , .

118

2.

, . , , RS-232-C, , , (-). . . 2.29 , . , , , , RS-232-C, , , . - RS-232-C () ABC

/ S i (1) * ~ (2) - * (3) -** (4) - * (5) - * (6) (7) - * (8) * - (20) . 2.29. RS-232-C .

, , UART (Universal Asynchronous Receiver Transmitter ), . , UART, RS-232-C . UART (1 ), , . UART , . 110 / 2 .

-

119

UART , . , , , UART . RS-232-C 25 , ( , , ). 2 3 . ( , ). , ( 1), , . , . , . , , . , .

, , . ENIAC , . 50- . , ( ), . , . , . . , . , , . , , . , . ( ), , . : , . , . , , , . (). , , , , -

120

2.

. , , . . 2.30. \ /

. 2.30.

. , . , . , , . , , , . . . , , , , . . , . , , . . -, : 3 , (, 0,01 ). . , , . . . .

-

121

, , . , . , , , , .

, World Wide Web, . ( -) .

, . 7 24 , . 7 , , 80 5x7. 7 , 5x80=400 . , . . 2.31, *>, 5x7. : . . 2.31, , 24 , . , , . , .

. 2 . 3 1 . 5x7 (); , 24 . ()

122

2.

( ) , , , . , , . -, ( 30 ). -, (, , , ). -, , . . . , . , , . , , . , /. 300 dpi (dots per inch ) 720 dpi, 1440 dpi. , , , , . , , XV , . , , . , . , , . . 2.32. ( ). 1000 . ( ) - , . , . , , . , . ( ). , , . , . , . , . .

-

123

. 2.32.

, , , . , . . , , , . , , ( , ). PCL PostScript. 300 dpi - , , . , 600 dpi, (600 dpi). 600x600 /, 0 ( ) 255 ( ). 600 dpi, ( ), ( ). . ( ). , 6x6 . 0 36 .

124

2.

, . 0 255 . 37 . 0 6 0, 7 13 1 . . ( 36 , , 256 37 ). 0, , . 2.33, . 1 . 2 , . 2.33, . . 2 33, 600 dpi, 100 /. lpi (lines per inch )

. 2.33. 0-6 (); 14-20 (), 28-34 (), 56-62(), 105-111 (); 161-167 ()

: . , , - . ' , . . , : ( ), ( ) ( ). , . , . : , , . CYMK ( Cyan (), Y Yellow () Magenta () ()). black , Blue (). , , , . , , , .

-

125

256 , 16 777 216 . , . , , . , , , . : 1. ; . 2. - 256 ; . 3. ; . 4. RGB (Red, Green, Blue , , ) CYMK . ( ), , . , CYMK. . , , ( , , ). , (, , ). . . , . . , , . , . , . , , . , , . . , . . 4 , . 10 (, , ). , .

126

2.

. , , (, , ) . , 1200x1200 dpi 80 115 . 4 , 55 , , , . . , . . . , . , . CYMK. - , . . 1, . , , ( ). , , CYMK , . . 256 . , . , , . . .

. , , , . , 0 0 , 1 3 5 , . 2.34, . ; 3. . . .

-

127

, , . 1000 2000 , , , . , . , , , . 2.34. . ( 2.34, 6) , 0 1 . , 1 , 0 .1 01

2 1

0

1

0 1 1

0

0

0I

1

I

. 2.34. 01001011000100 (), (); (); ()

(. 2.34, ) , 1 0. : 0, 1. . (. 2.34, ) , 180 , 0 1 1 0. 45,135, 225 315 , 2 . . ,

128

2.

45 00, 135 01 . ( ) 1 . , . 8- , 8 , 8 . , , , ( , ). , ( ) , , . 8- , , 10 . , 9600 , 104 , , . , , 28 800 / 57 600 /, . 1 , , . , , . , ( , , , ), . , , .

ISDN 80- , ISDN (Integrated Services Digital Network ). , , , World Wide Web, . - ISDN ( ). . ISDN, . ( , .)

-

129

, 64 000 /, 16 000 /. , 144 000 /. 30- ISDN. ISDN , , ( 1 ), , , , . , ISDN , . ISDN . 2.35. , . . NT1 - U- . NT1, . ISDN

ISDN ISDN ISDN - ISDN

. 2.35. ISDN

, . 26 26 1, 0 9, : , , , , . . , : , =1, =2,..., z=26, +=27, -=28. . , , . . . . . .

130

2

ASCII ASCII (American Standard Code for Information Interchange ) ASCII- 7 , , 128 ( 2 5) 0 1F ( ) , ASCII , SOH (Start of Header), , STX (Start of Text), , (End of Text) , , EOT (End of Transmission) , , -, ASCII ASCII , , . 2.5. ASCII 0 1 NUL SOH Null ( ) Start of Heading { ) Start of Text ( ) End of Text ( ) End of Transmission ( ) ENQunty () ACKnoligement ( ) Bell ( ) Backspace ( ) Horizontal Tab ( ) Line Feed ( ) Vertical Tab ( ) 10 11 DLE DC1 Data Link Escape ( ) Device Control 1 ( ) Device Control 2 ( ) Device Control 3 ( ) Device Control 4 ( ) Negative AcKnolidgement ( ) SYNcronous idle ()

2 3 4

STX EOT

12 13 14

DC2 DC3 DC4

5

ENQ

15

NAK

6

16

SYN

7 8 9

BEL BS

17 18 19

CAN

End of Transmission Block ( ) CANcel () End of Medium ( ) SUBstitute ( ) ESCape ()

LF VT

1 1

SUB ESC

-

131

FF

From Feed ( ) Carnage Return ( ) Shift Out { ) Shift In ( )

1

FS

File Separator ( ) Group Separator ( ) Record Separator ( )

D

CR SO

1D 1

GS RS

SI

1F

US

Unit Separator ( )

11.

20 21 22 23 24 25 26 27 28 29 2 2 2 2D 2 2F

0 1 2 3 4 5 6 7 8 9 ; ; < = >9

() 30 I 31 #

40 41 42 43 44 45 46 47 48 49 4 4 4 4D 4 4F

@ D F G I J L N

50 51 52 53 54 55 56 57 58 59 5 5 5 5D 5 5F

Q R S

60 61 62 63 64 65 66 67 68 69 6 6 6 6D 6 6F

70 71 72 73 74 75 76 77 78 79 7A 7B 7 7D 7 7F

q S

d f g hi

32 33 34 35 36 37 38 39 3D 3F

% & ( )

t V WX

V W X Y Z [ \ ] _

Z

+ /

J k I m n

{

I

} ~ DEL

UNICODE , ASCII. , . (, systeme), (, far) . . , ASCII, , 3 0. (, ), (, ). , , , - .

132

2.

ASCII IS 646, ASCII 128 , 8- Latin-1. . IS 8859, . 256 . IS 8859-1 - Latin-1. IS 8859-2 (, , ). IS 8859-3 , , . . , , , . . , UNICODE, (IS 10646). UNICODE (, Java), (, Windows NT) . , . UNICODE 16- , . escape- . 16 , . UNICODE 16 , 65 536 . 200 000 , , . , , UNICODE, . UNICODE, Latin-1 0 255, ASCII UNICODE. . . , 16 . UNICODE . ( ): (336), (144), (256), (96), (112), (128), (128), (128), (128)(128). , , . , . , : . , , : , . , (112), (112), (48), (48), (256), (96) (192).

133

, . 1024 (, ), , (20 992), (11 156). , 6400 . UNICODE , , . , , , cat? dog , , , , . , . 50 , , , , , . . . , 20 000 ( ). , , , . - . UNICODE . UNICODE , , - ( blue blew, ). , - ( , 16- ?). , 50 000 ( ), 20 992 - . , , , , , .

: , -. , , . . , -, . -

134

2.

, . . , , , , , , . . , . ( ) , - . . , , ( ) . , . : , , IDE-, SCSI- RAID-. -, CD-R DVD. - , , . - ASCII, UNICODE .

1. , . 2.2. , 5 , 10 , 5 ? 2. 2 , ? , ? 3. 1 10 , 2 - 5 . , 2 ? . 4. , . , . , , , ( ).

135

5 - , . 2.7, ? , ? 6. : ? , . 7. , . . , . , . , . (. ) ? 8. . ? (, .) 9. , . 106 (). , 64 . 100 . 10. . : , , G . 109 100 000 . ( )? ( )? 11. ? ? , . 1) 2) 3) 4) 10- , 1024 , 8 ; 10- , 1024 , 12 ; 9- , 1024 , 10 ; 11- , 1024 , 10 ;

5) 10- , 10 , 1024 ; 6) 1024- , 10 , 10 . 12. 3 ?: , , . , . , ( ?) 8 , 0, 1 2.

136

2.

6- ? , . 13. 268 435 456 . - , 250 000 000? 14. 0 9. 15. 0 9 2. 16. , . , ( + ) 2"-1? 3 10. 17. ( ). , , . ASCII no , 100 . , . : . 18. 800 , 5 32 ? 0, 0, 1, 0 . . 20 , 10 , 50 . . 19. , . 2.16, 64 7200 . ? 20. 25 . 1 32- . Ultra-SCSI, 40 /. 32- 25 . ? 21. , , . 0 - . , . . , ? . 22. LBA 24 . , ?

137

23. RAID , i . RAID- ? , . 24. ( ) - , 74 ? 25. CD-R, . ( ) , - 4? 26. 133 DVD , . , . , 3,5 , 720x480 24- 30 . 27. , -. ? ? 28. 1024x768. 75 . ? 29. , 224 . 1 . ? 30. 50 80 . 2x2 , 25% , . 25 . 25x8x2 . ? 31. ASCII- 2880 / , 28 800 /, ? 32. , , , 16 2. , 16 . ? 33. , ( ) . ,

138

2.

ASCII ? - 10 000 ? , DVD- ? 34. ASCII: 1001001 0100000 1001100 1001111 1010110 1000101 0100000 1011001 1001 1010101 0101110. 35. hamming (ascii, encoded), 7 ascii 11 - encoded. 36. distance (code, n, k), code k .

. 1.2 , . , . , , . , , . , ( ), . , , . , . , . .

3

. , , , , .

, . 0 1 (, 0), 2 5 (, 1). . , -

140

3.

, . , . , , 0. , . , . . 3.1, , . ; , . , , . VoUt, Vcc (, ), +5 . V,n , , Vout ( ).

. 3 . 1 . (); - (); - ()

, Vin , Vout , . , , , 0 1 1 0. ( ) , , . . . 3.1, 6 . V,, V2 , Vout. , . , Vout , V], .

141

. 3.1, . , , , - -. . . , (Vcc) 1, () 0, . , , . 3.2, . . , X . . - -

0 1

X 1 0

0 0 1 1

0 1 0 1

X 1 1 1 0

0 0 1 1

0 1 0 1

X 1 0 0 0

0 0 1 1

0 1 0 1

X 0 0 0 1

0 0 1 1

0 1 0 1

X 0 1 1 1

. 3.2. 5 .

(. . 3.1, ) , , -, , 1 , 1. ; . 3.2, . - . , 1 , 1, 0, 0. , , . 3.2, . , - - . , . 3.2, . , - - , . -

142

3.

- -, . ( -, - - , .) , . -, , , . , , . (--). (- ), , (- ), , . , , , . : - -, n- - . - , , , . , +3,3 . , . , , , 9.

, , , : 0 1. . (1815-1864). , , , . ( , ), . , . f, , f(A)=l, =0, f(A)=-O, =1. (. . 3.2, ). 2" , 2" . . . . 3.2 .

143

, 00, 01, 10, 11, 2"- , , , - 1110, - - 1000, - 0001 - 0111. , 16 , 16 4- . , , , , , . . 3.3, : M-f(A, , ) , 0, 0, 1, 1. , . .A B C A B C

ABC 1

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 0 0 1 0 1 1 1

. 3.3. (), ()

144

3.

, , , , , 1. , . 3.3, , 4 , 1. , , . , . , () ( ) + . , ABC 1, =1, =0 =1. + 1, (=1 =0) (=1 =0). . 3.3, 1 : ABC, ABC, ABC ABC. ( 1), . ,

=+++. . , 2" , . , , . . , , , , . , : F=ABC+ABC. ( ) , , , , , .

, 2" . 3.3 , . 3.3, , , , , . () , 1,2 3. , 6 , 3 , 3 . . , 5, 6 7 . , , - . , ( 1). -

145

. ( ) . . 3.3, , : , , . , 3 6 , . , . 3.3 , : 1. . 2. , . 3. 1. 4. . 5. . , , . . , , , - -. , , , , . 3.4 , , - -. , . - -, , , . . , A+B+C+D (A+B)+(C+D), . , . 3.4. , , . - - , , - -. , .

, , , . . , , , ( , ). .

146

3.

+

. 3.4. (), () () - -

+ (. 3.5, ). , . , + (+) . . 3.5, (+). , . . 3.5 , (+) +. , . 3.5, , . 3.5, , . , , , . . , . . 3.1 . , . , 0 1. , . , , +=(+)(+). , =++.

147

+ 0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

0 0 0 0 0 0 1 1

0 0 0 0 0 1 0 1

+ 0 0 0 0 0 1 1 1

0 0 0 0 1 1 1 I 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

0 0 0 0 1 1 1 1

+0 1 1 1 0 1 1 1

( + ) 0 0 0 0 0 1 1 1

. 3.5. : + (); (+) (). 3 . 1 . 1= =0 = "=0 = ()=() +=(+)(+) (+)= =+ 0+= 1+=1 += +=1 +=+ (+)+=++) (+)=+ += +=

. . 3.6, , . , -. . 3.6, , , , - . (. . 3.6, 3.6, ). (, n- - ).

148

3.

+

+

+

+

. 3.6. : - (); - (); (); ()

, . 3.6, , - -. (. 3.7, ). , , . 3.7, . -, , , , . 3.7, . , . 3.6, , . 3.7, . , - - . , () , , . 0 0 1 1

0 1 0 1

XOR 0 1 1 0

. 3.7. (); (), (), ()

149

, . . 3.8, , F, . , . , , 3,3 5 , , . 3.8, < 5 , . . , , , 3,3 5 , , . 3.8, , .

0v 0 5 5v

F 0v

A0 0 1 1

0 1 0 1

F 0 0 0 1

A1 1 0 0

1 0 1 0

F1 1 1 0

o5 0 5

v

V

V

v

o ov5V

v

V

V

. .8. (); (); (s)

, , . . .

, . , - . , . , .

, , () . 5x5 , 1 . 1

, . , . . , .

150

3.

5 15 20 50 . 5 , . - , , . (Dual Inline Package, DIP), , , . 14, 16, 18, 20, 22, 24, 28,40, 64 68 . , . , . , , , : ( ): 1 10 . ( ): 1 100 . ( ): 100 100 000 . ( ): 100 000 . . , , . . 3.9 , -. , 12 . , (Vcc) (GND). . 1 , , 1. , , .'14 13 12 11 10 9 8 8

J

J> >

1 2 3

>

>

4

5

6

7GND

. 3.9. , 4

151

. 20 . 70- , (-). , , . , . 1 10 . 10 1 . -, , , 5 -. , 15 000 002 . 0,1 , 18 , . , , . , ,

, . . . , , , , , . , (, . 3.3, ), . .

2" , , . . . 3.10 . , 3- , , , , . , , 0, 0, 1 1

. Pentium IV 42 , , .

152

3.

. . . 3.10. , 14 .

A

B

C

. 3.10.

, (. . 3.3, ), . 3.11, . , . Vcc ( 1), ( 0). : D; , i . . 3.3, 0, 1, 2 4 0, ; 1, 1. , . 3.11, . , . -

153

. 8 , 000 i l l ( ), 8 . , 7- 8- , .Vcc

A B C

A B C

. 3 . 1 1 . , (), , ()

, 2" . , .

, - , ( 1) 2" . . =3 . 3.12. , , , 8 , 1 . 0 0 1 , 1 1 2 . . . . 3.12 , (Do,..., D7) 1; 0. . 1, .

154

3

. 3.12. , 3 8

, . 3.12, . , , , , , , . : Do , Di . .

. , . , . 3.13, , , 4 , 1, , , . , 0, , 1, . , 0. . 0, , , , ; . , : 1 , 0 .

, ( ) , , , -

155

. (. 3.14). 12 . () . 24 . , 24x50 . 50 . 1200 . , , .

= 2 2

. 3.13.

, 50 , 50 . , , 50x6. 12 , 6 , ( 20 ). . , . 3.3, . , , . , , 12 , 50 6 . ( ABC, ABC, ABC ABC; 4 .) , . , .

156

3.

, () 1 12x2=24

, J" Z ^ Q

1 5

. 3.14. 12 6 . , , , . ,

, , . , . . . , . 3.3, . , 4 . , , , . 3.11, . , . , , -

157

, . .

, . 8- , , , - , .

, , , 8 8 (. 3.15). D o ,..., D7. , , 1 , So,. ., S7. : 0 , 1 .

. 3.15.

, , ( ) =1, , . , , . =0, , .

, , . , . -

158

3.

. 3.16, . : () . . 3.16,6. . 0 0 1 1 0 1 0 1 0 1 1 0 0 0 0 1

. 3.16. (); ()

. , . (. 3.17). , . 1, , 1 ( , ). 1, 1 ( ), 1, 1. , . , , 16- , , . 3.17, , 16 . . 0. . 1 111... 111 , . , . , . . 32- 2 : 16- 16-. , , , 16 . . , . 16- -

159

: U0 U1, . U0 0, U1 1. , . 16 , . . . 16- 8- . . 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 1 0 1 1 1

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

. 3.17. (); {)

- , . - . . 3.18 , - , . 4 : , , +. , Fo F,: 00,01,10 11 ( ) , + , . , . -

160

3.

Fo Fj. Fo Fi , .

< C l ! ^ s \ , ^

Fo

i

,

i

. 3.18.

, , , . 1, . 0 . , , ENA ( ) ENB ( ). X, INVA ( ). ENA, ENB INVA, 4. -

161

ENA, ENB 1, , INVA 0. .Fi Fo 7 7 6

! 1I

I

5 5 1 -

4 I I1 - I

3 3 1-

2 2 1-

,

I II INC

L

1 -

1- I

1- 1- ~+ I Oi

, ,/\\

5

I

I

2

I

. 3-19. , 8-

. , . , , . 3.18, . . . 3.19 8- , . INC ( ) . , +1 ++1.

, . , . , . , . . . . 1 500 , 1000 2 . , . . , . , , .

162

3

, ( 3 20, ) ( 3 20, ) 1 1 2 1 3 2 4 2 , ,

-1

, 3.20. {), (), {)

, , , 1 , , 2 , , . 4 ^, 1 2,122 ( 3 20, ) , ,

163

(. . 3.20, , ),

. , , . , , . , . , .

, , - . -, . 3.21, . -. , , , -. 0 0 1 1Q

0 1 0 1

1 0 0 0

. 3 . 2 1 . - 0 (); - 1 (); - ()

, . 3.21, , SR-. : S (setting ) R (resetting ). 1 () : Q Q. , . , , , S=0 R=0 ( 0 ). , , Q=0. Q - 0, , Q, 1. , 0, 1, Q=0. , , (. 3.21, ). . complementary . . .

164

3.

, Q=l, a R S 0. 0 1 Q ( 0), . , . 3.21, , . , 0, , , , . 1, 0 1, 0, 1. : R=S=0 , 0 1 Q. . , S 1, Q=0. 1 0, Q=0. 0 , , 1. , S 1 0 1. R 1, 0, , - 0 10, 11. , , S 1 1 ( Q=l) , R 1 . , S 1, Q 1 . R 1 Q=0. , : S R. , .

SR- , . , SR- (. 3.22).

. 3.22. SR-

, 0. 0, 0 S R, . 1, S R. , 1

165

( S R), . , , S=R=1. : R, S 0, . S=R=1 Q=Q=0, 0, . 0 , , 1 , 1, . 0 ( ), .

D- SR- ( , S=R=1), . . 3.23 D. , , 1, . D=l 1, Q,= l. D=0 1, Q=0. , 1, D . , D-, 1 . , , Q. D, .

Q

. 3.23. D-

11 . 1 , 6 . .

(flip-flops) . , ,

166

3.

, 1, 0 1 ( ) 1 0 ( ). , , . . , . , . , , 1. . , , D-. . . 3.24, .

. 3.24. (); ()

, , 0, . , - . . , , , d. (. . 3.24, ). b . , 1

* (latch) , i , -, . . .

167

. . , ( ). , , 20 , 0,0001 , , , , . , . b , , () ( 5 ). , - (. . 3 24, ). , D- . 50 5 ( , D) , , . 3.25. , , .

Q

. 3.25. D- 3 26 .3 26, , , ( clock) 1, , . 3 26,6, 1, 0, D. . 3.26, . , , , . . 3.26, ( 0 1), 3 26, ( 0 1). ( ) , . Set () Preset ( ) Reset () Clear (). (Set Preset) Q = l , (Reset Clear) Q=0.

168

3.

. 3.26. D- D-

. 3.27, , D- . 14 , . - , . 3.27, . , , (J 11. , . 3.26, , , 11, 0 1. , 1 0, 0. , 11 , , : , ; . . 3.27, ~ . , . 8- . , 16- . 1 11. 4.

1 (. . 3.23) 8- (. . 3.27, ), , , . , , . 3.28. 3- . 3- . (12 ) , 8- , , , , .

169

v ;14 13

1:i

11

10

9

8

ICLR CLR

D

Q

D

Q

> Q PR

Y

>CK Q PR

f

1

~l2

3

4

5

6

7 GND

GND . 3.27. D- (); ()

, . 3.28, , . 8 (3 10, 12; 2

170

3.

,; 3 CS (Chip Select ), RD ( ) (Output Enable )) 3 , Ot - 14 ( ), 8- 20 .

| 0

1

i

1

-7

2

CS-RD CS RD

= CS RD

. 3.28. - 4X3. 3- .

, CS 1, RD 1 0 . , 3- . .

171

. , , ; . , , . 3.28. . , . ( 0, 1, 2 3). , CS 1 1, 4 . , 1. , . , CS 1, a RD 0, , . , . CS RD 0, . , Q , , , 0. , , . . , , . . , ( ) , . - . , , , . , . 3 29, . , . 1, (. . 3.29, ). 0, ( . 3.29, ), - . , 1. . 3.29, , , 1, , 0. , 0,1 ( ). -

172

3.

, , , . , . [\ >-

. 3.29. (); , 1 (); , 0 {s); ()

, . CS, RD 1, 1, . CS, RD 0, .

, . 3.28, , . 4x3 ( 4 3 ). 4x8, 5 4 , 5 5 . 4x3 8x3, , 2. , . , . , , , 18 ( ). - , , , . , , 1 , . . . 3.30 4 : 512 8 4096 Kxl. ( , , .) . 3.30, 19 19 2 8 .

1 2 A34

173

DO D1 D2 D3 D4 D5 D6 D7

_ ^ **

512 8 (4 )

5 6 7 8 9 10

*** " *4096 1 *(4 )

RAS

-

CAS

"

111CS W E

CS WE

. 3.30. 4

. - , . , -, - , , . , 1, 0. , 0, . CS 1, CS 0. . . , , , , . CS (Chip Select ) . , . , . WE (Write Enable ) , , . , ( (Output Enable ) . , . . 3.30, . 2048x2048 , 4 . , . - . RAS (Row Address Strobe ). CAS (Column Address Strobe ). , 1 .

174

3.

mxn, . , , , , : , . , , . , . 3.30, . 8 32 , . 4096 Kxl 32- , 32 , . 32 , , 16 . 512 8, 4 , 2 . 32 , 1,4, 8 16 .

, , : , . ( ). : . D-. , : , , . . . - . , , . , . , . , () , . , , , . 1 1 ( 6 ), ( ). . ( ). , - . . , , FPM (Fast Page Mode

175

). . , ( , , . 3.30, 6). FPM EDO1 (Extended Data Output ), , . , , . FPM, EDO . . . - . , . . , (, , ). , , . ( ), ( , ). . , , ( ) . . , , . , . , , . , , . . , , . , , . 15 , 1. , , , . , . , 27040 , . 3.30, , . EDO , FPM, 90- . . , .

176

3.

- , , , , . , , , , , - 64 , . - , 10 , 100 . , . - -. , , - , , - . - , - , . , -. . , - , , 100 . , - 10 000 , , . . 3.2. 3.2. (SRAM)

/

-

/ (DRAM) (ROM) (PROM) n3Y(EPROM)

- (EEPROM) - (Flash) /

177

, , . , ( ). , , . .

. . , . , , . , , - . : , . - ( ). , . , , , , . , , . , . , . . , . , -, . . , . , m , 2 . m 16, 20, 32 64. , , n- . 8,16,32,36 64. 8 4 , 32- , , 32 ,

178

3.

. , 32 , . . , . ( +3,3 +5 ), (). . : 1. . 2. . 3. . 4. . 5. . . Pentium II, UltraSPARC II picojava II, . , , . 3.31. -*- --/- * ^ * * * ^ \

*-

_ . . _

F +5v / 5 . 3 . 3 1 . . . .

( , -). , , , . - . - , - ,

179

- . - , - , - (, -). , . , , , . . (, , . .). , . . , , -,

, . . , -. , . , -. . , . ( 50 100), . -. -. . 3.32 -. , . . , , . , , . , , ,

180

3.

, , , , , . . , , , , . .

.

1

D

\

/

7

-

1 . 3.32.

. : Omnibus (PDP-8), Unibus (PDP-11), IBM PC (PC/XT), ISA (PC/AT), EISA (80386), MicroChannel (PC/2), PCI ( ), SCSI ( ), Nubus (Macintosh), Universal Serial Bus ( ), FireWire ( ), VME ( ) ( ). , , , , ( ). , , . , . , , , . , . , , . , , . . 3.3. .

3.3. -

181

( )

-

, , , , . , , . . , , , . , , . , . , . . , , , . , , . . , , , -, -, - . , , -, - . . - , 3- , . ^ [128, 135,136]. , , . . .

182

3

. , . , 2" . . . , , . (, ), . . , . , 64 , 232 , , 32 , 232 . . , . IBM PC 8088 20- (. 3.33, ). 1 .20- 20-

20- 80386 4-

4-

8-

. 3,33.

(80286), Intel 16 , 4 ( 20 ), . 3.33, . , . 80386, 8 , , , . 3.33, . EISA. , 32 . , . . : ( ) -

183

( ). , , ( ). , . : . , , . . , . 3.33. , . IBM PC , , 8 , 16, 32, . , . . , , 32 , . . . , , . . , . , .

. , . 5 100 . . . . .

, , . 3.34. 40 , 25 . , 500 , . , ISA ( Intel) 8,33 , PCI 33 66 . : , . , 40 , . , , .

184

3.

3, . 3.34. , , . , 1 . ADDRESS, DATA, MREQ, RD, WAIT . 1 2

ns ,

X Y '

'RH

'DH

*. 3.34.

Ti . Ti . ( ), . , . , , . , , 3. , MREQ RD. , , -, , . 40 , ( ), 2. , WAIT . ( ), WAIT. ( 2 ), .

185

, , , WAIT . 3 . 3 ( ) , . , MREQ. RD. . (. . 3.34 . 3.4). TAD, , ( . T A D < 11 . , 1, 11 TV 3.4. TAD , , 11

TML

MREQ Ti MREQ T t RD MREQ RD RD

6 8

TR L

8

Tos

5 8

8

, 5 (TDs) 3, , . T A D T D S , 62,5-11-5=46,5 , . 40 , 3. 50 , , 3.

186

3.

, 6 , MREQ. , MREQ , . , , 10 . TR[. , WREQ RD 8 MREQ RD 25+25-8-5=37 . 40 . TRH , MREQ R ~ D , . , , . , RT); , , . , . . , . , , . , , , , .

, . , 3,1 , 4,0 , . , -, . , , , . 3.34, 40, 20 . . , 10 . , 2 . , , , , . , , . 3.35. . , MREQ, RD

187

, , MSYN (Master SYNchronization). , , . , SSYN (Slavf SYNchronization).

MREQ

\

JC

RDMSYN

V

SSYN . 3.35.

SSYN , . Oi , MREQ, RD M$YN MSYN , SSYN, , . ( ) - (. 3.35) MSYN , SSYN. SSYN, , , MREQ, RD MSYN. , MSYN SSYN, . . , , 4 : 1. MSYN. 2. SSVN 3. MSYN 4. SSYN MSYN. , . , . - ( ) , , .

188

3.

, . , , . , . - , , . , .

, , - . , . . : , ?** , , .

. . . 3.36, . , . , , . ( ), . , . . , . - ( ). , , . , , . , . , , . , - . . , . . , , . . . 3.36, 6 2 ( 4, 8 16 ).

189

, , , . . 3.36, , 1, 2 4 1, 3 5 2,

11

I2

1

3

4

5

-

_ 1 I .

. 3.36. (); ()

, . . . 3.36, , 2 4, 4 3. 5 , . 1 2, . , . , , , . , . , . , , . ,

190

3.

. . , . , , - . , . , . , - , . , , . , - , , . . , 16 . , . , , , , . , . . , (. 3.37). . . BUSY. . . . 5 . Busy +5v In Out In Out In Out In Out In Out

. 3.37.

, . , , , IN. IN , . OUT. IN , OUT, IN , , OUT. , IN OUT. -

191

, IN , OUT . , BUSY OUT . , , , , . , , . .

, ( ) ( ) . . . . - - ( 16 32 ). , . , , (, Ti). , , . . 3.38 , . 3.34, BLOCK, , . 4 6 12.

;

'

,-

MREQ RD WAIT BLOCK . 3.38.

192

3.

. , , , . , , 0, , 1, . , , , 1. , . , 0, 1, , . , , , , ; . , , . . - - , . . , , , . , . , . IBM PC Intel 8259. . 3.39.-4

INT INTA RD WR CS D0-D7

8259

+5v . 3.39. 8259

193

- IRx (Interrupt Request ) 8259 , , 8259 INT (INTerrupt ), , 8259 INTA (INTerrupt Acknowledge ) 8259 , , , , 8259 , , RD (ReaD ), WR (WRite ), CS (Chip Select ) Xfl , , INT 8259, , 8259 , -, 8259 8259 , 64 - 8259 , , , , ,

Pentium II, Ultra SPARC II picojava

Pentium IIPentium II 8088, IBM PC Pentium II 8088 ( 7,5 , 29 000),

194

3.

8088 , 8088 ( , Pentium II 8088). , Pentium II 32 . , 80386, 80486, Pentium Pentium Pro, , IEEE 754. , Pentium II , 64 64 . 64 , , 32-. Pentium II Pentium Pro . . , , . , Pentium II . Pentium II -. - 16 16 , - 512 . - 32 . - . 233 . Pentium II , . ; PCI -. PCI ( ) , . Pentium II , . . , , , , . , . Pentium II . , 8088 Pentium Pro, , . Pentium II SEC (Single Edge Cartridge ). . 3.40, , , - . 242 . Intel , , . . Pentium II ( !). Intel , () SEC.

195

.14 0 cm.

SEC

Pentium II 6.3 cm - 16

- 512

- 16

1 6 cm . 3.40. SEC

, Pentium II, . , 30 50 . . , 50 , 50 , ( ). SEC , . Pentium II , . , , . , , . , Intel ( ), , ( ), , . - , . Pentium II , .

Pentium II 242 SEC 170 , 27 ( ), 35 10 . (, ), 53 . . 3.41. 6 ; . ,

196

3.

( ). Intel , . , ASCII. , , , Intel #. , BPRI BPRI#. , Pentium II . . . ( ). BPRI# . LOCK# , . , . 36 , 0, , , # 33 . 8 . 36 , 236, 64 . , ADS#. (, ), . REQ# (, ). #, ADS# REQ#. . - . , , . , , , - . Pentium II , 8. . RS# . TRDY# , ( ) . . . D# , 8 . , DRDY# ( ). , . RESET# . Pentium II , 8088 ( ), APIC (Advanced Programmable Interrupt Controller ).

BPRI# MREQ TMREQI TMREO.2 . . , 30. 32- 16 . - , ? .

228

3.

31. . ? 32. PC/AT, 10 , 4 , . ? 33. 32- 2-31 , . , , 4, 8,12 . . ( 4), , . . , ? . 34. Pentium II 32- PCI ? 64- 32,16 8 . 35. , - 5 10 . 50 . 20% - , 60% - , ? 36. , picojava II 8255? 37. , VGA (640x480) (30 /), , : , . 38. , Pentium II FRAME#HaimmePCI? 39. , . 3.49, ? 40. : , . 250 , 500 ( ). . 16 512 . 8,192 . ( ), ? : 8- 16- . 41. , USB, 1023 . , , ?

229

42. . 3.53, . , -, PIO, , 13? 43. , mxn, -. ( ) ] . j, , m . , . j , - -. , - -. 1. 2J . , , ( ) , . . . , , . ( ), , . . 45. , 24x50 50x6, , . 3.14. , . .

. 2 ( ), . 1.2. , , . , (, , RISC). (, Pentium II) ; . , , . , .

4

. , . . . Java, 1. , IJVM (Integer JVM; integer ). JVM 5. , IJVM. IJVM . , 1. IJVM , . ( ), , IJVM. JVM, Sun,

231

, . JVM Sun , . , . , 3, , IJVM. , , ( ). , , . . . , , , . , . . , . , . ( ), . . IJVM . , , . . , , , , - . , . , , , , , . , -, , (, IJVM). , , , . , .

, (- ) . . 4.1. IJVM, . 32- , (, PC, SP, MDR).

232

4

, , ( ). , , . , , ,

MAR

_

,

ftPC

> ; MBR

SP

LV

CPP

1

TOS

f

|==>

OPC

f

. 4 . 1 . ,

233

, . 3.18 3.19. . . 4.1 6 . Fu ! , EN ENB , 1NVA INC . 64 . . 4.1. IJVM, JVM. . + , - , - . 4 . 1 . Fo 0 0 0 1 1 1 1 1 1 1 1 0 0 F, 1 1 1 0 1 1 1 1 1 1 1 0 1 1 1 1 ENA 1 0 1 1 1 1 1 ENB 0 1 0 1 1 1 0 1 INVA 0 0 1 0 INC A

0 00

A

00 1 1 1

A+B A+B+1 A+1 B+1 B-A B-1 -A 0 1 -1

0 00 0 1 1 1 0 0 0 0 1

01

11 01 1 0 0 0

1 01 0 0 0 1

01 1 1 0 0

0 00

0

0

, . 4.1, : () (). . , , , . , . , ( ) , ENA , 0. 0, . ( ) .

234

4.

, . . SLL8 (Shift Left Logical ) 1 , 8 ; SRA1 (Shift Right Arithmetic ) 1 , . . , , SP , , INC SP, 1 (. . 4.1). , ? , . , . , .