an introduction to automated afm metrology in the ... · pdf fileautomated afm metrology in...

67
1 An Introduction to Automated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ©2010 Bruker Instruments Inc.

Upload: phungngoc

Post on 07-Feb-2018

255 views

Category:

Documents


6 download

TRANSCRIPT

Page 1: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

1

An Introduction toAutomated AFM METROLOGYin theSEMICONDUCTOR INDUSTRY

©2010 Bruker Instruments Inc.

Page 2: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

2

Outline

• Platform Overview

• Introduction to Modes

• CDMode

• DTMode

• Profiling

• Front End of Line Applications

• Back End of Line Applications

• Reference Metrology

• Conclusion

Page 3: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

3

InSight3D AFM

• Modes• CD Mode• DT Mode• Tapping Mode

• Fab ready• Full factory automation capability

Dimension AFP(DAFP)

Automated AFM Platform Introduction

• Modes• DTMode• TappingMode• Profiling

• Fab ready• Full factory automation capability

Page 4: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

4

Application Space of the AAFM Fleet

Profiling Depth CD Mode

Dimension AFP

InSight-3DAFM

• Front End of Line• STI• W Plug• Bare Wafer

• Back End of Line• Cu Dishing and Erosion

• High Volume, Inline depth process control for both FEOL and BEOL applications

• Process development• OPC characterization• Reference Metrology

Page 5: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

5

AAFM Semiconductor Applications

• BEOL (Back End Of Line)

• Via etch depth

• Damascene trench

• Deep trench

• Contact

• Cu CMP

• FEOL (Front End Of Line)

• STI Depth

• Gate metrology

• OPC Characterization

• STI/W CMP

• Stress liner charactization

• Process development

Page 6: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

6

Introduction to CD Mode

Page 7: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

7

What Are the Primary Critical Dimensions?

• In general, Critical Dimensions or CDs refer to the following dimensions

• Feature height

• The top, middle and bottom feature widths

• The top, middle and bottom sidewall angles

Glossary of Common CD Acronyms • Top CD – TCD

• Middle CD – MCD

• Bottom CD – BCD

• Sidewall Angle – SWA

• TSWA, MSWA and BSWA refer to the SWA at the top, middle and bottom respectively

Page 8: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

8

What are Secondary Critical Dimensions?

• Secondary CDs include variations in the primary CDs

• Line Width Variation, LWV, is the variation in feature width, at the top, middle or bottom, along the length of the feature

• Line Edge Roughness, LER, is the variation in the left or right feature edge, at the top, middle or bottom, from the feature’s central line

• Side Wall Roughness, SWR, is the Root Mean Square (RMS) variation of the sidewall surface from the feature’s central plane

• Sidewall Roughness is the CD analog to traditional surface roughness

Central LineCentral Plane

Page 9: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

9

Basic Feedback for CD-AFM

• Like traditional AFM, CD-AFM is a scanning probe technique• A probe extends from the underside

of a cantilever

• The cantilever is in a state of driven oscillation at it’s resonant frequency

• The amplitude of the cantilevers oscillation is proportional to the distance between the probe and the sample surface

• The difference between the instantaneous cantilever amplitude and a user defined amplitude setpoint is used as feedback to track the sample surface

• CD-AFM raster scans the probe over a sample surface to generate 3D topographical data of the sample

Example of Raster Scan Pattern

Cartoon of CD-AFM Operation

Page 10: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

10

How Does the CD-AFM MeasureSidewall Features?

• To measure the sidewalls, special flared, or boot shaped probes are used for CD-AFM

• Because CD-AFM measures the sidewalls of features, the CD-AFM must “tap” this flared tip in the lateral direction

• To tap the sidewalls of features, the probe is “dithered” in the X or Y direction

Sample

Dither

Flare

Cartoon of CD-AFM Probe

Page 11: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

11

Adaptive Scanning and CD-AFM

• Traditional Top-Down AFM raster scans the probe across the sample surface at a constant probe velocity

• Data points are taken at equal Δt time intervals

• CD-AFM only collects a data point when the difference between the instantaneous amplitude and the amplitude setpoint is less than a user defined error limit

• {A – Asp} < ErrorLimit

• In CD-AFM, the spacing and time intervals between data points is not constant

CD Mode

xy

z

3 sec3 sec11 sec

2 sec 1 sec 2 sec

CD Mode

xy

z

3 sec3 sec11 sec

2 sec 1 sec 2 sec

CD Mode

xy

z

3 sec3 sec11 sec

2 sec 1 sec 2 sec

Page 12: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

12

• CD-AFM is a scanning probe technique based on amplitude feedback

• A flared tip is used to measure sidewall features

• CD-AFM utilizes an adaptive scan method that only captures data when the system is in a “Good” state such that:

• {A – Asp} <ErrorLimit

Review of the Principles of CD-AFM

Flared tip enables measurements of undercut re-entrant features

Top CD

Middle CD

Bottom CD

Line width roughness LWR & LER

Depth

Sidewall angles

Sidewall Profile

In a single scan the 3D AFM show:

• Top, mid, and bottom CD• Depth or height• Sidewall angle left and right• Sidewall profile• Line width roughness• Sidewall roughness

Page 13: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

13

Probes Used for CD-AFM

• Probes that are used for CD-AFM are flared

• The flare provides a single point of contact with the sample sidewall and is the lateral analog of the apex of a top-down AFM probe

• The length of the flare defines the amount of “reach” capability for undercut features.

• Probes for CD-AFM come in different sizes and shapes to meet specific application needs

• Key parameters of probe shape are shown at right

Page 14: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

14

Probes – Probe Width

The probe width defines the minimum spacing into which the probe may fit to perform a measurement

Probe Width

Feature Width

Page 15: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

15

Probes - Overhang

A CD Probe’s Overhang defines the maximum undercut distance that may be measured by that probe

Sample Undercut Distance

Page 16: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

16

Probes – Vertical Edge Height

The Vertical Edge Height (VEH) is the point at which the probe touches the sample in two places and defines the blind spot for bottom CD

VEH

Page 17: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

17

Probes – Effective Length

The Effective Length of the probe is the maximum depth to which a probe can measure. Deeper than the effective length, the sample begins to image the base of the probe.

Page 18: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

18

Probe Qualification

• In order to make an accurate CD measurement, accurate knowledge of the probe used to make the measurement is required

• To first order, the width of a feature as measured by the CD-AFM is the sum of the actual feature with and the probe width

• To obtain the accurate feature width, the probe width must be subtracted from the measured width

= +

Measured Width Probe Width Feature Width

- =

Measured Width Probe Width Feature Width

Page 19: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

19

Probe Width Qualification

• To determine the width of a CD Probe a feature of known width is measured

• The feature of known with is the “VPS” or Vertical Parallel Structure

- =

Measured Width Probe WidthKnown VPS Width

Page 20: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

20

VPS Qualification

• The width of the VPS is determined by comparing the measured with of the VPS to the measured with of a NIST traceable standard using the same probe

• The NIST traceable standards used are the NanoCD

- =

Measured VPS Width

Measured NCD Width

VPS Width

• Traceable CD line width standards

• Nominal width 25nm, 45nm, 70m, 110nmLine Width Standard:

70nm ± 0.6nm

70nm

Page 21: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

21

Probe Shape Characterization

• The VPS is used to characterize the probe width

• To obtain the shape of the probe, including the Overhang and the Vertical Edge Height (VEH) an undercut structure with sharp edges is measured

• This feature is the Flared Silicon Ridge (FSR) or the Silicon Overhang Characterization Structure (SOCS)

FSR

Probe

SEM of FSR

Page 22: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

22

Probe Qualification

SEM image of tip AFM reconstruction

Page 23: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

23

Example of Probe Shape Reconstruction

• Example Tip Shape Reconstruction for a round CD probe of 300nm width

Page 24: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

24

Examples of Current CD Probes Available

SiN-capped

CD SiN for Hard Samples

70nm CD Probe

32nm CD Probe 50nm CD Probe

Page 25: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

25

CD-AFM Probe Breakthrough

Presented by Foucher and Irmer. SPIE 2011

Page 26: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

26

Recent Improvements for CD AFM Probes

• New HDC based CD-AFM probes show improved probe lifetime

• A key feature to the HDC CD-AFM probes is that the Vertical Edge Height (VEH) remains constant as the diameter of the probe changes

• No loss of bottom CD (BCD) capability over probe lifetime

Presented by Foucher and Irmer. SPIE 2011

Page 27: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

27

Deep Trench (DT) Mode

Page 28: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

28

Basics of DT Mode AFM

• Deep Trench (DT) Mode is an adaptive scan mode that can be optimized for challenging high aspect ratio depth measurements

• Like CD Mode, data points in DT mode are only acquired when the following condition is met:

{A – Asp} < ErrorLimit

• The precise probe position control in DT mode mitigates the sidewall chatter suffered by TappingMode enabling high aspect ratio depth measurements

70nm DRAM RC2 trench

Page 29: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

29

TappingMode and High Aspect Ratio Applications

• As a high aspect ratio probe such as a FIB probe enters a trench, there is a large area of probe-sample interaction between the probe and the sidewall

• This interaction causes the probe to “stick” to the sidewall

• As the probe sticks to the sidewall, the amplitude rapidly decreases below the setpoint and the feedback loop pulls the probe out of the trench

• This process repeats until the probe is sufficiently far from the sidewall resulting in “sidewall chatter”

• If the trench is sufficiently narrow, the sidewall chatter overwhelms the data points on the bottom of the trench resulting in poor depth capability

Large area of tip-

sample interaction

Page 30: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

30

TappingMode and High Aspect Ratio Applications – Sidewall Chatter

Feature Bottom Width

Measurable Bottom Travel for depth measurement

Feature Depth

Sidewall Chatter

Page 31: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

31

TappingMode and High Aspect Ratio Applications – Sidewall Chatter

Feature Bottom Width

Measurable Bottom Travel =

0!

Feature Depth

Sidewall Chatter

• As trenches become very high aspect ratio, the chatter in TappingMode dominates the entire measurement

• No bottom travel = No accurate depth measurement!

Page 32: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

32

DT Mode

• The adaptive scanning of DT Mode mitigates the sidewall sticking

• Data points are only acquired in the “good” state where the probe is not sticking

No Sidewall Sticking

Page 33: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

33

DT Mode and High Aspect Ratio Probes

• The precision position control of DT mode enables the use of high aspect ratio probes that cannot be used in TappingMode AFM

• M*-CNT-300

• Probe Length ~ 300nm

• Probe Width ~ 20nm

• 15nm diameter post probe

• Probe Length ~ 150 nm

• Probe Width ~ 15nm

Page 34: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

34

Dimension AFP - Profilometry

Page 35: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

35

DAFP Profiling

• In profiling mode, only the closed loop Z scanner is active

• After the probe has engaged the sample, the sample is moved beneath the probe via a high precision lead skrew

• (X,Z) data is collected along a single line

• The DAFP is capable of profiling up to 25mm

Page 36: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

36

Front End of Line Applications

Page 37: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

37

FEOL Applications

• The Front End of Line traditionally covers Shallow Trench Isolation, up through the gate, to the W plugs

• FEOL process steps that benefit from AFM process monitoring include etch, lithography and CMP

Page 38: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

38

Process Solution - STI CMP

Yield impacted by post CMP wafer uniformity Lowest Noise AFM (<2 Å RMS) Clear resolution of near planar step

measurement (<1nm) on near planar surfaces Depth analysis provides height polarity Within-die and test structure measurements

Process

Node

Repeat-ability Throughput

5 sites

Tip Lifetime

# sites/tip

STI CMP <45nm <1nm 15-20 wph* ~1,000+*

Note* - Throughput & Tip Lifetime values as reference.

Post STI CMP - Logic

SRAM Post STI CMP

STI post CMP recess

Page 39: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

39

AFM Application – STI CMP Divot Detection

STI Divot TEM 1

1. Hsieh, M. H. et al., “Use In-Line AFM to Monitor STI Profile in 65nm Technology”, Proc. Of SPIE vol 6152 pp.124-129 2006.

• STI structure integrity is critical to device performance

• Divot causes include• Over etching (HF) • Mechanical stress (CMP)

• Divot location between• STI structure / active area

• Potential yield loss defect • Bridging / electrical short

• AFM as process monitor• Captures divot defects• Characterizes divot defects

STI AFM Divot Image

Page 40: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

40

• Divot detection requires accurate, direct, in-die metrology. • Alternate to X-section/TEM/FIB which is destructive, slow & may

miss feature. • DAFP implemented as in-line STI CMP process monitor

AFM Application – STI CMP Divot Detection

Device / Node Logic / 45nmLayer STI CMP

In die measurement

Tool / Mode DAFP / DTTip / Lifetime MSS / >2000Repeatibility <1.0% or 1nm (3s)Throughput 10 wph

Goals

AFM Solution

Metrology Requirements

STI Process Monitor

Divot Areas

High Resolution Super Sharp (MSS) Tip

Page 41: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

41

B Divot ~50% deeper

AFM Metrology correlates divot depth to device electrical data

Process A: Shallow Divot Process B: Deep Divot

16% Reduction

AFM Metrology Divot Depth Data -Correlation to Device Electrical Test

Process A: Shallow divot

has higher desired sheet resistance &

better electrical performance.

Shee

t Res

ista

nce

Process B: Deeper divot has lower sheet resistance or reduced device electrical performance.

Page 42: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

42

TEM Level Profile Accuracy for Process Characterization

CD-Trident CD130SiNBias Mid CD (nm) Mid CD (nm)Average 0.97 1.43Std Deviation 1.58 1.71

Average CD Bias (TEM - AFM) using TSE

Excellent Correlation to TEM with 1.0nm Offset

21 data sets. Careful selection of TEM & AFM sites

Over-etched Sample for testing Purposes

Blue Line is the Average 3DAFM Profile TEM Image

T.E.M. of multiple test lines – 21

• Tip Shape Extraction removes tip shape from measured profile

• Data shows excellent correlation to T.E.M. for Profile and CD bias within LER of sample

Page 43: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

43

Strained SiGe Source/Drain Recess

Improved Dimension and Shape Metrology with Versatile Atomic Force MicroscopyaMark Caldwell, bTianming Bao, aJohn Hackenberg, aBrian McLain, aOmar Munoz,aTab Stephens, and aVictor VartanianaFreescale Semiconductor Inc, Austin, TX bBruker Instruments Inc, Santa Barbara, CA

SPIE Litho 2007 – Paper 6518-138

AFM and TEM profiles of gate spacer with strained source/drain SiGe recess embedded under gate spacer.

Page 44: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

44

MUGFETs and FinFETs

Improved Dimension and Shape Metrology with Versatile Atomic Force MicroscopyaMark Caldwell, bTianming Bao, aJohn Hackenberg, aBrian McLain, aOmar Munoz,aTab Stephens, and aVictor VartanianaFreescale Semiconductor Inc, Austin, TX bBruker Instruments Inc, Santa Barbara, CA

SPIE Litho 2007 – Paper 6518-138

Page 45: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

45

• W CMP process issues • Oxide erosion / thinning• Plug recess, non-uniformity • Surface roughness• Micro-scratches, contamination• Lithography alignment• Metal bridging• Via resistance

• W CMP dishing & erosion problems may cause

• Process variations

• Low-k deposition • Cu deposition

• Next level dishing & erosion post Cu CMP

Tungsten (W) CMP Metrology -Problem Statement

Post W CMP

Page 46: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

46

Resist Shrinkage Characterization

Phenomenology of electron-beam induced photoresist shrinkage trendsBenjamin Bundaya, Aaron Cordesa, John Allgaira, Vasiliki Tilelib, Yohanan Avitanc, Ram Peltinovc, Maayan Bar-zvic, Ofer Adanc, Eric Cottrell, Sean HandaInternational SEMATECH Manufacturing Initiative (ISMI), Albany, NY, 12203, USAbCollege of Nanoscale Science & Engineering, University at Albany-SUNY, Albany, NY, 12203, USAcPDC Business group, Applied Materials, 9 Oppenheimer, Rehovot 76705, IsraeldBruker Metrology Inc., AFMs/SPMs, 112 Robin Hill Road, Santa Barbara, CA 93117, USA SPIE Proceedings, Vol 7272

Page 47: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

47

Resist Shrinkage Characterization

Measurement Protocole : AFM1 / SEM / AFM2Two wafers : E-beam Litho, Negative Resist 193 nm Litho, BARC, Positive Resist

193 nm Resist

200205210215220225230235240

0 50 100 150 200Numéro de la ligne de scan

CD

mid

dle

(nm

)

Avant impact SEM Après impact SEM

AFM

2 CD

-SE

M

+

- ResistSi

BARC

3D-AFM image

Before SEM impact

Number of scanlines

After SEM impact

0

50

100

150

0 100 200 300x (nm)

z (n

m)

Before SEMAfter SEM

Images and Data Courtesy of J. Foucher, CEA-LETI

Page 48: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

48

High-Resolution Line Characterization

• “Fingerprinting” with 100 scan lines• Sub-Ångstrom precision • 10 runs with 100 scan lines per run• Measurement time 72 seconds per run

Run 1 32.62 nmRun 2 32.80 nmRun 3 32.75 nmRun 4 32.73 nmRun 5 32.69 nmRun 6 32.69 nmRun 7 32.63 nmRun 8 32.65 nmRun 9 32.55 nmRun 10 32.61 nm

Average 32.67 nmError (σ) 0.074 nm

Middle CD Line by Line

10

20

30

40

50

0 100 200 300 400 500 600 700 800 900 1000

position [nm]

CD

[nm

]

LWR: 12nm (3σ)

Sub-ÅngstromPrecision

Page 49: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

49

Back End of Line Applications

Page 50: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

50

BEOL Applications

• The Front End of Line traditionally covers the first layers after W polish up through the wiring

• BEOL process steps that benefit from AFM process monitoring include etch, lithography and CMP

Page 51: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

51

32nm Depth Metrology

• 100nm deep, Bottom CD <32nm

32-40nm TopCD Nano-Imprint Sample

CNP500 (dia: 25nm +/-5nm)

For 32nm pattern, trench wall angle of about 85 deg. was obtained by SEM

Good agreement between AFM and SEM results

Page 52: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

52

Layer M1Dishing Erosion

Peak / Valley

Tool / Mode AFP / TMTip / Lifetime TESP / 2000

Range > 25mm x 25mm

AFM Solution

Goals

2-4 hours (area & resolution) Throughput

• AFM primary tool for post CMP analysis using die map

• Direct in die metrology

• No complex optical modeling

• Accuracy & precision of actual device data

• Easy scan range adjustments for full die or small local features

• Long scan range (25mm)

AFM Metrology – 3D Die Map SolutionsAFP Case Study

Page 53: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

53

45nm W CMP - Dishing & Erosion

W Plug Recess

Area

Dishing

Erosion

Single Values Reported• Dishing • Erosion

Page 54: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

54

Zoom-in view of W plug recess

DAFP W CMP - 500um Scan Zoomed to 12um

DAFP Data Provides

• High resolution profile mode

• Accuracy with repeatability Dishing

Dishing

12um scan

500um scan

RTESPAProfiler Mode / CMP applications

Page 55: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

55

DAFP 45nm ILD CMP -Profiler Scan Length Options

1.8mm scan length

500um scan length

100um scan length

• Absolute accuracy & precision for multiple scan lengths

• 1800um / 500um / 100um

• Ultimate CMP reference tool

Page 56: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

56

AFM Metrology – 3D Die MapProblem Statement

• Large area 3D maps required for CMP process characterization

• Over / under polish conditions

• Dishing & erosion

• Wafer to wafer (WTW) variations

• Within wafer (WIW) variations

• Lithography alignment mark verification

• Optional size zoom-in feature

• Full die level (~25mm x 25mm)

• Middle level chip area (5mm x 5mm)

• Zoom-in local peak/valley (200um x 200um)

Page 57: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

57

Measurement Uncertainty and Reference Metrology

Page 58: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

58

Measurement Uncertainty: TheNew Metrology Metric

• Starting in 2007, the ITRS began to define measurement uncertainty as the key metric for metrology systems

22222OtherSamplingMatchingPrecisionCombinedU σσσσ +++=

• Measurement uncertainty is replacing precision• Two new components sampling & S2S bias variation dominate

− Knowledge of accuracy is a must for metrology vendors− High sampling uncertainty limits TEM as a reference tool

Poly-Si LWR = 1.2 nm (1σ)U of single TEM ≥ 3.6 nm (3σ)Ū of 5 TEM ≥ 1.6 nm (3σ)Ū of 100 AFM scans ≥ 0.36 nm (3σ)

TEM sample

LINE

B. Bunday, et al., SPIE 2008 AL Metrology

Page 59: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

59

Uncertainty of measurement

Error = (Reported value – True value)Error = FUNCTION (Time, Tool, Sample)Bias = Systematic (time independent) errorBias is sample and tool dependent

Dimension

Pro

babi

lity

True Value Reported Value

Bias

Uncertainty (including bias variation)

Page 60: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

60

Total Measurement Uncertainty(TMU)

Page 61: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

61

Total Measurement Uncertainty Reduction by Reference Metrology

tool 1,2 tool 3,4,5

P True CDTMU

tool 1,2 tool 3,4,5

P

True CD

TMU

Before

• Tool-to-tool bias

• Tool family bias

• Offset from true CD

After• No tool-to-tool bias• No tool family bias• No offset from true CD

CD

CD

Page 62: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

62

Reference Metrology Process Flow

PrimaryStandard

RMS

CalibrationGate

RMS RMS RMS RMS

CalibrationLitho

CalibrationMetal

CalibrationSTI

CD-SEMFleet A

OCDFleet A

CD-SEMFleet B

OCDFleet B

CD-SEMFleet C

OCDFleet C

CD-SEMFleet D

OCDFleet D

Traceability to primary reference

Internal standards• No material bias

Fleet Management• OCD to SEM• Tool-to-tool

Page 63: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

63

The Basics of RMS and the TuT

• In a typical reference metrology exercise, there is a Reference Metrology System (RMS) and the Tool-under-Test (TuT).

• Features of varying CDs are measured by both the RMS and the TuT

• The results of the RMS are plotted along the one axis, those of the TuT on the other

• Mandel regression is used to determine the Total Measurement Uncertainty (TMU), Slope and Offset between the RMS and the TuT

• For a single tool, standards of defined values, such as the NCD may be used

• As Mandel regression allows both X and Y to vary, standards or Tool may be RMS or TuT • A well performing TuT will have a slope

1 and an offset 0 with respect to the RMS

RMS

TuT

Page 64: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

64

CD-AFM as Reference MetrologySystem (RMS)

• Traditionally, TEM has been used as the “gold standard” RMS

• However, TEM does suffer some deficiencies for reference metrology• Sample preparation• Measurement Location• Resist is difficult if not

impossible to measure via TEM

• Destructive• As shown earlier, CD-AFM

provides TEM level profile accuracy• Non destructive technique• Large sampling area• No material limitations

TEM Level Profile Accuracy

Middle CD Line by Line

10

20

30

40

50

0 100 200 300 400 500 600 700 800 900 1000

position [nm]

CD

[nm

]

Fingerprint Provides Full Picture of Feature width

No Material Limitations

TEM Slice 1 and 2 could give up to 10nm of uncertainty in measurement based on sample preparation

Page 65: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

65

CD Measurement Uncertainty

• NIST-traceable Line Width Standards

• 25.4 nm, 45.70 nm, 70.3 nm

• Uncertainty of standards ± 0.9nm (3S)

InSight 3DAFM

• InSight 3DAFM provides <0.9nm measurement uncertainty on NIST traceable calibration standards

<1nm CD residual range

Slope 1.01Offset -0.133*NRE 1.25F=Vo//Vi 1.00M.U. 0.87

Page 66: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

66

Reference Metrology System

• 3DAFM provides the lowest Measurement Uncertainty

• Sub-nanometer uncertainty

• No pattern or material bias

• Non-destructive – compatible with resist

• Production-based Reference Metrology for 45nm and below

• OCD model verification

• Fleet matching

• NIST-traceable CD and depth standards

• 45nm, 70nm, 110nm CD standards

• 8nm, 44nm, 180nm 440nm depth standards

• InSight 3DAFM provides, Non-destructive, Accurate & precise 3D characterization of critical process structures

Page 67: An Introduction to Automated AFM METROLOGY in the ... · PDF fileAutomated AFM METROLOGY in the SEMICONDUCTOR INDUSTRY ... • Full factory automation capability Dimension AFP

67

Conclusion

• The AAFM fleet offered by Bruker are fully automated inline production AFM for Depth, Profiling, CD and Reference Metrology

• CD-AFM provides an unparalleled level of accuracy and measurement uncertainty delivering all key CD measurements within a single non-destructive scan that is not material dependant enabling CD-AFM as the industry choice for Reference Metrology

• DT Mode AFM extends the capability of AFM for deep trench measurements well beyond traditional TappingMode techniques for the high aspect ratio demands of current semiconductor manufacturing demands

• The profiling capability of the DAFP provides unparalleled process control enabling the next generation of CMP and lithography

• New techniques for CD/DT mode AFM continue to extend CD-AFM as the RMS into the 28nm node and beyond