altera development tools 2013

40
2013 HONG KONG Sales Hotline: (852) 2484 2112 [email protected] Tel: (852) 2484 2484 AUSTRALIA [email protected] Melbourne (61) 3 9737 4900 Adelaide (61) 8 8333 2122 Brisbane (61) 1800 838 835 Sydney (61) 2 9868 9900 CHINA Sales Hotline: (86) 400-886-1880 [email protected] Shanghai (86) 21 2215 2000 Beijing (86) 10 8528 2030 Changchun (86) 431 8780 9266 Chengdu (86) 28 8620 3226 Chongqing (86) 23 6877 0466 Fuzhou (86) 591 8784 8456 Guangzhou (86) 20 3887 1735 Hangzhou (86) 571 8763 1324 Nanjing (86) 25 8470 2617 Ningbo (86) 574 8764 1932 Qingdao (86) 532 5566 2799 Shenyang (86) 24 2334 1176 Shenzhen (86) 755 8836 7918 Suzhou (86) 512 6761 1929 Tianjin (86) 22 2320 1626 Wuhan (86) 27 5980 5281 Xiamen (86) 592 239 4567 Xian (86) 29 6569 1466 Zhengzhou (86) 371 6566 5757 Zhuhai (86) 756 337 3352 INDIA [email protected] Bangalore (91) 80 4135 3800 Chennai (91) 44 4235 9002 Cochin (91) 95 6741 9262 Hyderabad (91) 40 6677 4146 Kolkata (91) 33 4003 4585 Mumbai (91) 22 4091 2400 New Delhi (91) 12 0453 5500 Pune (91) 20 4015 9006 INDONESIA (62) 21 2555 5652 JAPAN [email protected] Tokyo (81) 3 3547 1861 KOREA [email protected] Seoul (82) 2 2650 9700 Guro (82) 2 2611 8400 MALAYSIA [email protected] Penang (60) 4 229 6613 Kuala Lumpur (60) 3 7804 6313 NEW ZEALAND [email protected] Christchurch (64) 3 366 2000 Auckland (64) 9 622 0101 PHILIPPINES [email protected] (632) 772 3053 SINGAPORE [email protected] (65) 6559 8388 TAIWAN [email protected] Taipei (886) 2 7722 5168 Hsinchu (886) 3 620 1968 Kao Hsiung (886) 7 972 4288 THAILAND [email protected] Bangkok (66) 2 694 2332 VIETNAM [email protected] (84 8) 3 520 2794 ARROW ADVANTAGE [email protected] ALTERA DEVELOPMENT TOOLS Brought to you by Arrow Electronics

Upload: arrow

Post on 23-Mar-2016

228 views

Category:

Documents


7 download

DESCRIPTION

Linear Technology has worked closely with Altera and their strategic partners to provide you with approved and tested solutions for your FPGA and CPLD-based systems.

TRANSCRIPT

Page 1: Altera Development Tools 2013

ALTERA DEVELOPMENT TOOLSB r o u g h t t o y o u b y A r r o w E l e c t r o n i c s

2013

Hong KongSales Hotline: (852) 2484 [email protected]: (852) 2484 2484

[email protected] (61) 3 9737 4900Adelaide (61) 8 8333 2122Brisbane (61) 1800 838 835Sydney (61) 2 9868 9900

CHinASales Hotline: (86) [email protected] (86) 21 2215 2000Beijing (86) 10 8528 2030Changchun (86) 431 8780 9266Chengdu (86) 28 8620 3226Chongqing (86) 23 6877 0466Fuzhou (86) 591 8784 8456 Guangzhou (86) 20 3887 1735 Hangzhou (86) 571 8763 1324Nanjing (86) 25 8470 2617Ningbo (86) 574 8764 1932Qingdao (86) 532 5566 2799Shenyang (86) 24 2334 1176Shenzhen (86) 755 8836 7918Suzhou (86) 512 6761 1929Tianjin (86) 22 2320 1626Wuhan (86) 27 5980 5281Xiamen (86) 592 239 4567

Xian (86) 29 6569 1466Zhengzhou (86) 371 6566 5757Zhuhai (86) 756 337 3352

[email protected] (91) 80 4135 3800Chennai (91) 44 4235 9002Cochin (91) 95 6741 9262Hyderabad (91) 40 6677 4146Kolkata (91) 33 4003 4585Mumbai (91) 22 4091 2400New Delhi (91) 12 0453 5500Pune (91) 20 4015 9006

indonEsiA (62) 21 2555 5652

[email protected] (81) 3 3547 1861

[email protected] (82) 2 2650 9700Guro (82) 2 2611 8400

[email protected] (60) 4 229 6613Kuala Lumpur (60) 3 7804 6313

nEw [email protected] (64) 3 366 2000Auckland (64) 9 622 0101

[email protected](632) 772 3053

[email protected] (65) 6559 8388

[email protected] (886) 2 7722 5168Hsinchu (886) 3 620 1968Kao Hsiung (886) 7 972 4288

[email protected] (66) 2 694 2332

[email protected](84 8) 3 520 2794

Arrow [email protected]

ALTERA DEVELOPMENT TOOLSB r o u g h t t o y o u b y A r r o w E l e c t r o n i c s

Page 2: Altera Development Tools 2013

Welcome to the 3rd edition of the Arrow Electronics Development Tools brochure. In a global and collaborative effort, we have teamed up once again with Altera and Linear Technology, as well as Samtec, Micron, and Silicon Labs. Since 2009, through our development tool brochures, we’ve delivered, verified, and optimized power and analog solutions for a wide range of programmable devices.

We are excited about the addition of the new 28-nm devices to the brochure. As system performance, power, and cost requirements continue to expand and conflict, you'll be able to find the devices that meet your new levels of performance, integration, and power consumption.

Linear Technology has worked closely with Altera and their strategic partners to provide you with approved and tested solutions for your FPGA and CPLD-based systems. This brochure gives you the means to evaluate their powerful development tools and tap into technology leadership. Arrow’s experts will help you select the right tools for your new design.

Arrow will help guide you at every step of your product’s development. Our factory-certified FAEs support Altera and Linear Technology while also designing and working across a broad range of applications. Arrow's world-class design services, training, and tools keep your project on track and minimize your time to market.

To purchase the development tools you need listed in this brochure, visit www.arrow.com/alteratools.

©2012 Arrow Electronics, Inc. Arrow and the Arrow logo are registered trademarks.All other product names and logos are trademarks of their respective manufacturers.Information subject to change without notice. 10/12

Boost Your Productivity with Our Powerful

Partnership

Page 3: Altera Development Tools 2013

3

Table of Contents4 Altera® Device Descriptions

5 Altera Cyclone® V E Development Kit6 Altera Stratix® IV E FPGA Development Kit6 Terasic® TR4 Stratix IV Board7 Terasic DE2i-150 Cyclone IV Board7 Altera Cyclone III FPGA Starter Kit8 Altera Cyclone III FPGA Development Kit8 Altera Cyclone III LS FPGA Development Kit 9 Altera MAX® II Development Kit9 Terasic MAX II Micro Development Kit

10 Arrow BeMicro SDK Evaluation Kit10 Arrow BeUSB 3.0 Daughtercard11 Altera Embedded Systems Development Kit, Cyclone III Edition12 Altera Nios® II Embedded Evaluation Kit, Cyclone III Edition

13 Altera Stratix V GX FPGA Development Kit 14 Altera Transceiver Signal Integrity Kit, Stratix V GX Edition 14 Altera 100G Development Kit, Stratix V Edition15 Altera Stratix IV GX FPGA Development Kit16 Terasic DE5-Net Stratix V Board16 Altera Transceiver Signal Integrity Kit, Stratix IV GX Edition17 Altera Arria® V GT FPGA Development Kit18 Altera Arria V GX Starter Kit19 Altera Cyclone V GX Development Kit20 Altera Cyclone IV GX Transceiver Starter Kit

21 Altera DSP Development Kit, Stratix V Edition22 Altera Audio Video Development Kit, Stratix IV GX Edition23 Altera DSP Development Kit, Cyclone III Edition

24 GPIO HSMC Card 24 Ethernet HSMC Card 24 DVI HSMC Card24 SATA/SAS HSMC Card 24 Dual XAUI to SFP+ HSMC Card25 SFP HSMC Board 25 SDI Transceiver HSMC Board25 High-Speed A/D and D/A Daughterboard25 High-Definition Multimedia Interface25 AD/DA Data Conversion Card

26 Altera Quartus® II Design Software

28 Linear Techonolgy’s Tested and Approved Analog Solutions for Altera

37 Micron Memory Makes It Easy to Design with Altera’s FPGAs

38 Silicon Labs Clocks and Oscillators — Ideal for Altera FPGAs

39 Samtec’s FPGA-Based Evaluation Kits & Development Boards

GENERAL PURPOSE

EMBEDDED

I/O INTERCONNECT

DESIGN SOFTWARE

DSP

HSMC DAUGHTERCARDS

LINEAR TECHNOLOGY

MICRON

SILICON LABS

SAMTEC

Page 4: Altera Development Tools 2013

4

The Stratix FPGA series enables you to deliver high-performance, state-of-the-art products to market faster with lower risk and higher productivity. With its high density, high performance, and rich feature set, you can integrate more functions and maximize performance. Using the Quartus II design software suite, along with a broad portfolio of IP, you can gain the highest level of productivity for large and complex team-based designs. Also contributing to the total solution are HardCopy ASICs, which provide a seamless migration path to low-cost volume production. Backed by Altera’s track record as a reliable and high-quality supplier, the Stratix FPGA series delivers the technology resources you need to design with confidence.Key features

• Industry’sbiggestandfastestFPGAs• Lowestpowerhigh-endFPGAs• OnlyFPGAswithintegrated11.3Gbpstransceivers• Flexibleandhigh-performanceI/Opins• HighestDSPandmemorycapabilities• Pathtolow-cost,lowestriskHardCopyASICs,includingtransceiveroption• Volatileandnon-volatiledesignsecurity• QuartusIIdesignsoftwareforhighestperformanceandproductivity

Arria series FPGAs optimize power, performance, and cost for applications requiring 3G transceivers. This series is ideal for a wide range of applications using mainstream protocols such as PCIe, CPRI, SDI, GbE, and more. With the integrated Quartus II design environment, IP, reference designs, design examples, and development kits, you’ll get your design up and running in no time.Key features

• Optimizedpower,performance,andcostfor3Gapplications• Proventransceiverarchitecturewithbest-in-classsignalintegrity• Highlyproductive,easy-to-usecommondesignenvironment• Ready-to-usereferencedesigns,designexamples,andIP

For your cost-sensitive, high-volume applications, Altera offers the Cyclone FPGA series—the industry’s only FPGAs designed from the ground up for low cost. Each family member is individually optimized for cost, and delivers a high-volume solution that’s competitive with ASICs and ASSPs. This series of FPGAs—including the 60-nm Cyclone IV, 65-nm Cyclone III, 90-nm Cyclone II, and 130-nm Cyclone families—delivers a customer-defined feature set, industry-leading performance, and the lowest power consumption.Key features

• Thelowestcost,lowestpowerFPGAsintheirclass• IntegratedtransceiverI/OsandPCIehardIP• High-performanceDSP• Low-costembeddedprocessing• FreeQuartusIIWebEditionsoftwaresupport• FreeModelSim®-AlteraWebEditionsoftwaresupport• Availableincommercial,industrial,extendedindustrial,andautomotivetemperaturegrades

Altera’s market-leading MAX series of CPLDs are world-class, low-cost devices designed for virtually any digital and some analog control functions. As non-volatile, single-chip solutions, MAX CPLDs are easy to incorporate into your system. With the devices, you can solve board-level issues such as insufficient I/O pins on a processor; manage analog I/Os for light, sound, and motion; apply level-shifting signals or buses between components; and inexpensively convert incompatible interfaces (a.k.a. “glue logic”). Designed to be hassle-free with intuitive device behavior and software, MAX CPLDs give you the freedom to focus on your more complex design challenges.Key features

• Lowcost• Zero-poweroptions• Ultra-smallpackages• Instant-onandnon-volatile• In-systemprogrammability(ISP)• FreeQuartusIIWebEditionsoftwaresupport• FreeModelSim-AlteraWebEditionsoftwaresupport

The industry’s most advanced FPGA and CPLD technologies

Visit www.arrow.com/alteratools or call your local Arrow representative.

Page 5: Altera Development Tools 2013

5

GEN

ERA

L PUR

POSE

Arrow | Altera Development Tools

Altera Cyclone V E Development KitAltera’sCyclone®VEDevelopmentKitoffersacomprehensivegeneral-purposedevelopmentplatformformanymarketsandapplications,suchasindustrialcommunicationsandautomationapplications.ThekitfeaturesaCycloneVFPGAandamultitudeofon-boardresourcesincludingmultiplebanksofDDR3andLPDDRmemory,LCDcharacterdisplay,LEDs,userswitches,andUSBandRJ45connectors.TheCycloneVEDevelopmentKitgivesindustrialequipmentdesignersgreaterflexibilityinimplementingreal-timeEthernetcommunicationswithIndustrialEthernetintellectualproperty(IP)cores.

Development Kit ContentsAltera device

• DK-DEV-5CEA7N

Configuration• OnBoardBlasterII(USB,PHY,MaxV)• JTAGdirectviaJTAGheader

General user input/output• Usercontrol• Threepushbuttons• EightLEDs• Fourdipswitches(8typical)• 2xresets(CPUreset,DevClear)• LCD:characterLCD(16x2)

Memory devices• DDR3x64withECC@400MHz• DDR3x32withECC(softmemorycontroller)• LPDDR2x16(softmemorycontroller

Components and interfaces• USBconnectorforconfigurationorconnect• RJ45forEthernet

Quartus II design software included with kit• QuartusIIWebEditionSoftware

Linear Technology ComponentsLTC3608 18 V, 8 A monolithic synchronous step-down DC/DC

converterLTC3600 15 V, 1.5 A synchronous rail-to-rail single resistor step-down

regulatorLTC3026 1.5 A low input voltage VLDO linear regulatorLT3009 3 µA IQ, 20 mA low dropout linear regulatorsLTC3025 One 500 mA micropower VLDO linear regulatorsLTC3103 1.8 µA quiescent current, 15 V, 300 mA synchronous

step-down DC/DC converter

Part Number Price

DK-DEV-5CEA7N $1,099.00

Visit www.arrow.com/alteratools for kit availability.

Page 6: Altera Development Tools 2013

6

GEN

ERA

L PU

RPO

SE

Arrow | Altera Development Tools

TheAlteraStratixIVEFPGADevelopmentKitdeliversacompletesystem-leveldesignenvironmentthatincludesboththehardwareandsoftwareneededtoimmediatelybegindevelopingFPGAdesigns.YoucanusethisdevelopmentkittodevelopandtestmemorysubsystemsconsistingofDDR3DIMMs,QDRII+,andRLDRAMIImemoryinterfaces.

Development Kit ContentsAltera device

• StratixIVEEP4SE530H35C2NFPGA

Configuration• Fastpassiveparallel(FPP)configurationviaa

MAXIIEPM2210CPLDandflashmemory• On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer

General user input/output• Userpushbuttons,DIPswitchesandLEDs• GraphicsandcharacterLCD

Memory devices• 2GBDDR3SDRAMDIMMwitha72-bitdatabus• 72MbQDRII+SRAMdevicewitha18-bitdatabus• 576MbRLDRAMIICIOdevicewitha36-bitdatabus• 18MbSSRAMwitha36-bitdatabus• 512Mbflashwitha16-bitdatabus

Components and interfaces• 10/100/1000BASE-TEthernetPHYwithRJ-45connector• TwoHSMCinterfaces— SamtecmateASP-122952-01

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTM4601 12ADC/DCµModuleswithPLL,outputtrackingandmarginingLT1764 3A,fasttransientresponse,lownoise,LDOregulatorsLTC6902 MultiphaseoscillatorwithspreadspectrumfrequencymodulationLTM4604 Low-voltage,4ADC/DCµModulewithtrackingLTC4151 High-voltageI2Ccurrentandvoltagemonitor

Part Number Price

DK-DEV-4SE530N $5,495.00

Altera Stratix IV E FPGA Development Kit

DK-DEV-4SE530N

2 GB DDR3 SDRAM DIMM (x72)

Power 66 MHzTL RJ45125 MHzUSB

Blaster

PowerMeasure/Display

MAX IICPLD ( 32)

XTAL

1.5V

HS

T

2.5V CMOS CMOS + LVDS 10/100/1000Ethernet

RJ45JackXTAL

14-Pin LCDHeader

Stratix IV FPGA

CPLD (x32)

4MB SSRAM(x32)

64MB Flash(x16)

128 x 64Graphics Display2.5V CMOS

SMA Input

2.5V CMOS

SMA Output4MB QDR II+

(x18)1.5V/1.8V HSTL

CM

OS

HSMC Port

V/1

.8V

STL

CMOS +LVDS

SMA Output

Quad 7-Seg/User LEDs,

Buttons,

100 MHzXTAL 12

.5V

C

1.5V H

S

RLDRAM II CIO(x36)

,Switches

Terasic TR4 Stratix IV Board TheTR4DevelopmentKitprovidestheidealhardwareplatformforsystemdesignsthatdemandhigh-performance,serialconnectivity,andadvancedmemoryinterfacing.TheTR4ispoweredbytheStratixIVGXdeviceandsupportedbyindustry-standardperipherals,connectorsandinterfacesthatofferarichsetoffeaturesthatissuitableforawiderangeofcompute-intensiveapplications.Forlarge-scaleASICprototypedevelopment,multipleTR4scanbestackedtogethertocreateaneasily-customizablemulti-FPGAsystem.

Development Kit ContentsAltera device

• StratixIVGXEP4SGX230/EP4SGX530

Configuration • On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• Fouruser-controllableLEDs• Fourpush-buttons• Fourslideswitches

Memory devices • 64MBflash• 2MBSSRAM• DDR3SO-DIMMsocket

Components and interfaces• 6HSMCconnectors• Two40-pinexpansionheaders• TwoPCIExpressx4connectors

Quartus II design software included with kit• QuartusIIWebEditionSoftware

Linear Technology ComponentsLT1117 800mAlowdropoutpositiveregulatorsadjustableandfixed2.85V,

3.3V,5VLTM4601 12AµModuleregulatorwithPLL,outputtrackingandMarginingLT1963 1.5A,low-noise,fasttransientresponseLDOregulatorLT3080 Adjustable1.1AsingleresistorlowdropoutregulatorLTC3025 300mAmicropowerVLDOlinearregulatorLTM4628 Dual8A/Single16ADC/DCµModuleLTM4612 Ultralow-noise,36VIN,15VOUT,5A,DC/DCµModuleregulator

Part Number Price

P0107 $2,895.00

STRATIX IV GX FPGA

Page 7: Altera Development Tools 2013

7

GEN

ERA

L PUR

POSE

Arrow | Altera Development Tools

TheCycloneIIIFPGAStarterKitiseasytouseandanidealintroductionforuserswhohaveneverdesignedwithFPGAsbefore.ExperiencedFPGAdesignerscanbuildsystemsleveragingthedesignexamplesincludedinthekitforaquick“out-of-the-box”evaluationexperience.

Development Kit ContentsAltera device

• CycloneIIIEP3C25NFPGA

Configuration• On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• Sixpushbuttonstotal,fourusercontrolled• SevenLEDstotal,fourusercontrolled

Memory devices• 16MBofDDRSDRAM• 1MBofsynchronousSRAM• 16MBofIntelP30/P33flash

Components and interfaces• HSMC— SamtecmateASP-122952-01• USBTypeB

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Linear Technology ComponentsLTM4603 6AµModuleDC/DCsystemLTC3413 3A,2MHzregulatorformemoryterminationLT1959 500kHzstep-downswitchingregulatorLT1117 800mAlow-dropoutpositiveregulator

Part Number Price

DK-START-3C25N $199.00

Altera Cyclone III FPGA Starter Kit

Parallel Flash16MB

Cyclone III FPGA

Switches4

LEDs4

USBBlaster

SSRAM1MB

HSMC

DDR32MB

CombiningtheIntelAtomProcessorandAlteraCycloneIVGX,theDE2i-150FPGADevelopmentKitfusestogethertheworldofhighperformanceprocessingandunbelievablyhighconfigurability.Linkedtogetherthroughtwohigh-speedPCIelanes,theprocessorandFPGAhaveaccesstohigh-speedcommunicationbetweeneachother,leadingtoapowerfulhardware-softwareco-developmentenvironmentwithtrulyunlimitedpotential.

Development Kit ContentsAltera device

• CycloneIVGXEP4CGX150FPGA

Configuration • On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• Eighteenslideswitchesandfourpush-buttons• EighteenredLEDs,ninegreenLEDsandsix7-segmentdisplays• 16x2CharacterLCD

Memory devices • 128MBSDRAMandDDR3SODIMMslot• 4MBSSRAM• SDcardsocket• 64MBsolidstatedriveandSATAPort

Components and interfaces• IntelAtomN2600• Videoandaudioinput/output• Ethernet,USB,andRS-232communicationports• HSMCconnectorand40-pinexpansionheader

Quartus II design software included with kit• QuartusIIWebEditionSoftware

Linear Technology ComponentsLTC3026 1.5AlowinputvoltageVLDOlinearregulatorLTC3855 Dual,multiphasesynchronousDC/DCcontrollerwithdifferential

remotesenseLT3023 Dual100mA,lowdropout,low-noise,micropowerregulatorLTM4628 Dual8A/Single16ADC/DCµModuleLTC3609 32V,6Amonolithicsynchronousstep-downDC/DCconverterLT3083 Adjustable3Asingleresistorlowdropoutregulator

SSRAM

Intel AtomProcessor

FPGA

CPU PowerSystem

AudioCodec

AudioSPI Flash

XDPHeaderHDMIVGA

USBx5

SPI Flash(Bios)

EthernetPHY

(82583V)

SATA

DDR3SO-DIMM

Intel ChipsetTiger Point (NM10)

DMI x2

mSATAPCIe x1USB x1

PCIe x1

PCIe x1

RJ45GigE

PCIe x1

FPGA_READY / ALL_SYS_PWRGD

8Mbytes

DaughterCard

RCA RJ45GigE

EEPROM

SD Card

IRReceiver

RS232

G Sensor

HSMC

GPIODediprogHeader

DediprogHeader

FTDI

FLASH

USB x1

PCIe MiniCard

(Half Size)

x1

mSATACard

(Full Size)Buzzer

7-Seg,LED& Button

SMA EPCS64OSC2X16LCD

SDRAM

VGA

TV Decoder EthernetPHY

VGA Chip

x1

32-bits

EP4CGX150DF31Cedarview(N2600)

USBSwitcher

USBJack

FPGAPower

MAX

CYCLONE IV FPGA

Part Number Price

P0126 CallArrowforpricing

Terasic DE2i-150 Cyclone IV Board

Visit www.arrow.com/alteratools for kit availability.

Page 8: Altera Development Tools 2013

8 Arrow | Altera Development Tools

GEN

ERA

L PU

RPO

SE

TheAlteraCycloneIIIFPGADevelopmentKitcombinesthelargestdensitylow-cost,low-powerFPGAavailablewitharobustsetofmemoriesanduserinterfaces.Insidethisdevelopmentkit,you’llfindeverythingyouneedtobeginCycloneIIIFPGAdevelopment.

Development Kit ContentsAltera device

• CycloneIIIEP3C120F780FPGA

Configuration• On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• 128x64graphicsLCD• 2-linex16-characterLCD

Memory devices• 256MBofdual-channelDDR2SDRAMwithECC• 8MBofsynchronousSRAM• 64MBofflash

Components and interfaces• HSMC— SamtecmateASP-122952-01• USB2.0typeB• 10/100/1000Ethernet

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Linear Technology ComponentsLTM4601 12AµModuleDC/DCsystemLT1963 1.5A,low-noise,fasttransientresponseLDOregulatorLT3481 6V,2A,2.8MHzstep-downswitchingregulatorLT1761 100mA,low-noise,LDOmicropowerregulatorsLTC3418 8A,4MHz,synchronousstep-downregulatorLT1931 1.2MHz/2.2MHzinvertingDC/DCconverterLTC2402 1or2channel24-bitµPowernolatencyA/Dconverter

Part Number Price

DK-DEV-3C120N $1,195.00

Graphics LCD

10/100/1000Ethernet

RJ45Jack

Cyclone III FPGA

USB2.0

PowerMeasure/Display

MAX IICPLD (x32)

8MB SSRAM(x32)

64MB Flash(x16)

256MB DDR2Dual Channel

(x72)

Buttons/Switches

Quad 7-Seg/User LEDs,

LP Filter andAudio Amp

125 MHzXTAL

2.5V CMOS

1.8V CMOS

SMA Input

1.8V SSTL

1.8V

CM

OS

50 MHzXTAL 1.

8V C

MO

S

2.5V CMOS

SMA OutputGraphics LCD

PCSpeakerHeader

2.5V CMOS

HSMC Port A

CM

OS

+ L

VD

S

HSMC Port B

CM

OS

+ L

VD

S

2.5V CMOS

Altera Cyclone III FPGA Development Kit

TheAlteraCycloneIIILSFPGADevelopmentKitcombinesthelargestdensity,low-powerFPGAavailablewithacompletesuiteofsecurityfeaturesimplementedatthesilicon,software,andintellectualproperty(IP)levels.ThesesecurityfeaturesprovidepassiveandactiveprotectionofyourIPfromtampering,reverseengineering,andcounterfeiting.

Development Kit ContentsAltera device

• CycloneIIIEP3CLS200F780C7NFPGA

Configuration• On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer

General user input/output• Variousbuttons,switches,andLEDs• 2-linex16-characterLCD

Memory devices• 2MBsynchronousSRAMfromISSI• 64MBofflashfromIntel• Two512MBDDR2SDRAMs

Components and interfaces• 10/100/1000Ethernet• TwoHSMCconnectors— SamtecmateASP-122952-01• USBtypeB

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTC2418 8-/16-channel24-bitnolatencydeltasigmaA/DconverterLTC3414 4A,4MHz,monolithicstep-downregulatorLT1761 100mA,low-noise,LDOmicropowerregulatorLTC3853 Tripleoutput,multiphasesynchronousstep-downcontrollerLTC3418 8A,4MHz,monolithicsynchronousstep-downregulatorLT3203 500mAlow-noisedualmodestep-upchargepumps

Part Number Price

DK-DEV-3CLS200N $3,495.00

Altera Cyclone III LS FPGA Development Kit

DK-DEV-3CLS200N

512 MbyteDDR2 (x16)

66.6 MHzXTAL EEPROM

(32 kbit I2C)512 Mbyte

DDR2 (x16)

USB MAX II CPLD

x47

JTAG Chain

X1 C

LK IN

(1.8

V)

x2 x47

USB2.0 Embedded

Blaster

Port

A

HS

M

CLKIN x3

x74

CLKIN x2

x74

Cyclone III LS FPGA

Programmable Oscillator

HS

MC

P C P

ort B

CLKIN x3

CLKOUT x3

x2 CLK IN

CLKIN x2

CLKOUT x2

CLK SMAg100 M, 125 M, 156.25 M,

SMA (LVPECL)

x2 CLK IN CLK_SMA

2x16 LCD Con

figI/O

X32 DataX26 Addrx1

6

x13x11

GigabitEthernet

PHY (RGMII)

Push-buttonSwitches,

DIP Switches,LEDs

MAX IICPLD

64 MbyteFlash

2 MbyteSSRAM

x2

Anti-TamperExampleDesign

x

Page 9: Altera Development Tools 2013

9

GEN

ERA

L PUR

POSE

Arrow | Altera Development Tools

MAX II CPLD

Buttons4

LEDs8

50 MHz OSC

JTAGEPM3128FT245

USB Blaster

FT245 USB Power

3.3V/1.5A

x88 GPIO

Acompletedigitaldesignlabatyourfingertips.EquippedwithanAlteraMAXIIEPM2210F324C3deviceandon-boardUSB-Blastercircuit,theMAXIIMicroKitprovidesusersthebestandlargestCPLDdesignresource.MAXIIMicroboardcanalsobeusedasaUSB-Blastercable(JTAGmodeprogrammingonly)byleveragingitson-boardUSB-Blastercircuit.

Development Kit ContentsAltera device

• MAXIIEPM2210F324C3CPLD

Configuration • On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• Fourpush-buttonswitches• OneDIPswitch• EightuserLEDs

Memory devices • None

Components and interfaces• PCIedgeform-factor(3.3Vand5.0Vtolerant)• JTAGconnectors• USBconnector(TypeB)• One3.3V-tolerantexpansion/prototypeheader(41userI/Opins)

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Linear Technology ComponentsLT1963 1.5A,low-noise,fasttransientresponseLDO

Part Number Price

P0305 $69.00

Terasic MAX II Micro Development Kit

MAX II CPLD

User Pushbuttons4

LEDs4

PCIBus

LCD Display16x2 Character

USBInterface

DisplayBus

FIFOBus SRAM

128Kx8DataBus

AddressBus

TemperatureSensor

SPIBus

Reg

Reg

PowerSupply

ADC8 Bits

4 Channels

Amplifier

Power Distribution Controls

PowerIndicator

VCCINTInterrupt

With RampControl

Altera MAX II Development KitTheAlteraMAXIIDevelopmentKitcomeswithacompletedesignenvironment.ThekitenablesyoutoevaluatetheMAXIIfeaturesetorbeginprototypingadesignpriortoreceivingcustomhardware.Itincludesallsoftware,cables,andaccessoriesneededtoensureaneasyandproductiveevaluationoftheMAXIICPLD.

Development Kit ContentsAltera device

• MAXIIEPM1270F256C5NCPLD

Configuration • USB-Blasterdownloadprogrammingcable

General user input/output• 4-channelADconverter(8-bitresolution)• 16x2characterLCDmodule• Temperaturegaugewithserialperipheralinterface(SPI)• Onboardpowermeter• ActiveI/Osensecircuitry• Fouruser-defined,push-buttonswitches• Fouruser-definedLEDs

Memory devices • SRAM(128Kx8-bit)

Components and interfaces• PCIedgeform-factor(3.3Vand5.0Vtolerant)• JTAGconnectors• USBconnector(TypeB)• One3.3V-tolerantexpansion/prototypeheader(41userI/Opins)

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Part Number Price

DK-MAXII-1270N $150.00

Page 10: Altera Development Tools 2013

10

EMB

EDD

ED

Arrow | Altera Development Tools

Arrow BeMicro SDK

10/100

RJ45Jack

8 User LEDs 10/100Ethernet

Cyclone IV FPGA 80 PinEdge Connector

USB

AlteraMAX II

EmbeddedBlaster

EPCS16

Edge Connector

50 MHzMicro-SD Card

Socket

512MbMobile DDR

SDRAM

Buttons &Switches

TemperatureSensor

Arrow | Altera Development Tools

Arrow BeMicro SDK Evaluation Kit

Linear Technology ComponentsLTC3545-1 Triple 800 mA synchronous step-down regulatorLT3085 Adjustable 500 mA single resistor low dropout regulator

Part Number Price

BeMicro-SDK $79.00

Thenewinterfaceboard,BeUSB3.0,utilizesCypress’EZ-USBFX3controllertoimplementthenewSuperSpeedUSB3.0standard.TheinterfaceboardconnectstoaUSB3.0PChostthroughaSuperSpeedMicroBconnector,deliveringadatarateofupto5Gbps,whichis10timesfasterthantheUSB2.0rate.TheBeUSB3.0mustbeusedinconjunctionwithBeMicroSDKsoldseparately.

FeaturesDevice

• CypressFX3–CYUSB3014-BZXISuperSpeedUSBdevice

Configuration • UsedinconjunctionwiththeBeMicroSDKEvaluationKit

General user input/output• BeMicroSDK80-pinmatingconnector• USB3.0MicroBconnector,supportingbothUSB2.0and3.0• UARTinterface

Memory devices • 4MbSPIbootEEPROM

Components and interfaces• SiTime19.2MHzoscillator• JTAGinterfaceconnectorforFX3• ExternalI2Cconnection

Arrow BeUSB 3.0 Daughtercard

Part Number Price

BeUSB3.0 $149.00

(seeaboveforArrowBeMicroSDKinformation)

TheArrowBeMicroSDKenablesquickandeasyevaluationofsoft-coreprocessorsbybothembeddedsoftwaredevelopersandhardwareengineers.ThekitbuildsonthesuccessoftheoriginalBeMicroSDKevaluationkitbyaddingfeaturessuchasmobileDDRmemory,Ethernet,andeventheoptionofusingafilesystembyslottinginamicro-SDcard.TheBeMicroSDKconnectstoaPCviaaUSBconnection,whichisusedforpower,programminganddebug.Arrowhasanumberofreferencedesignsandpre-builtsoftwaretemplatesthatcanbedownloadedforthiskitthatwillhighlightthebenefitsofbuildingembeddedsystemsinFPGAs.

Development Kit ContentsAltera device

• CycloneIVEP4CE22F17C6NFPGA

Configuration• On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer• AlteraEPCSserialconfigurationdevice

General user input/output• LEDs• Pushbuttonsandswitches

Memory devices• 512MBMobileDDRSDRAM• Micro-SDcardsocket

Components and interfaces• 10/100BASE-TEthernetPHYwithRJ-45connector• Temperaturesensor• 80-pinedgeconnector— SamtecmateMEC-140-02-L-D-RA1

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Page 11: Altera Development Tools 2013

Arrow | Altera Development Tools

EMB

EDD

ED

11

TheAlteraEmbeddedSystemDevelopmentKit,CycloneIIIEditionisacompletedevelopmentplatformforprototypingembeddedsystemsonAltera’slow-cost,low-powerFPGAs.Aspartofthisdevelopmentkit,you’llfindeverythingyouneed;hardware,exampleprocessorsystems,softwareapplications,FPGAandsoftwaredevelopmenttoolsanddocumentationtoaccelerateembeddedsystemdevelopment.

Development Kit ContentsAltera device

• AlteraCycloneIIIEP3C120FPGA

Configuration • On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• 128x64graphicsLCDanda2-linex16-characterLCD• 800x480touch-screenLCDdisplay

Memory devices • 256MBofdual-channelDDR2SDRAMwithECC• 8MBofpseudoSRAM• 64MBofflash

Components and interfaces• 10/100/1000Ethernet• 2HSMCconnectors—SamtecmateASP-122952-01,USBconnector• 24-bitCD-qualityaudiocodec• SD/MMCcardconnector• CompositevideoinputandVGAout(10-bitDAC)• RS-232andPS/2mouse/keyboard• USB2.0/Mictordebugdaughtercard

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Linear Technology ComponentsLTM4601 12 A DC/DC µModuleLT3481 36 V, 2 A, 2.8 MHz step-down switching regulatorLT1761 100 mA, low-noise, LDO micropower regulatorsLTC3418 8 A, 4 MHz,monolithic synchronous step-down regLTC2402 1-/2-channel 24-bit µPower no latency convertersLT1963 1.5 A, low-noise, fast transient response LDOLT1931 1.2 MHz/2.2 MHz inverting DC/DC converter

Cyclone III FPGA

Nios IIProcessor

System

MAX II CPLD

BusController

HSM

C C

onne

ctor

Buttons&

LEDs

Flash

Max II CPLD

DDR2SDRAM

24-Bit Audio Codec

10-Bit VGA Video DAC

Video Decoder

I2C EEPROM

PS/2 & RS-232 Ports

LCD Touch panel

10/100 Ethernet PHY

SD Card

Cyclone III FPGAStarter Board

LCD MultimediaDaughtercard

10-Pin Header

ConfigurationController

HSM

C C

onne

ctor

Mictor Connector

USB 2.0 PHY

4-Wire SD Card

Santa Cruz Header

Santa Cruz-USB-Mictor

H2SUM

Part Number Price

DK-EMB-3C120N $1,595.00

Altera Embedded Systems Development Kit, Cyclone III Edition

Page 12: Altera Development Tools 2013

12

EMB

EDD

ED

Arrow | Altera Development Tools

TheNiosIIEmbeddedEvaluationKit,CycloneIIIEditionmakesevaluatingAltera’sembeddedsolutionseasierthanever.Adozendifferentprocessorsystemstargetingthelow-cost,low-powerCycloneIIIFPGAcanbeevaluatedbysimplyusingtheLCDcolortouchpaneltoscrollthroughandloadyourdemoofchoice.TheNiosIIEmbeddedEvaluationKit,CycloneIIIEditioncomeswithacomprehensivesuiteforsoftwaredevelopment—theNiosIIEmbeddedDesignSuite(EDS)—aswellassampleNiosIIprocessorsystemsthatincludefullsourcecode.TheNiosIIEvaluationKit,CycloneIIIEditioniscomposedoftheCycloneIIIFPGAStarterKitandanLCD/VGAHSMCdaughtercard.

Development Kit ContentsAltera device

• AlteraCycloneIIIEP3C25F324FPGA

Configuration • On-boardUSB-BlasterusingQuartusIIProgrammer

General user input/output• 800x480colorLCDtouch-screendisplay• Sixpushbuttonstotal,fourusercontrolled• SevenLEDstotal,fourusercontrolled

Memory devices • 32MBofDDRSDRAM• 1MBofsynchronousSRAM• 16MBofIntelP30/P33flash

Components and interfaces• VGAoutput• CompositeTV-in• Audio-out,audio-in,andmicrophone-in• SecureDigital(SD)card• Serialconnector(RS-232DB9port)• PS/2• 24-bitCD-qualityaudioCODECwithline-in,line-out,andmicrophone-injacks• 10/100Ethernetphysicallayer/mediaaccesscontrol(PHY/MAC)

Quartus II design software included with kit• QuartusIIWebEditionsoftware• ModelSim-AlteraWebEdition• NiosIIEmbeddedDesignSuite• MicroC/OS-IIreal-timeoperatingsystemevaluation• NiosIIC-to-Hardwareaccelerationcompilerevaluation• NicheStackTCP/IPNetworkStack-NiosIIEditionevaluation• MegaCore®IPLibrary(libraryofintellectualpropertycores)

Linear Technology ComponentsLTM4603 6 A µModule DC/DC systemLTC3413 3 A, 2 MHz regulator for memory terminationLT1959 500 kHz step-down switching regulatorLT1117 800 mA low dropout positive regulatorLT1963 1.5 A, low-noise, fast transient response LDOLT3461 1.3 MHz/3 MHz step-up DC/DC converter

Part Number Price

DK-N2EVAL-3C25N $499.00

Altera Nios II Embedded Evaluation Kit, Cyclone III Edition

Cyclone III FPGA

Nios IIProcessor

System

MAX II CPLD

BusController

HS

MC

Con

nect

or

Buttons&

LEDs

CFI Flash

SSRAM

DDR SDRAM24-Bit Audio Codec

10-Bit VGA Video DAC

Video Decoder

I2C EEPROM

PS/2 & RS-232 Ports

Touch panel Module

10/100 Ethernet PHY

SD Card

Cyclone III FPGAStarter Board

LCD MultimediaDaughtercard

Page 13: Altera Development Tools 2013

13

I/O IN

TERC

ON

NEC

T

Arrow | Altera Development ToolsArrow | Altera Development Tools 13

Part Number Price

DK-DEV-5SGXEA7N $6,995.00

TheAlteraStratixVGXFPGADevelopmentKitprovidesacompletedesignenvironmentthatincludesallthehardwareandsoftwareyouneedtobegindevelopingFPGAdesignsimmediately.

Development Kit ContentsAltera device

• StratixVGX5SGXEA7K2F40C2NFPGA

Configuration• Fastpassiveparallel(FPP)configurationviaMAX®IIdeviceandflashmemory• On-boardUSB-Blaster™IIcable

General user input/output• LEDs• LCDdisplay• PushbuttonandDIPswitches

Memory devices• DDR3SDRAM(1,152MB,x72-bitwide)• QDRII+SRAM(4.5MB,2Mbx18-bitwide)• (FootprintcompatibletoQDRII4Mbx18-bitwide)

Components and interfaces• PCIex8edgeconnector• TwoHSMCconnectors• SMBforserialdigitalinterface(SDI)inputandoutput• QSFPopticalcage• 10/100/1000MbpsEthernetPHY(SGMII)withRJ-45(copper)connector

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTC3880 Dual output PolyPhase step-down DC/DC controller

with digital power system managementLTM4614 Dual 4 A per channel low VIN DC/DC µModule regulatorLT3080 Adjustable 1.1 A single resistor low dropout regulatorLTM4628 Dual 8 A or Single 16 A DC/DC µModule regulatorLTC3855 Dual, multiphase synchronous DC/DC controller with

differential remote sense

Altera Stratix V GX FPGA Development Kit

Page 14: Altera Development Tools 2013

14

I/O IN

TER

CO

NN

ECT

Arrow | Altera Development Tools

Part Number Price

DK-SI-5SGXEA7N $4,995.00

TheAlteraStratixVGXTransceiverSignalIntegrity(SI)DevelopmentKitprovidesaplatformforelectricalcompliancetestingandinteroperabilityanalysis.Theaccessibilitytomultiplechannelsallowsforreal-worldanalysisasimplementedinthesystemwithtransceiverchannelsavailablethroughSMAandpopularbackplaneconnectors.

Development Kit ContentsAltera device

• StratixVGX5SGXEA7N2F40C2NFPGA

Configuration • Fastpassiveparallel(FPP)configurationviaMAX®IIdeviceandflashmemory• On-boardUSB-BlasterTM

General user input/output • 10/100/1000MbpsEthernetPHY(RGMII)withRJ-45(copper)connector• 16x2characterLCD• One8-postiondipswitch• EightuserLEDs• Fouruserpushbuttons

Memory devices • 128MBsyncflashmemory(primarilytostoreFPGAconfigurations)

Components and interfaces • Four28GbpsTX/RXchannelstoMMPXconnectors(forStratixVGTFPGAonly)• Seven12.5GbpsTX/RXchannelstoSMAconnectors• One12.5GbpsTX/RXchanneltoSFP+cage• One12.5GbpsTX/RXchanneltoXFPcage• Five12.5GbpsTX/RXchannelstoMolexbackplaneconnectors• Seven12.5GbpsTX/RXchannelstoAmphenolbackplaneconnectors• Seven12.5GbpsTX/RXchannelstoTycobackplaneconnectors• USBtype-Bconnector• GigabitEthernetportandRJ-45jack• LCDheader

Quartus II design software included with kit• QuartusIISubscrptionEditionSoftwareisnotincludedandisnotrequired

forkitevaluation

Linear Technology ComponentsLTC3855 Dual, multiphase synchronous DC/DC controller with

differential remote senseLTM4608 8 A, low VIN DC/DC µModule with tracking, margining,

multiphase and frequency synchronizationLTC3026 1.5 A low input voltage VLDO linear regulatorLTC2978 Octal digital power supply manager with EEPROM

Altera Transceiver Signal Integrity Kit, Stratix V GX Edition

Altera 100G Development Kit, Stratix V Edition

Part Number Price

DK-100G-5SGXEA7N $24,995.00

TheAltera100GDevelopmentKit,StratixVGXEditionenablesathoroughevaluationof100Gdesigns.Itsupports10G/40Gand100Glineinterfacesthroughopticalmodulesandtheabilitytoverifyavarietyofhigh-speedprotocols.

Development Kit ContentsAltera device

• StratixVGX5SGXEA7N2F45C2NFPGA

Configuration • FastPassiveParallel(FPP)configuration• 1Gbflashstoragefortwoconfigurationimages(factoryanduser)• On-boardUSB-Blaster™IIcableforusewiththeQuartus®IIProgrammer,

Nios®IIsoftwareandSystemConsole• JTAGheaderforexternalUSB-Blastercable

General user input/output • Fouruserpushbuttons• TwoDIPswitches• EightuserLEDs• Two-linecharacterLCD• TenconfigurationstatusLEDs

Memory devices • Twelve2GbDDR3SDRAM• Two72MbQDRIISRAM

Components and interfaces • 10/100/1000EthernetPHYandRJ-45jack• TwotransceiverchannelsforSMAinterface• FourtransceiverchannelsforSFP+interface• EighttransceiverchannelsforQSFPinterface• 10transceiverchannelsforCFPinterface• 24transceiverchannelsforInterlakeninterface

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTM4627 15 A DC/DC µModule regulatorLTM4601 12 A µModule regulator with PLL, output tracking and

marginingLT3070 5 A, low-noise, programmable output, 85 mV dropout linear

regulatorLTC3026 1.5 A low input voltage VLDO linear regulatorLTM4600 10 A high-efficiency DC/DC µModule

Page 15: Altera Development Tools 2013

15

I/O IN

TERC

ON

NEC

T

Arrow | Altera Development Tools

Stratix IV GX FPGA

LEDs

ButtonSwitches

XCVRSMA Out

2MBQDRII + (TOP1)

128MBDDR3 (TOP)

2MBQDR II + (TOP0)

HSMC Port BHSMC Port AUSB2.0

AlteraMAX II

EmbeddedBlaster

SDITX/RX

512MBDDR3 (BOT)

REFCLKSMA in

TRIGSMA Out

GbEPHY

HDMITX

Oscillators:50M, 100M, 125M148M, 155M, 156M

PCIe EdgeConnector

MAX IICPLD

64MBFLASH

2MBSSRAM

TheAlteraStratixIVGXFPGADevelopmentKitdeliversacompletesystem-leveldesignenvironmentthatincludesboththehardwareandsoftwareneededtoimmediatelybegindevelopingFPGAdesigns.WiththisPCI-SIG-compliantboardandaone-yearlicenseforQuartusIIdesignsoftware,youcandevelopandtestPCIExpress2.0(uptox8lane)endpointandrootpointdesigns.DevelopandtestmemorysubsystemsconsistingofDDR3and/orQDRII+memoryoraddotherStratixIVGXsupportedprotocolinterfacessuchas10-GigabitEthernet,CPRI,OBSAI,SAS/SATA,SerialRapidIO,andmanyothersthroughthehigh-speedmezzanineconnectors(HSMC).

Development Kit ContentsAltera device

• StratixIVGXEP4SGX230KF40C2NFPGA

Configuration • Fastpassiveparallel(FPP)configurationviaaMAXIICPLDEPM2210

andflashmemory• On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer

General user input/output • LEDs• LCDdisplay• PushbuttonandDIPswitches

Memory devices • 512MBDDR3SDRAMwitha64-bitdatabus• 128MBDDR3SDRAMwitha16-bitdatabus• Two4MBQDRII+SRAMswith18-bitdatabuses• 64MBsyncflashand2MBSSRAM

Components and interfaces • USB2.0• PCIExpressx8edgeconnector• 10/100/1000BASE-TEthernetPHYwithRJ-45connector• TwoHSMCconnectors— SamtecmateASP-122952-01• HDMIvideooutput• 3GSDIvideoinputandoutput• Powermeasurementcircuitry• Temperaturemeasurementcircuitry

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTM4601 12ADC/DCµModulewithPLL,outputtrackingLTM4614 Dual4AperchanneloutputµModuleLTM8021 36VIN,500mAstep-downDC/DCµModuleLT3080-1 Adjustable1.1AsingleresistorLDOLTC3025-1 500mAµPowerVLDOlinearregulatorLTC3727-1 2-phasesynchronousstep-downregulatorLT3010 50mA,3Vto80VlowdropoutµPowerregulatorLTC3414 4A,4MHz,monolithicstep-downregulatorLTC2418 8-/16-channel24-bitnolatencydeltasigmaA/D

converterLTC6902 MultiphaseoscillatorwithspreadspectrumLTC4357 Positivehigh-voltageidealdiodecontrollerLTC4358 5AidealdiodeLTC4352 Low-voltageidealdiodecontrollerwithmonitoringLTC4151 High-voltageI2Ccurrentandvoltagemonitor

Altera Stratix IV GX FPGA Development Kit

Part Number Price

DK-DEV-4SGX230N $4,495.00

Page 16: Altera Development Tools 2013

16

I/O IN

TER

CO

NN

ECT

Arrow | Altera Development Tools

TheTransceiverSIDevelopmentKit,StratixIVGXEditionenablesathoroughevaluationofStratixIVGXtransceiverinteroperabilityandserializer/deserializer(SERDES)signalintegrity(SI)andperformancefrom600Mbpsto8.5Gbps.

Development Kit ContentsAltera device

• StratixIVGXEP4SGX230KF40C2NFPGA

Configuration • FastPassiveParallel(FPP)configurationviaaMAXIICPLD

EPM2210andflashmemory• On-boardUSB-BlasterTMdownloadcableusingQuartusIIProgrammer

General user input/output • LEDs,buttons,DIPswitchesandLCDdisplay

Memory devices • 64MBSyncflash

Components and interfaces • EightfullduplextransceiverchannelsroutedtoSMAconnectors,

includinga“GoldenChannel”routedonamicro-strip,sixstrip-line channelsfromthesametransceiverblock,allthetracelengthsare matchedacrosschannelsanda“BackplaneChannel”~34’’trace lengthontransmitand~6”tracelengthonreceivetosimulatethedegradationassociatedwithbackplanesorlongtraces

• 10/100/1000BASE-TEthernetPHY

Linear Technology ComponentsLTM4601 12 A DC/DC µModule with PLL, output trackingLTM4616 Dual 8 A per channel low VIN DC/DC µModuleLT3080 LDO adjustable 1.1 A single resistor LTC3025 LDO 500 mA µPower VLDO linear regulatorLT1761 LDO 100 mA, low noiseLTC2418 8- or 16-channel 24-bit no latency delta sigma A/D converter

Altera Transceiver Signal Integrity Kit, Stratix IV GX Edition

Part Number Price

DK-SI-4SGX230N $2,995.00

DK-SI-4SGX230N simplified

AlteraPower

MeasurementTemperatureMeasurement

AlteraMAX II

EmbeddedBlaster

ButtonsAnd

Switches

Stratix IV GX FPGALEDs

T i C t d

16 Character 2 Line LCD

Transceivers ConnectedTo SMA Connectors

MAX II 512MBGigabit Eth t PHY CPLD FLASHEthernet PHY

TheDE5-NetStratixVFPGADevelopmentKitisperfectlysuitedforextremehighpoweredneedsincludingcloudcomputing,highfrequencytrading,andsecuritynetworks.Featuringanultra-highbandwidthmemoryarchitecture,low-latencySFP+interfaces,expandablememory,andPCIecommunication,theDE5-Netallowsformaximumflexibilityintermsoflow-power,speed,andperformance.

Development Kit ContentsAltera device

• StratixVGX5SGXEA7FPGA

Configuration • On-boardUSB-BlasterIIusingQuartusIIProgrammer

General user input/output • FourLEDsandoneLEDArray• Fourpush-buttons• Fourslideswitches• Two7-segmentdisplays

Memory devices • TwoDDR3SO-DIMMslots• 32MBQDRII+SRAM• 256MBflash

Components and interfaces • FourSFP+connectors• FourSATAports• PCIExpress(PCIe)x8edgeconnector• OneRS422transceiverwithRJ45connector

Quartus II design software included with kit• QuartusIIWebEditionSoftware

Linear Technology ComponentsLTM4601 12A µModule regulator with PLL, output tracking and marginingLT3080 Adjustable 1.1 A single resistor low dropout regulatorLTC3025 300 mA micropower VLDO linear regulator LTC4357 Positive high-voltage ideal diode controllerLTC2855 3.3 V 20 Mbps RS485/RS422 transceiver with integrated

switchable terminationLTM4628 Dual 8 A/Single 16 A DC/DC µModule

Terasic DE5-Net Stratix V Board

Part Number Price

P0122 $8,200.00

STRATIX V GX FPGA

Visit www.arrow.com/alteratools for kit availability.

Page 17: Altera Development Tools 2013

17

I/O IN

TERC

ON

NEC

T

Arrow | Altera Development Tools

Altera Arria V GT FPGA Development Kit

Part Number Price

DK-DEV-5AGTD7N $3,995.00

TheAlteraArriaVGTFPGADevelopmentKitprovidesacompletedesignenvironmentthatincludesallthehardwareandsoftwarethatyouneedtodevelopfullFPGAdesignsandtestthemwithinasystemenvironment.ThedevelopmentkitisRoHScompliant.

Development Kit ContentsAltera device

• ArriaVGT5AGTD7H3F40I3NFPGA

Configuration • Fastpassiveparallel(FPP)configurationviaMAX®IIdeviceandflashmemory• On-boardUSB-Blaster™IIcable

General user input/output • ThreeUserPushbuttons(perFPGA)• Eightdipswitches(perFPGA)• SixteenUserLEDs(perFPGA)• 16x2CharacterLCD

Memory devices • DDR3SDRAM(1152Mb,x72-bitwide)• DDR3SDRAM(1024Mb,x64+B132-bitwide)• QDRII+SRAM(4.5MB,1Mbx36-bitwide)

Components and interfaces • PCIex8edgeconnector• TwoHSMCconnectors• OneFMCconnector• Bullseyeconnector• SMBforserialdigitalinterface(SDI)inputandoutput• TwoSFP+opticalcages• 10/100/1000MbpsEthernetPHY(SGMII)withRJ-45(copper)connector

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTC3880 Dual output PolyPhase step-down DC/DC controller with

digital power system managementLTM4628 Dual 8 A or single 16 A DC/DC µModule regulatorLTC3026 1.5 A low input voltage VLDO linear regulatorLTM4618 6 A DC/DC µModule regulator with tracking and frequency

synchronizationLTC3855 Dual, multiphase synchronous DC/DC controller with

differential remote senseLTM4601 12 A µModule regulator with PLL, output tracking and

margining

Visit www.arrow.com/alteratools for kit availability.

Page 18: Altera Development Tools 2013

18

I/O IN

TER

CO

NN

ECT

Arrow | Altera Development Tools

TheAlteraArriaVGXStarterKitprovidesacompletedesignenvironmentthatincludesallthehardwareandsoftwareyouneedtodevelopcost-sensitiveFPGAapplicationsimmediately.ThedevelopmentkitisRoHScompliant.

Development Kit ContentsAltera device

• ArriaVGX5AGXFB3H4F35C4NFPGA

Configuration• Fastpassiveparallel(FPP)x16modethroughparallelflashloader(PFL)• EmbeddedUSB-Blaster™II:MAXIIEPM570GM100C4N

General user input/output• LCDcharacter• x4DIPswitch• x3PB• x4LED

Memory devices• MicronMT41J64M16JT-15EDDR3SDRAM8MX16X8• SSRAM:1024kx18,18MbISSIIS61VPS102418A,sharedaddressordata

withflash

Components and interfaces• PCIExpress• 10/100/1000Ethernet• HSMC• SDIvideooutput/input• HDMIvideooutput

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTC3880 Dual output PolyPhase step-down DC/DC controller

with digital power system managementLTC3633 Dual-channel 3 A, 15 V monolithic synchronous

step-down regulatorLTC3025 300 mA micropower VLDO linear regulatorLTC3605 15 V, 5 A synchronous step-down regulator

Altera Arria V GX Starter Kit

Part Number Price

DK-START-5AGXB3N $850.00

Visit www.arrow.com/alteratools for kit availability.

Page 19: Altera Development Tools 2013

19

I/O IN

TERC

ON

NEC

T

Arrow | Altera Development Tools

Part Number Price

DK-DEV-5CGXC7NES $1,199.00

TheAlteraCycloneVGXFPGADevelopmentKitprovidesacomprehensive,best-in-classdesignenvironmenttoquicklybegindevelopinglow-costandlow-powerFPGAsystem-leveldesigns.Thiskithelpstoshortenyourproduct’sdevelopmentcyclesothatyoucanmeetyourproduct’stimetomarketandproductionmilestones.

Development Kit ContentsAltera device

• CycloneVGX5CGXFC7D6F31C7NESFPGA

Configuration• Fastpassiveparallel(FPP)configurationviaMAX®Vdeviceandflashmemory• On-boardUSB-BlasterTMIIcable

General user input/output• 10/100/1000MbpsEthernetPHY(SGMII)withRJ-45(copper)connector• 16x2characterLCD• Fouruserdualin-linepackage(DIP)switch• FouruserLEDs• Threeuserpushbuttons

Memory devices• DDR3SDRAM(1.28Gb,2x40-bitwideinterfaces)

Components and interfaces• PCIex4edgeconnector• OneHSMCconnector• SMBforserialdigitalinterface(SDI)inputandoutput• 10/100/1000MbpsEthernetPHY(RGMII)withRJ-45(copper)connector

Quartus II design software included with kit• QuartusIIWebEditionSoftware

Linear Technology ComponentsLTC3855 Dual, multiphase synchronous DC/DC controller with

differential remote senseLTC3605 1.5 V, 5 A synchronous step-down regulatorLTC3603 2.5 A, 15 V monolithic synchronous step-down regulatorLTC4352 Low voltage ideal diode controller with monitoring

Altera Cyclone V GX Development Kit

Visit www.arrow.com/alteratools for kit availability.

Page 20: Altera Development Tools 2013

20

I/O IN

TER

CO

NN

ECT

Arrow | Altera Development Tools

TheAlteraCycloneIVFPGAfamilyextendstheCycloneFPGAseriesleadershipinprovidingthemarket’slowestcost,lowestpowerFPGAs,includingatransceivervariant.Idealforhigh-volume,cost-sensitiveapplications,CycloneIVFPGAsenableyoutomeetincreasingbandwidthrequirementswhileloweringcosts.TheAlteraCycloneIVGXTransceiverStarterKitoffersyouaplatformfordevelopingtransceiverI/O-basedFPGAdesigns.ThiskitprovidescompletehardwareandsoftwaretoenableyoutodevelopyourFPGAdesign,measureFPGAstaticanddynamicpowerconsumption,testsignalqualityoftheFPGAstransceiverI/O(upto2.5Gbps)anddevelopandtestPCIExpress1.0endpointx1lanedesigns(~250MBpersecondtransferrate).

Development Kit ContentsAltera device

• CycloneIVGXEP4CGX15BF14C8NFPGA

Configuration• MAXIICPLDEPM2210SystemControllerenablingpassive

serial(PS)configurationfromflash• On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer• JTAGheaderforexternalUSBBlaster• AlteraEPCSserialconfigurationdevice

General user input/output• LEDs• LCDdisplay• Pushbuttons

Memory devices• 16MBflash• 2MBsynchronousSRAM

Components and interfaces• PCIExpressedgeconnector• 10/100/1000BASE-TEthernetPHYwithRJ-45connectororonetransceiver

toSMAconnectors(requiresaminorboardmodification)• On-boardpowermeasurementcircuitry

Quartus II design software included with kit• QuartusIIWebEditionsoftware

Linear Technology ComponentsLTC2418 8-/16-channel 24-bit no latency delta sigma A/D

converterLT3510 Dual tracking 2 A step-down switching regulatorLT3027 Dual 100 mA, low dropout, low-noise, micropower

regulatorLTC4412 Low loss PowerPath controllerLT3023 Dual 100 mA, low dropout, low-noise, micropower

regulator

Altera Cyclone IV GX Transceiver Starter Kit

Part Number Price

DK-START-4CGX15N $395.00

DK-START-4CGX15N

MAX IIEPM2210

USB-Blaster

Push-buttonSwitches User LEDs

x4x3

2x16 LCDEPM2210

CPLDUSB2.0

MAX IIEPM240

CPLD

JTAG Chain

EPCS

x418 MbitSSRAM

x47x9

Cyclone IV GX FPGA

GigabitEthernet

PHY (SGMII)

x4

2nd Channel

128 MBitFlash

Cyclone IV GX FPGA

x1 Edgex1

1st Channel

Clock SMA

SMA

SMA

TX/RX SMAs

g

Push-buttonSwitchesUser LEDs

x4 x3

Page 21: Altera Development Tools 2013

21

DSP

Arrow | Altera Development Tools

Part Number Price

DK-DEV-5SGSMD5N $6,995.00

TheDSPDevelopmentKit,StratixVEditionprovidesacompletedesignenvironmentthatincludesallthehardwareandsoftwareyouneedtobegindevelopingDSP-intensiveFPGAdesignsimmediately.

Development Kit ContentsAltera device

• StratixVGS5SGSMD5K2F40C2NFPGA

Configuration • Fastpassiveparallel(FPP)configurationviaMAX®Vdeviceandflashmemory• On-boardUSB-Blaster™IIcable

General user input/output • 10/100/1000MbpsEthernetPHY(SGMII)withRJ-45(copper)connector• 16x2characterLCD• One8-positiondualin-linepackage(DIP)switch• SixteenuserLEDs• Threeuserpushbuttons

Memory devices • DDR3SDRAM(1,152MB,x72-bitwide)• QDRII+SRAM(4.5MB,2Mbx18-bitwide)• FootprintcompatibletoQDRII4Mbx18-bitwide• RLDRAMII(72MBCIORLDRAMIIwithan18-bitdatabus)

Components and interfaces • PCIex8edgeconnector• TwoHSMCconnectors• SMBforserialdigitalinterface(SDI)inputandoutput• QSFPopticalcage• 10/100/1000MbpsEthernetPHY(SGMII)withRJ-45(copper)connector

Quartus II design software included with kit • QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTC3880 DualoutputPolyPhasestep-downDC/DCcontrollerwith

digitalpowersystemmanagementLTM4614 Dual4AperchannellowVINDC/DCµModuleregulatorLT3080 Adjustable1.1AsingleresistorlowdropoutregulatorLTM4628 Dual8Aorsingle16ADC/DCµModuleregulatorLTC3855 Dual,multiphasesynchronousDC/DCcontrollerwith

differentialremotesense

Altera DSP Development Kit, Stratix V Edition

Page 22: Altera Development Tools 2013

22

DSP

Arrow | Altera Development Tools

DK-Video-4SGX230N

SDITX/RX

512MBDDR3 (BOT)

REFCLKSMA in

TRIGSMA Out

GbEPHY

HDMITX

USB2.0

TX1 DATA

TX2 DATA

SDI TX1

SDI TX2

DRV 1

DRV 2( )

AlteraMAX II

E b dd d TX AES Passive

RX1 DATA

RX2 DATA

SDI RX1

SDI RX2

AES TX1

EQ 1

EQ 2

EmbeddedBlaster

Oscillators:50M, 100M, 125M

TX

RX

Circuit

AES PassiveCircuit

AES Passive

AES TX2

HSM

PCIe EdgeConnector

Stratix IV GX FPGA

148M, 155M, 156M TX

RX

HS

MC

Port A

AES PassiveCircuit

AES PassiveCircuit

AES RX1

AES RX2

HSYNC

MC

Port B

MAX IICPLD

B tt

Video SyncSeparator

Video In

HSYNC

VSYNC

FRAME

EXT CLKIN

PLL Ref/Rec CLK SDI CLK

LEDs XCVRSMA Out

2MBQDRII + (TOP1)

128MB

64MBFLASH

2MBSSRAM

ButtonSwitches

PLL

Buffer

Up

PLL Ref/Rec CLK

148.5 MHz

SDI CLKOUT (P)

SDI CLKOUT (N)

128MBDDR3 (TOP)

2MBQDR II + (TOP0)

SSRAM VCXOAES PassiveCircuit

pDown XTAL

16.384 MHz

AES CLKSMA

AES CLK

TheAudioVideoDevelopmentKit,StratixIVGXEdition,deliversacompletevideoandimageprocessingdevelopmentenvironmentfordesignengineers.Thekitfacilitatestheentiredesignprocess,fromdesignconceptionthroughhardwareimplementation.ThiskitincludestheStratixIVEP4SGX230FPGAdevelopmentboard,thetransceiverserialdigitalinterface(SDI)high-speedmezzaninecard(HSMC),QuartusIIdevelopmentsoftware,evaluationintellectualproperty(IP)cores—includingtheVideoandImageProcessingSuite—theserialdigitalinterface(SDI)referencedesign,aswellaspowersupplies,cables,anddocumentation.

Development Kit ContentsAltera device

• StratixIVGXEP4SGX230KF40C2NFPGA

Configuration • Fastpassiveparallel(FPP)configurationviaaMAXIICPLD

andflashmemory• On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer

General user input/output• 8LEDs• LCDdisplay• PushbuttonandDIPswitches

Memory devices • 512MBDDR3SDRAMwitha64-bitdatabus• 128MBDDR3SDRAMwitha16-bitdatabus• Two4MBQDRII+SRAMswith18-bitdatabuses• 64MBsyncflashand2MBSSRAMexternalmemory

Components and interfaces• USB2.0• PCIExpressx8edgeconnector• 10/100/1000BASE-TEthernetPHYwithRJ-45connector• TwoHSMCconnectors— SamtecmateASP-122952-01• HDMIvideooutputontheFPGAhostboard• Powermeasurementcircuitry• Temperaturemeasurementcircuitry• One3G-SDIvideoinputandoutputontheFPGAhostboard• TwoadditionalSDIinputsandoutputsfortriple-rateSDI

supporting3G,andhigh-definition(HD)andstandard-definition (SD)standardsontheHSMC

• TwoAESinputsandoutputsontheHSMC

Quartus II design software included with kit• QuartusIIDevelopmentKitEditionSoftware,one-yearlicense

Linear Technology ComponentsLTM4601 12 A DC/DC µModule with PLL, output trackingLTM4614 Dual 4A per channel output µModuleLTM8021 36 VIN, 500 mA step-down DC/DC µModuleLT3080-1 Adjustable 1.1 A single resistor LDOLTC3025-1 500 mA µPower VLDO linear regulatorLTC3727-1 2-phase synchronous step-down regulatorLT3010 50 mA, 3 V to 80 V low dropout µPower regulatorLTC3414 4 A, 4 MHz, monolithic step-down regulatorLTC2418 8-/16-channel 24-bit no latency delta sigma A/D converterLTC6902 Multiphase oscillator with spread spectrumLTC4357 Positive high-voltage ideal diode controllerLTC4358 5 A ideal diodeLTC4352 Low-voltage ideal diode controller with monitoringLTC4151 High-voltage I2C current and voltage monitorLT3480 36 V, 2 A, 2.4 MHz step-down switching regulator with 70 µA quiescent currentLTC285x 3.3 V 20 Mbps RS485/RS422 transceiver

Altera Audio Video Development Kit, Stratix IV GX Edition

Part Number Price

DK-VIDEO-4SGX230N $4,995.00

Page 23: Altera Development Tools 2013

23

DSP

Arrow | Altera Development Tools

TheDSPDevelopmentKit,CycloneIIIEditiondeliversacompleteDSPdevelopmentenvironmentfordesignengineers.TheDSPDevelopmentKit,CycloneIIIEditionincludestheCycloneIIIdevelopmentboard,theDataConversionHSMC,theDSPBuilderdevelopmenttool,QuartusIIdevelopmentsoftware,MATLAB/Simulinkevaluationsoftware,evaluationIPcores,designexamples,powersupplies,cables,anddocumentation.

Development Kit ContentsAltera device

• CycloneIIIEP3C120F780FPGA

Configuration • On-boardUSB-BlasterdownloadcableusingQuartusIIProgrammer

General user input/output• 128x64graphicsLCD• 2-linex16-characterLCD• Buttons,dip-switchesandLEDs• 7-segmentdisplay• Speakerheader

Memory devices • 256MBofdual-channelDDR2SDRAMwithECC• 8MBofsynchronousSRAM• 64MBofflash

Components and interfaces• 10/100/1000Ethernet(RGMII)• USB2.0(TypeB)• TwoHSMCconnectors— SamtecmateASP-122952-01• Dual14-bit,150-MSPSA/Dconverter• Dual14-bit,250-MSPSD/Aconverter• Audioin/out/mic

Quartus II design software included with kit• QuartusIIWebEditionsoftware• MATLAB/Simulinkevaluationsoftware

Linear Technology ComponentsLTM4601 12 A µModule DC/DC system LT1963 1.5 A, low-noise, fast transient response LDOLT3481 6 V, 2 A, 2.8 MHz step-down switching regulatorLT1761 100 mA, low-noise, LDO micropower regulatorLTC3418 8 A, 4 MHz, synchronous step-down regulatorLT1931 1.2 MHz/2.2 MHz inverting DC/DC converterLTC2402 1- or 2-channel 24-bit µPower delta s igma A/D converter

Part Number Price

DK-DSP-3C120N $1,595.00

Altera DSP Development Kit, Cyclone III Edition

Graphics LCD10/100/1000

Ethernet

RJ45Jack

Cyclone III FPGA

USB2.0

PowerMeasure/Display

MAX IIDevice (x32)

4MB SSRAM(x32)

64MB Flash(x16)

256MB DDR2(x72)

Buttons/Switches

Quad 7-Seg/User LEDs,

LP Filter andAudio Amp

160 PinHSMCConn

14 Bit – 250 Ms/sDigital to Analog

Converter

14 Bit – 250 Ms/sDigital to Analog

Converter

Stereo AudioCodec

14 Bit – 250 Ms/sDigital to Analog

Converter

14 Bit – 250 Ms/sDigital to Analog

Converter

SICKT

SICKT

SICKT

SICKT

SICKT

SMA

SMA

SMA

SMA

SMA

DATA

CNTL

ADA_DCO

DATA

CNTL

ADB_DCO

Analog to DigitalChannel B

Analog to DigitalChannel A

DATA

CNTL

DATA

DATA

Digital to Analog Channel A

Digital to Analog Channel B

External Clock OutputCLK_ACLK_B

ADA_DCOADB_DCO

2.5V

CM

OS125 MHz

XTAL

2.5V

CM

OS

2.5V CMOS

1.8V CMOS

SMA Input

1.8V CMOS

1.8V

CM

OS

50 MHzXTAL 1.

8V C

MO

S

2.5V

CM

OS

1/8”PhoneJack

1/8”PhoneJack

1/8”PhoneJack

Line inMike inLine out

Headphone

CLK_A

CLK_B

XT_CLK

1/8”PhoneJack

SMAOutput

Graphics LCD

PCSpeakerHeader

DK-DSP-3C120N

Page 24: Altera Development Tools 2013

24

HSM

C D

AU

GH

TER

CA

RD

S

Arrow | Altera Development Tools

Check out the complete list of Development Board Daughtercards at:

http://www.altera.com/products/devkits/kit-daughter_boards.jsp

Review the HSMC Specification at:

http://www.altera.com/literature/ds/hsmc_spec.pdf

HSMC Daughtercards from Terasic

GPIO HSMC Card DescriptionTheGPIOHSMCdaughterboardisdesignedtofanouttheHighSpeedMezzanineconnector(HSMC)I/Ostothree40-pinexpansionprototypeconnectors,whicharecompatiblewithAlteraDE2/DE1/DE0expansionheaders.UserscanconnectuptothreeAlteraDE2/DE1/DE0boards(orassociateddaughtercards)ontoaHSMC-interfacedhostboardviatheGPIOHSMCdaughterboard.

Features• ConvertsHSMCinterfaceI/Otostandard40-pin

expansionconnectors.• AllowsuserstoconnectAlteraDE2/DE1boardsto

aHSMC-interfacedhostboard.• Providestestpointsforsignalmeasurement.

Price: $55 Part Number: P0024

Ethernet HSMC Card

DescriptionTheTerasicEthernetHSMCCardisaGigabitEthernettransceiverwithaHighSpeedMezzanineConnector(HSMC)interface.Itoffersnetworktransfersofupto1GbpswiththehostboardusingaHSMCconnector.Also,itprovidesafullyintegratedEthernetsolutionenablingfastimplementationdesign,shorteningdevelopmenttimes,andallowsyoutofocusonthecorefunctionsofthesystemdesign.Lastly,theEthernetHSMCCardcanbeconnectedanyHSMC/HSTCinterfaces.

Features• OneHSMCconnectorforinterfaceconversion,

whichisfullycompatiblewithCycloneIIIStarterKitandDE3hostboards

• Dual-PortIntegrated10/100/1000GigabitEthernettransceiverSupportsGMII/MII/RGMII/TBIMACinterfacesfordirectconnectiontoaMAC/Switchport

• Dynamicallyconfigurabletosupport10Mbps,100Mbps(FastEthernet)or1000Mbps(GigabitEthernet)operation

• Requiresa25MHzreferenceclockdrivenfromadedicatedoscillator

• CompleteReferenceDesign

Price: $249 Part Number: P0038

DVI HSMC Card DescriptionTheTerasicDVIHSMCisaDVItransmitter/receiverboardwithaHighSpeedMezzanineConnector(HSMC)interface.ItisdesignedtoallowdeveloperstoaccesshighqualityandhighresolutionvideosignalsthatsupportUXGAResolution(PixelRatesupto165MHz).ItgivestheflexibilityrequiredinhighresolutionimageprocessingsystemsbycombiningboththeDVItransmitterandreceiverontothesamecard.Lastly,theDVIHSMCdaughterboardcanbeconnectedtoanyHSMC/HSTCinterfacehostboards.

Features• DigitalVisualInterface(DVI)Specification

Compliant• OneDVItransmitterwithsingletransmittingport

(SupportsresolutionsfromVGAtoUXGAat25MHz–165MHzPixelRates)

• OneDVIreceiverwithsinglereceivingport(SupportsUXGAResolutionatOutputPixelRatesUpto165MHz)

Price: $219 Part Number: P0017

SATA/SAS HSMC Card DescriptionTheSATA/SASDaughterCardallowsuserstoaccessstoragedevicesthroughtheSATA/SASprotocolsusingStratixIVGX,StratixIIGX,ArriaIIGX,andCycloneIVGXdevkitswithaHigh-SpeedMezzanineConnector(HSMC).Thiscardfeatures4single-channelSATAsignalplugconnectors,one4-channelSATA/SASconnector,andoneATXstyleoutputpowerconnectorforharddrives.WiththeSATAinterface,yourFPGAsystemcanbeusedforfunctionality,specification-compliance,interoperability,andperformancetesting.

Features• HSMCinterface• SATA/SASSinglelaneinterface• SATA/SAS4laneinterface• ATXpower• 8KbI2CEEPROM• Differentialclockingfor150MHzand300MHz

referenceclocksthroughtheHSMCorSMAconnectors

Price: $550 Part Number: P0053

Dual XAUI to SFP+ HSMC Card DescriptionThisboardisintendedtobeusedbycustomerstoimplementanddesign10GEthernetsystemsbasedontransceiverhostboardsthatsupportXAUIinterfaces.ThismezzaninecardisintendedtobepartofanopenlysoldDevelopmentKitandcanbebundledwithpackagesofSoftwareandIPCores.Itwillhave2fullduplex10GSFP+channelswithaXAUIbackendinterface.TheXAUItoSFP+HSMCprovidesahardwareplatformfordevelopingembeddedsystemsbasedonXAUIbasedAltera“GX”baseddevices.ThedevicesthatpresentlysupportXAUIareArriaGX,ArriaIIGX,StratixIIGXandStratixIVGX.

Features• TwoindependentXAUIinterfacesfromtheHSMC

totheBCM8727• TwoindependentSFIinterfacesfromthe

BCM8727toSFP+cages• MDIOinterfaces• I2CEEPROMforHSMCidentificationanduserdata• Si5334Cclockgenerator• 156.25MHzreferenceavailableonSMA

connectorsandthroughtheHSMCconnector• Fouruserbi-colorLEDSforeachchannel(8total

bi-colorLEDs)

Price: $785.00 Part Number: P0092

Page 25: Altera Development Tools 2013

25

HSM

C D

AU

GH

TERC

AR

DS

Arrow | Altera Development Tools

HSMC Daughtercards from Terasic

SDI Transceiver HSMC Board

DescriptionTheSerialDigitalInterface(SDI)TransceiverHSMCBoardprovidesahardwareplatformfordevelopingvideobroadcastsystems.ThisboardisintendedtobeusedbycustomerstoimplementSDIandAESsystemswithtransceiverbasedhostboards.

Features• Supporttriplerate3G/HD/SDSDIstandard• TwoSDIinputsandoutputs• TwoAESinputsandoutputs• SDIcleanupPLLandanAESPLL

Price: $490 Part Number: P0039

High-Speed A/D and D/A Daughterboard DescriptionThehigh-speedA/DandD/AdaughterboardisdesignedtosupportDSPsolutionsontheAlteraCycloneIIIStarterKits,orotherboardswithHSMCconnectors.Targetapplicationsinclude:

• Low-costoscilloscopeandpatterngenerator• CommunicationTransceiver• Platformforvariousmodulationtechniques

Features• DualADchannelswith14-bitresolutionanddata

rateupto65MSPS• DualDAchannelswith14-bitresolutionanddata

rateupto125MSPS• Clocksourcesinclude100MHzoscillator,SMAfor

ADandDAeach,andPLLfromeitherHSMCorGPIOinterface

Price: $219 Part Number: P0003 GPIO, P0003 HSMC

High-Definition Multimedia Interface DescriptionTheHDMIdaughterboardisanHDMItransmitter/receiverboardwithHSTC(HighSpeedTerasicConnector)interface.ThetransmitterandreceiversarecompliantwithHDMI1.3a,HDCP1.2,andDVI1.0specifications.ThekitincludesareferencedesigntocontroltheHDMIboardfromaTerasicDE3developmentkit.

Features• OneHSTCinterface• OneHDMItransmitterwithsingletransmittingport• OneHDMIreceiverwithdualreceivingports• Two2KEEPROMforstoringEDIDoftworeceiver

portsseparately• Poweredfrom3.3VpinsofHTSCconnector

Price: $299 Part Number: P0087

SFP HSMC Board DescriptionTheSmallForm-FactorPluggable(SFP)BoardallowstheevaluationofSFPModuleswithStratixIVGX,ArriaGX,andArriaIIGXdevelopmentkitsinSGMIIEthernet,FibreChannel,CPRI/OBSAI,andSONETapplications.FourtransceiverchannelsareroutedtodedicatedtransceiverconnectionsontheHSMC,fourareconnectedtoLVDSsignalsontheHSMC.

Features• FourtransceiverbasedSFPconnectors• FourLVDSbasedSFPconnectors• TwotransceiverreceiveSMAs• TwoTransceiverTransmitSMAs• OneLVDSclockinputSMApair• Twosingle-endedclockoutputSMAs• OneLVDSclockoutputSMApair• OneLVPECLclockoutputSMApair

Price: $590 Part Number: P0040

AD/DA Data Conversion Card DescriptionTheAD/DADataConversionCardwascreatedtoprovideasetofAnalogtoDigitalandDigitaltoAnaloginterfacesincludinganAudioCODECinterface.TheHighSpeedMezzanineCard(HSMC)canbeusedtodevelopDSPapplicationswithAlteraDevelopmentboardsandTerasicDevelopmentboards(e.g.DE3)thatfeaturetheHSMCconnector.

Features• ExternalClockIn/OutInterface• Two14-bitAnalogtoDigital(A/D)converter

channelwith150MSPS• Two14-bitDigitaltoAnalog(D/A)converter

channelwith250MSPS• OneAudioCODECwithLine-In,Line-Out,MIC

andHeadphone

Price: $390 Part Number: P0035

Page 26: Altera Development Tools 2013

26

DES

IGN

SO

FTW

AR

E

Arrow | Altera Development Tools

Quartus II Design Software

Quartus II design software features summary

Des

ign

flow

met

hodo

logy

Incrementalcompilation1 Improvesdesigntimingclosureandreducesdesigncompilationtimesupto70percent.Supportsteam-baseddesign.

Pinplanner Easestheprocessofassigningandmanagingpinassignmentsforhigh-densityandhighpin-countdesigns.

Qsys(replacesSOPCBuilder) AutomatessystemdevelopmentbyintegratingIPfunctionsandsubsystems(collectionofIPfunctions)usingahierarchicalapproachandahigh-performanceinterconnect(basedonanetwork-on-a-chiparchitecture).

Off-the-shelfIPcores Letsyouconstructyoursystem-leveldesignusingIPcoresfromAlteraandfromAltera’sthird-partyIPpartners.

ParalleldevelopmentofFPGAprototypesandASICs1 AllowsforFPGAprototypesandHardCopyASICstobedesignedinparallelusingthesamedesignsoftwareandIP.

Scriptingsupport Supportscommand-lineoperationandTclscripting,aswellasGUIdesignprocessing.

RapidRecompile1 Maximizesyourproductivitybyreducingyourcompilationtimeby50percentonaverage(forasmalldesignchangeafterafullcompile).Improvesdesigntimingpreservation.

Perf

orm

ance

and

tim

ing

cl

osur

em

etho

dolo

gy

Physicalsynthesis Usespostplacementandroutingdelayknowledgeofadesigntoimproveperformance.

Designspaceexplorer(DSE) IncreasesperformancebyautomaticallyiteratingthroughcombinationsofQuartusIIsoftwaresettingstofindoptimalresults.

Extensivecross-probing Providessupportforcross-probingbetweenverificationtoolsanddesignsourcefiles.

Optimizationadvisors Providesdesign-specificadvicetoimproveperformance,resourceusage,andpowerconsumption.

Chipplanner Reducesverificationtime(whilemaintainingtimingclosure)byenablingsmall,postplacementandroutingdesignchangestobeimplementedinminutes.

Verifi

catio

n

TimeQuesttiminganalyzer ProvidesnativeSynopsysDesignConstraint(SDC)supportandallowsyoutocreate,manage,andanalyzecomplextimingconstraintsandquicklyperformadvancedtimingverification.

SignalTapIIembeddedlogicanalyzer2 Supportsthemostchannels,fastestclockspeeds,largestsampledepths,andmostadvancedtriggeringcapabilitiesavailableinanembeddedlogicanalyzer.

SystemConsole EnablesyoutoeasilydebugyourFPGAinrealtimeusingreadandwritetransactions.ItalsoenablesyoutoquicklycreateaGUItohelpmonitorandsenddataintoyourFPGA.

PowerPlaytechnology Enablesyoutoaccuratelyanalyzeandoptimizebothdynamicandstaticpowerconsumption.

Third

-par

ty

supp

ort

EDApartnersOffersEDAsoftwaresupportforsynthesis,functionalandtimingsimulation,statictiminganalysis,board-levelsimulation,signalintegrityanalysis,andformalverification.Toseeacompletelistofpartners,visitwww.altera.com/products/software/partners/eda_partners/eda-index.html.

Ifyou’relookingforadesignenvironmentthatwillquicklymoveyoufromconcepttocreation,chooseAltera’sQuartusIIdesignsoftware.NumberoneinperformanceandproductivityforCPLD,FPGA,SOCFPGAandHardCopyASICdesigns,QuartusIIsoftwareofferscomplete,automatedsystemdefinitionandimplementation,allwithoutrequiringlower-levelHDLorschematics.Thiscapability—plusitsseamlessintegrationwithleadingEDAsoftwaretoolsandflows—willhelpturnyourideasintoworkingsystemsinminutes.

Free software package includes:• QuartusIIWebEditionsoftware

– SupportforMAXseriesCPLDs,andCycloneseriesand ArriaFPGAs

– SupportforWindowsandLinuxoperatingsystem• NiosIIEmbeddedDesignSuite• ModelSim-AlteraStarterEditionsimulationsoftware

– Optionalupgrade:ModelSim-AlteraEditionforfastersimulation• EvaluationoftheAlteraMegaCore®IPlibrary

Altera subscription programAltera’ssubscriptionprogramoffersacomprehensivesuiteofpremiumsoftwareandIPproducts.Includedinthesubscriptionare:

• QuartusIISubscriptionEditionsoftware– SupportforallAlteradevices– SupportforWindowsandLinuxoperatingsystems

• Supportforenhancedproductivityfeatures– Incrementalcompilationforfastercompiletimes– Multi-processorsupportforfastercompiletimes

• NiosIIEmbeddedDesignSuite(EDS)• ModelSim–AlteraStarterEditionsimulationsoftware

– Optionalupgrade:ModelSim-AlteraEditionforfastersimulation• CompleteIPBaseSuite,whichincludesfulllicensestothefollowing

Alterafunctions:– FIRCompiler– NCOCompiler– FFTCompiler– DDRSDRAMController– DDRSDRAMHigh-PerformanceController– DDR2SDRAMController– DDR2SDRAMHigh-PerformanceController– DDR3SDRAMHigh-PerformanceController– QDRIISRAMController– RLDRAMIIController– SerialLiteII

For more informationQuartus II software www.altera.com/quartus2Quartus II download www.altera.com/downloadQuartus II literature www.altera.com/literatureTraining www.altera.com/trainingOS www.altera.com/download/os-support

Quartus II Software

SubscriptionEdition WebEdition

Devices: All MAXandCycloneseries;ArriaGXseries

Features: 100% 95%

Distribution: DownloadandDVD DownloadandDVD

Cost: Paidlicense Free-nolicenserequired

Operatingsystemsupport: WindowsandLinux WindowsandLinux

1IncludedinSubscriptionEditiononly.2AvailablewithtalkbackfeatureenabledinWebEdition.

Page 27: Altera Development Tools 2013

27

DESIG

N SO

FTWA

RE

Arrow | Altera Development Tools

Part Number Price

SW-QUARTUS-SE-FIX $2,995.00

SW-QUARTUS-SE-FLT $3,995.00

SW-QUARTUS-SE-ADD $3,995.00

SWR-QUARTUS-SE-FIX $2,495.00

SWR-QUARTUS-SE-FLT $2,495.00

IPT-DSPBUILDER $1,995.00

IPT-C2H-NIOS $2,995.00

DSP BuilderAltera’sDSPBuildercreatesaseamlessbridgebetweentheMATLAB/SimulinktoolandAltera’sQuartusIIsoftware,givingFPGAdesignersthealgorithmdevelopment,simulation,andverificationcapabilitiesofMATLAB/Simulinksystem-leveldesigntools.Ifyourstand-aloneDSPprocessorisrunningoutofcomputationalhorsepower,FPGAscansolvetheproblem.FPGAsspeedupyoursystemwithmassiveDSPhorsepowerthatefficientlyimplementsDSPalgorithmsinapplicationssuchasmotionestimation,complexvideoprocessing,OFDM-MIMOprocessinginbasestations,andsingledatarate(SDR)algorithms.Alteraprovidestheindustry’smostcomprehensiveportfolioofsolutionsforimplementingyourhigh-performanceDSPdesigninourFPGAs:

• DSPBuilder—AMATLAB/Simulink-baseddesigntoolthatenablessystem-leveldesign

• Comprehensivecollectionofintellectualproperty(IP)functionsforsignalprocessing,includingthelargestsuiteofIPforvideoprocessingandfloating-pointoperations

• LargeportfolioofDSPreferencedesignsforwireless,highdefinitionvideo,andothersignalprocessingapplications

• RangeofDSPdevelopmentkitstospeedupdesignDesigningDSPapplicationsinFPGAsrequiresbothhigh-levelalgorithmdevelopmentandHDLdevelopmenttools.Altera’sDSPBuilderintegratesthesetoolsbycombiningthealgorithmdevelopment,simulation,andverificationcapabilitiesofMATLAB/Simulinksystem-leveldesigntoolswithVHDLsynthesis,simulation,andthehardwaredebuggingcapabilitiesoftheQuartusIIdevelopmenttool.

DSP Design Flow Overview

Quartus II software design flow

Quartus II Software Key FeaturesAvailability

SubscriptionEdition WebEdition(Free)

Designentry

CycloneFPGAandMAXCPLDdevicesupport

ArriaandStratixFPGAdevicesupport

HardCopyASICdevicesupport

Multiprocessorsupport(fastercompiletimesupport)

IPBaseSuite(includeslicensesfor15popularIPcores)

Qsys(next-generationsystem–integrationtool)

SOPCBuilder(legacysystemdevelopmenttool)

RapidRecompile(fastercompileforsmalldesignchanges)

Incrementalcompile(performancepreservationandteam-baseddesign)

FunctionalsimulationModelSim®-AlteraStarterEditionsoftware

ModelSim-AlteraEditionsoftware

Synthesis QuartusIntegratedSynthesis(synthesistool)

Placementandrouting Fitter(placementandroutingtool)

Timingandpowerverification

TimeQuesttool(statictiminganalysis)

PowerPlaytoolandoptimization(poweranalysis)

In-SystemdebugSignalTapTMIIlogicanalyzer(embeddedlogicanalyzer)2

TransceiverToolkit(transceiverinterfaceandverificationtool)

Operating System SupportAvailability

SubscriptionEdition WebEdition(Free)

Windows/Linux32-bitsupport

Windows/Linux64-bitsupport

Page 28: Altera Development Tools 2013

28

LIN

EAR

TEC

HN

OLO

GY

Arrow | Altera Development Tools

Power Management Solutions for Altera’s FPGAs, CPLDs, and HardCopy® ASICsPowerestimationtools,suchasthePowerPlayEarlyPowerEstimator(EPE)andthePowerPlayPowerAnalyzerfromAlteraareavailabletoestimatethepowerconsumptionofyourdesignbeforecreatingthedesign.

Stratix® (GX) V, IV, III, II FPGAs and Hardcopy V, IV, III, II A ASICs Core Voltage: 0.85 V, 0.9 V, 1.1 V, 1.2 V

InputSupply ≤200mA ≤500mA ≤1A–1.5A ≤2A–5A 5A–10A Upto25A

1.8V LT®3020LinearLTC®3549Monolithic

LT3085LinearLTC3409Monolithic

LT3080LinearLTC3026Linear

LT3083 LinearLT3070LinearLT3071Linear

2×LT3070Linear2×LT3071Linear

2×LTM4611µModuleRegulator

2.5Vto5VLT3020Linear

LTM®8020µModule®

Regulator

LT3085LinearLTM8021µModule

Regulator

LTC3411AMonolithicLTC3417AMonolithicLTC3569Monolithic

LTC3612MonolithicLTM4604AµModule

RegulatorLTM4614Dual

µModuleRegulatorLTM4615Triple

µModuleRegulator

LTC3608MonolithicLTC3616MonolithicLTM4608AµModule

RegulatorLTM4616DualµModule

Regulator

LTC3855Controller2×LTM4611µModule

Regulator

≤12 V to 24 V LT3502Monolithic LT3503Monolithic LT3503Monolithic

LTC3601Monolithic

LTM8025µModuleRegulator

LTM4618µModuleRegulator

LTM4619DualµModuleRegulator

LTM4601AµModuleRegulator

LTM4628 Dual µModule Regulator

LTM4627 µModule Regulator

LTC3855ControllerLTC3880 Controller

2 × LTM4627 µModule Regulator

Arria® (GX) V, II, I FPGAs Core Voltage: 0.9 V, 1.1 V, 1.2 V

InputSupply ≤200mA ≤≤500mA ≤1A–1.5A ≤2A–5A 5A–10A

1.8V LT1761LinearLTC3549Monolithic

LT3085LinearLTC3409Monolithic

LT3080LinearLTC3026Linear

LT3083 LinearLT3070LinearLT3071Linear

2×LT3070Linear2×LT3071Linear

2.5Vto5V LT3020LinearLTC3544Monolithic

LT3085LinearLTC3025-1

LTC3411AMonolithicLTC3417AMonolithicLTC3569Monolithic

LTC3546MonolithicLTC3612Monolithic

LTC3608MonolithicLTC3616MonolithicLTC3418Monolithic

≤12 V to 24 V LT3502Monolithic LT3503Monolithic LT3503MonolithicLTC3601Monolithic

LTC3604MonolithicLTC3850ControllerLTC3633Monolithic

LTC3605MonolithicLTC3633MonolithicLTC3855Controller

Cyclone® (GX) V, IV, III, II FPGAs Core Voltage: 1.1 V, 1.2 V

InputSupply ≤200mA ≤500mA ≤1A–1.5A ≤2A–5A 5A–10A

1.8V LT1761LinearLTC3549Monolithic

LT3085LinearLTC3409Monolithic

LT3080LinearLTC3026Linear

LT3083 LinearLT3070LinearLT3071Linear

2×LT3070Linear2×LT3071Linear

2.5Vto5V LT3020LinearLTC3544Monolithic

LT3085LinearLTC3025-1Linear

LTC3411AMonolithicLTC3417AMonolithicLTC3569Monolithic

LTC3612MonolithicLTC3614MonolithicLTC3615Monolithic

LTC3608MonolithicLTC3616MonolithicLTC3617 Monolithic

≤12 V to 24 V LT3502Monolithic LT3503MonolithicLT3645Monolithic

LT3503MonolithicLT3505Monolithic

LTC3601Monolithic

LTC3604MonolithicLTC3850ControllerLTC3633Monolithic

LTC3605MonolithicLTC3633Monolithic

MAX® V CPLDs Core Voltage: 1.8 V

InputSupply ≤200mA ≤500mA ≤1A–1.5A

1.8V LT1761LinearLTC3549Monolithic

LT3085LinearLTC3409Monolithic

LT3080LinearLTC3026Linear

2.5Vto5V LT3020LinearLTC3544Monolithic

LT3085LinearLTC3025-1Linear

LTC3411AMonolithicLTC3417AMonolithicLTC3569Monolithic

≤12 V to 24 V LT3502Monolithic LT3503MonolithicLT3645Monolithic

LT3503MonolithicLT3505Monolithic

LTC3601Monolithic

DDR Memory or Active Bus Termination

InputSupply <±1.5A <±3A <±6A >±6A

2.5Vto5.5V LTC3612MonolithicLTC3615DualMonolithic

LTC3614MonolithicLTC3616MonolithicLTC3618 Monolithic

LTC3617 Monolithic LTC3718Controller

>5.5 V LTC3634 Monolithic LTC3718ControllerLTC3876 Controller

*AdditionalproductsinLinear’sµModulefamilyarenowavailable.Newproductsarehighlightedinbold.

Page 29: Altera Development Tools 2013

29

LINEA

R TEC

HN

OLO

GY

Arrow | Altera Development Tools

Monolithic Switching RegulatorsHigh-Current Step-Down DC/DC Power Solutions for Stratix and Arria FPGAsLinearTechnologydesignsandmanufacturesabroadrangeofstep-downDC/DCregulatorsspecificallydesignedtopowerAltera'sStratixandArriaFPGAs,includingsolutionstomeetthe3percentcoretolerancespecificationfortheStratixVdevicefamily.Theseregulatorstypicallyhave0.6Vreferenceswithlessthan±1percentaccuracyovertemperatureandincludeotherfeatures,suchaslowquiescentcurrent,voltagetracking,highstep-downratios,clocksynchronizationaswellasahostofprotectionfeatures.

Part Number VIN Range (V) VOUT Min (V) IOUT (1) Max (A) Solution (2) TypeReference

Voltage Tolerance

Remote Sense Amplifier PMBus (I2C) Capable

LTC3866 4.5to38 0.6 40 Single-outputController ±0.5% Yes YeswithcompanionIC

LTC3855 4.5to38 0.6 50(2X25) Dual-outputController ±0.75% Yes YeswithcompanionIC

LTC3838 4.5to38 0.6 50(2X25) Dual-outputController ±0.67% Yes YeswithcompanionIC

LTC3856 4to38 0.6 50 Single-outputController ±0.75% Yes YeswithcompanionIC

LTC3861 3to24 0.6 60 Dual-outputController ±0.75% Yes YeswithcompanionIC

LTC3883 4.5to24 0.5 25 Single-outputController ±0.5% Yes Integrated

LTC3880 4.5to24 0.6 50(2X25) Dual-outputController

±0.5%TotalDCaccuracy Yes Integrated

LTC3608 4to18 0.6 8 Monolithic ±1% No YeswithcompanionIC

LTC3610 4to24 0.6 12 Monolithic ±1% No YeswithcompanionIC

LTC3613 4.5to24 0.6 15 Monolithic ±0.67% Yes YeswithcompanionIC

LTM4601A 4.5to20 0.6 12 µModuleRegulator ±1.5%TotalDCaccuracy Yes YeswithcompanionIC

LTM4627 4.5to20 0.6 15 µModuleRegulator ±1.5%TotalDCaccuracy Yes YeswithcompanionIC

LTM4628 4.5to26.5 0.6 16(2X8) Dual-outputµModuleRegulator

±1.5%TotalDCaccuracy Yes YeswithcompanionIC

LTM4620 4.5to16 0.6 26(2X13) Dual-outputµModuleRegulator

±1.5%TotalDCaccuracy Yes YeswithcompanionIC

Note(1)Themaximumoutputcurrentdependsonthechoiceofexternalcomponents.Regulatorscanbeparalleledtogeneratemoreoutputcurrent.(2)ControllersneedexternalinductorandMOSFETs;Monolithicregulatorsneedexternalinductor,andµModuleregulatorshaveintegratedinductor,MOSFET,andsomecapacitors

Step-Down DC/DC Power Solutions for Arria and Cyclone FPGAsFPGAstargetedformid-rangeandlowercostapplicationsrequirelowercurrentsthanthehighercurrentDC/DCµModuleRegulatorandcontrollers.LinearTechnologyhasahostofcost-effectiveandeasy-to-implementmonolithicandDC/DCµModuleregulatorsthataresuitablefortheseapplications.

Part Number VIN Range (V) VOUT Min (V) IOUT (1) Max (A) Solution (2) TypePower Good and

RUN/SHDN Pin for Sequencing

LT3510 3.1to25 0.8 2X2 DualMonolithic Yes

LTC3626 3.6to20 0.6 2.5 Monolithc Yes

LT3507A 4to36 0.8 2.7,2X1.8 TripleMonolithic Yes

LTC3612 2.25to5.5 0.6 3 Monolithic Yes

LTC3614 2.25to5.5 0.6 4 Monolithic Yes

LTM4604A 2.375to5.5 0.8 4 µModuleRegulator Yes

LTM4614 2.375to5.5 0.8 2X4 DualµModuleRegulator Yes

LTC3615 2.25to5.5 0.6 2X3 DualMonolithic Yes

LTC3633 3.6to15 0.6 2X3 Dual-outputcontroller Yes

LTC3605A 4to20 0.6 5 Monolithic Yes

LTC3616 2.25to5.5 0.6 6 Monolithic Yes

LTM4608A 2.7to5.5 0.6 8 µModuleRegulator Yes

LTM4616 2.7to5.5 0.6 2X8 DualµModuleRegulator Yes

Note(1)Themaximumoutputcurrentdependsonthechoiceofexternalcomponents.Regulatorscanbeparalleledtogeneratemoreoutputcurrent.(2)ControllersneedexternalinductorandMOSFETs;Monolithicregulatorsneedexternalinductor,andµModuleregulatorshaveintegratedinductor,MOSFET,andsomecapacitors

Page 30: Altera Development Tools 2013

30

LIN

EAR

TEC

HN

OLO

GY

Arrow | Altera Development Tools

Low Noise Power Solutions for High-Speed Transceiver RailsLinearTechnologydesignsandmanufacturesabroadrangeofstep-downregulatorsspecificallydesignedtopowernoise-sensitivepowerrailsforhigh-speedtransceivers.ThesolutionsusedfortheseapplicationsarelowdropoutlinearregulatorsandintegratedDC/DCµModuleregulators.

Part Number VIN Range (V) VOUT Min (V) IOUT (1) Max (A) Solution (2) TypePower Good and

RUN/SHDN Pin for Sequencing

LT3022 0.9to10 0.2 1 LinearRegulator SHDNpin

LTC3025-1 0.9to5.5 0.4 0.5 LinearRegulator SHDNpin

LT3080 1.2to36 0 1.1 LinearRegulator WithsimpleexternalFET

LTC3026 1.14to5.5 0.4 1.5 LinearRegulator Yes

LT3083 1.2to23 0 3 LinearRegulator WithsimpleexternalFET

LTM4604A 2.375to5.5 0.8 4 µModuleRegulator Yes

LTM4614 2.375to5.5 0.8 2X4 DualµModuleRegulator Yes

LT3070 0.95to3.0 0.8 5 LinearRegulator Yes

LT3071 0.95to3.0 0.8 5 LinearRegulator Yes

LTM4608A 2.7to5.5 0.6 8 µModuleRegulator Yes

LTM4616 2.7to5.5 0.6 2X8 µModuleRegulator Yes

DDR Memory/ Bus Termination RegulatorsDDRmemoryorbusterminationregulatorshavetobeabletosinkandsourcecurrent.LinearTechnologyprovidesstand-aloneregulatorsthatcansourceandsinkcurrentsaswellasintegratedsolutionsthatcanpowertheVDDQrailsandtheVTTreferencevoltage.

Part Number VIN Range (V) VOUT Min (V) IOUT (1) Max (A) Solution (2) Type Integrated VTTREF

LTC3614 2.25to5.5 0.6 ±3 Monolithic No

LTC3618 2.25to5.5 0.5 ±3+3 Monolithic Yes

LTC3634 3.6to15 0.6 ±3+3 Monolithic Yes

LTC3617 2.25to5.5 0.5 ±6 Monolithic Yes

LTC3876 4.5to38 0.5 ±20 Controller Yes

Note(1)Themaximumoutputcurrentdependsonthechoiceofexternalcomponents.Regulatorscanbeparalleledtogeneratemoreoutputcurrent.(2)ControllersneedexternalinductorandMOSFETs;Monolithicregulatorsneedexternalinductor,andµModuleregulatorshaveintegratedinductor,MOSFET,andsomecapacitors

Note(1)Themaximumoutputcurrentdependsonthechoiceofexternalcomponents.Regulatorscanbeparalleledtogeneratemoreoutputcurrent.(2)ControllersneedexternalinductorandMOSFETs;Monolithicregulatorsneedexternalinductor,andµModuleregulatorshaveintegratedinductor,MOSFET,andsomecapacitors

μModule Power Products

Page 31: Altera Development Tools 2013

31

LINEA

R TEC

HN

OLO

GY

Arrow | Altera Development Tools

Digital PowerPMBus/SMBus/I2C™ Power ControlManagingmulti-railFPGAsystemsandachievingverytightcorepowerspecificationscanbeachallengefortoday’ssystemarchitects.DigitalPowerManagementsolutionsfromLinearTechnologyareusedonAltera®DevelopmentBoardsandKitstogreatlysimplifytasks,suchassequencing,monitoring,margining,supervision,andotherkeyfunctionsandrequirementsforAlteraFPGAsystems.

LinearTechnologyDigitalPowerSolutionsofferverytightaccuracy,±0.25%,allowingAlteracustomersplentyofroomtoachievethetolerancespecificationsforthecorepower.

DigitalPowerManagementCompanionICscanbeusedinconjunctionwithanypowersupplytoadd±0.25percentmeasurementaccuracytoincreasesystemefficiencyofanyAltera-basedsystem.

LTC2970 – Dual I2C Power Supply Monitor and Margining ControllerKey Features

• Lessthan±0.5%totalunadjustederror14-bitDSADCwithon-chipreference• Dual,8-bitDACswith1xvoltagebuffers• Linear,voltageservoadjustssupplyvoltagesbyrampingDACoutputsup/down• I2C™Businterface(SMBuscompatible)• Extensive,userconfigurablefaultmonitoring• On-chiptemperaturesensor• Availablein24-lead4mmx5mmQFNpackage

LTC2974 – Quad Digital Power Supply Manager with EEPROMKey Features

• I2C/SMBusserialinterface• PMBuscompliantcommandset• ConfigurationEEPROMwithCRC• BlackboxfaultloggingtointernalEEPROM• Differentialinput,16-bitDSADCwithlessthan±0.25%oftotalunadjustederror• Fourvoltageservospreciselyadjustsupplyvoltagesusing10-bitDACswithsoft-connect• Monitorsfouroutputvoltages,fouroutputcurrentsandoneinputvoltage• Monitorsfourexternaltemperaturesensorsandinternaldietemperature• 4-channelsequencer,timebasedortracking• Programmablewatchdogtimer• FourOV/UVVOUTandoneVINsupervisor• Fourovercurrent/undercurrentsupervisors• Supportsmultichannelfaultmanagement• Operatesautonomouslywithoutadditionalsoftware• LTC2974canbepoweredfrom3.3Vor4.5Vto15V• Availablein64-lead9mmx9mmQFNpackage

LTC2978 – Octal Digital Power Supply Manager with EEPROM Key Features

• I2C/SMBusserialinterface• PMBuscompliantcommandset• ConfigurationEEPROMwithCRC• BlackboxfaultloggingtointernalEEPROM• Differentialinput,16-bitDSADCwithlessthan±0.25%oftotalunadjustederror• Eightvoltageservospreciselyadjustoutputvoltagesusingeight10-bitDACswithsoft-connect• Monitorseightoutputvoltagesandoneinputvoltageandinternaldietemperature• 8-channelsequencer• Programmablewatchdogtimer• EightUV/OVVOUTandoneVINsupervisor• Supportsmultichannelfaultmanagement• Operatesautonomouslywithoutadditionalsoftware• LTC2978canbepoweredfrom3.3Vor4.5Vto15V• Availablein64-pin9mmx9mmQFNpackage

Used on the Transceiver Signal Integrity Development Kit, Stratix V GX/GT Edition

Page 32: Altera Development Tools 2013

32

LIN

EAR

TEC

HN

OLO

GY

Arrow | Altera Development Tools

Digital Power Management ToolsUserscanharnessthepowerfulGUItoconfigureandinterrogatethedigitalpowermanagementIC,usersettings,andfaultlog.Thistoolprovidesrapidtroubleshootinganddebugcapabilitiesduringpowersystemdesign,development,production,andfailureanalysis.Onceconfigured,thesedevicesprovidetheessentialsystemmanagementfunctionswithouthostinterventionanddonotrequirewritingasinglelineofcode.

Forapplicationsthatarespacelimitedorforcustomerswhowantafullyintegratedpowersupplywithdigitalfunctionality,theLTC3880offersanidealsolution.

LTC3880 – Dual Output PolyPhase Step-Down DC/DC Controller with Digital Power System ManagementKey Features

• UsedontheStratixVFPGADevelopmentKit,ArriaVFPGADevelopmentKit,andArriaVFPGAStarterKit

• PMBus/I2Ccompliantserialinterface–TelemetryreadbackincludesVIN,IIN,VOUT,IOUT,temperatureandfaults–Programmablevoltage,currentlimit,digitalsoft-start/stop,sequencing,margining,OV/UVandfrequencysynchronization(250kHzto1MHz)

• ±0.5%outputvoltageaccuracyovertemperature• Integrated16-bitADC• InternalEEPROMandfaultlogging

Power Conversion• WideVINrange:4.5Vto24V• VOUTrange:0.5Vto5.5V• Analogcurrentmodecontrolloop• Supportspower-upintoprebiasedload• AccuratePolyPhase®currentsharingforuptosixphases• Availablein40-pin(6mmx6mm)QFNpackage

LTC3883/LTC3883-1- Single Phase Step-Down DC/DC Controller with Digital Power System ManagementKey Features

• PMBus/I2CCompliantSerialInterface–TelemetryreadbackincludesVIN,IIN,VOUT,IOUT,temperatureandfaults–Programmablevoltage,currentlimit,digitalsoft-start/stop,sequencing,margining,OV/UV/OCandfrequencysynchronization(250kHzto1MHz)

• ±0.5%outputvoltageaccuracyovertemperature• Integrated16-bitADCand12-bitDAC• Integratedhighsidecurrentsenseamplifier• InternalEEPROMandfaultlogging• IntegratedN-channelMOSFETgatedrivers

Power Conversion• WideVINrange:4.5Vto24V• VOUTrange:0.5Vto5.5V• Analogcurrentmodecontrolloop• AccuratePolyPhase®currentsharingforuptosixphases• AutocalibrationofinductorDCR• Availablein32-lead(5mmx5mm)QFNpackage

Digital Interface Power Management Block Diagram

Used on the Stratix V GX FPGA Development Kit, Arria V GX FPGA Development Kit, Arria V GT FPGA Development Kit, and the Arria V GX Starter Kit.

Page 33: Altera Development Tools 2013

33

LINEA

R TEC

HN

OLO

GY

Arrow | Altera Development Tools

Energyefficiencyandpowerconsumptionarecriticalfactorsintoday’selectronicdesigns.Measuringpowerconsumption,aswellasthepointsourcesforheatcanincreaseoverallsystemhealthandreliability.

LinearTechnology'sfamilyofpowerandtemperaturemonitorsmeasuresvoltageandcurrent,aswellasinternalandexternaltemperature,andcaneitherdigitizethisinformationwithanon-chiphighresolutionanalog-to-digital-converter(ADC),orprovideanalogoutputswithalertsforatrulycomprehensivemonitoringsolution.

I2C Temperature, Voltage, and Current MonitorTheLTC2990,atemperaturevoltageandcurrentmonitorfor3Vto5.5Vsystems,integratesa14-bitADC,10ppm/°CreferenceandI2Cinterfacetoprovidesubmillivoltvoltageresolution,aswellasaccuracyof±1°Cinternallyand±0.5°Cremotelywhenmakingtemperaturemeasurements.

Measures:• Externaltemperature• Internaltemperature• Single-endedvoltage• Differentialvoltage• Rail-to-railcurrent• InternalVCC

I2C Current and Voltage MonitorTheLTC4151isahigh-sidepowermonitorthatoperatesoverawidevoltagerangeof7Vto80V.Indefaultoperationmode,theonboard12-bitADCcontinuouslymeasureshigh-sidecurrent,inputvoltage,andanexternalvoltage.DataisreportedthroughtheI2Cinterfacewhenpolledbyahost.

Temperature Sensor and Dual Voltage Monitor with Temperature and Voltage AlertsTheLTC2995isananalogtemperaturemonitorforlow-voltagesystemswhichprovidesa3.5ms-updatedvoltageproportionaltoabsolutetemperature(VPTAT)sothatsystemscanquicklyreactwithsubdegreeaccuracywhenmakinginternalorexternaltemperaturemeasurements.TheLTC2995providesequallyimpressivehighvoltagemonitoringcapabilitiesfortworails,aswellastemperatureandvoltagealerts,foratrulycomprehensivethermalmonitoringsolution.Nocodeisrequiredtoconfigurethesetinydevices.

Analog Power and Current MonitorTheLT2940isapowerandcurrentmonitorfor4Vto80Vsystemsthatbringstogetherthenecessarycircuitstoaccuratelymeasure,monitor,andcontrolpower.Unliketraditionalpowermonitorsthatrelyondataconvertersandmultiplyingregisterstocalculatepower,theLT2940usesatruefour-quadrantanalogmultiplierforcontinuousresultswith500kHzbandwidth,idealforuseinmanypulsed,choppedAC,andcontrolapplications.

System Monitors

Page 34: Altera Development Tools 2013

34

LIN

EAR

TEC

HN

OLO

GY

Arrow | Altera Development Tools

14-Bit/12-Bit 170 Msps to 310 Msps Single and Dual ADC FamilyTheLTC2153andLTC2158are1.8Vsingleanddual,highIFsampling14-/12-bit,170Mspsto310MspsADCfamiliesmaintainexcellentspurious-freedynamicrange(SFDR)performanceatinputfrequenciesupto900MHz.TheseADCsaredesignedspecificallytomeettheneedsoftoday’scommunicationssystems,wherehighundersamplingcapabilitysavescostbyeliminatingdownconversionstages.

TheLTC2158isadual310MspsADC,idealforI/Qsamplingapplications,andoffersashortpipelinelatencyofjustfiveclockcyclesforclosedloopsystems.Thesingleversion,LTC2153,isidealforIFsamplingarchitectures.TheeasytodriveinputrangesavespowerintheADCdriver,andimprovesperformanceathighinputfrequencies.

DC1933 FMC to HSMC AdapterTheDC1933enablesengineerstoquicklyinterfaceLinearTechnology’sfamilyofLTC2158dualandsinglehigh-speedADCs,withDDRLVDSoutputsdirectlytoanappropriateAlteradevelopmentboardthatutilizeshigh-speedmezzaninecard(HSMC)connectors.ContactyourlocalArrowsalespersonfororderinginformation.

LinearTechnology'sextensivehigh-speedADCportfolioincludespin-compatible,high-performancemultichannelADCsinresolutionsof10-bit,12-bit,14-bit,and16-bitsamplingfrom1Mspsupto310Msps.LinearTechnologyofferssingle,dual,quad,andoctalADCs,withflexibleserialorparallelinterfaces,andlowestpowerconsumptionwithoutcompromisingACperformance.ManyoftheseADCsofferuniquefeaturesforreducingdigitalfeedbackinsituationswhereevengoodlayoutpracticemightfail.

SeveraldesignexamplesfromLinearTechnologyillustratefullyfunctioninghardwaredemonstrations,completewithdemocode,interfacingtheLTC2158ADC(parallelLVDSinterface),andtheLTM9011lowpoweroctalADC(serialLVDSinterface)familiestoAlteraFPGAs.Targetapplicationsincludecommunications,cellularbasestations,software-definedradios,medicalimaging,highdefinitionvideo,andtestandmeasurementequipment.TheLTC2158andLTM9011aresupportedwithdemoboardsfromLinearTechnology:

•DC1933:LinearTechnologyFMCtoHSMCAdapterBoardforinterfacingLinearTechnologyDC1564andDC1565ADCdemoboardstoAlteraHSMCconnector.

•LTC2158:LinearTechnologydemoboardpart#DC1564.

•LTC2153:LinearTechnologydemoboardpart#DC1565.

•LTM9011:LinearTechnologydemoboardpart#DC1751forDCcoupledinputsorDC1884forACcoupledinputs.

–RequiresSamtecFMCtoHSMCAdapter(RequestfromLinearTechnologySalesrepresentative).

•PleasecontactyourlocalArroworLinearTechnologysalesrepresentativefororderinginformation.

High-Speed ADCs and Signal Chain uModule Solutions

Page 35: Altera Development Tools 2013

35

LINEA

R TEC

HN

OLO

GY

Arrow | Altera Development Tools

Idealdiodecontrollersofferasimplelow-lossreplacementtopowerSchottkydiodesinhighcurrentapplications,inadditiontoreducingvoltagedropinlowvoltageapplications.LinearTechnology’sfamilyofidealdiodesusesN-channelorP-channelMOSFETstoperformthefunctionofalowforwardvoltagediode.Thisprovidesamoreefficientsolutionandpreservespreciousboardspacebyreducingtheneedforheatsinking.LinearcontroloftheforwardvoltagedropacrosstheMOSFETensuressmoothcurrentdeliverywithoutoscillation,evenunderlightloads.Ifapowersourcefailsorisshorted,afastturn-offminimizesreversecurrenttransients.IdealdiodecontrollersareperfectforORingsuppliestogethertoprovideredundancyintheeventofinputfailureorhardshort.Additionally,idealdiodescanbeusedforoutputsupplyhold-upduringbriefinterruptionsofpowerinput.

Ideal Diode Controllers

Linear Technology N-Channel Ideal Diodes

Part Number ORing Range Function Package

LTC4354 -4.5Vto-80V DualIdealDiodewithmonitoring 3x2DFN-8,SO-8

LTC4355 9Vto80V DualIdealDiodewithmonitoring 4x3DFN-14,SO-16,MSOP-16

LTC4357 9Vto80V SingleIdealDiode 2x3DFN-6,MSOP-8

LTC4358 9Vto26.5V SingleIdealDiodewithinternal5AFET 4x3DFN-14,TSSOP-16

LTC4352 0Vto18V SingleIdealDiodewithmonitoring 3x3DFN-12,MSOP-12

LTC4353 0Vto18V DualIdealDiodewithenableinputs 4x3DFN-16,MSOP-16

LTC4370 0Vto18V TwoSupplyDiode-ORcurrentbalancingcontroller 4x3DFN-16,MSOP-16

LTC4227 2.9Vto18V DualIdealDiodeandsinglehotswapcontroller 4x5QFN-20,SSOP-16

LTC4228 2.9Vto18V DualIdealDiodeandhotswapcontroller 4x5QFN-28,SSOP-28

LTC4359 4Vto80V SingleIdealDiode,reverse-currentprotection 2x3DFN-6,MSOP-8

LTC4364 4Vto80V SurgeStopperwithIdealDiode,reversecurrentprotection 4x3DFN-14,MSOP-16,SO-16

Page 36: Altera Development Tools 2013

36

LIN

EAR

TEC

HN

OLO

GY

Arrow | Altera Development Tools

Linear Technology RS232 Transceivers

Linear Technology RS485/RS422 Transceivers

Part Number Number of Drivers

Number of Receivers

Supply (V)

Data Rate (kbps)

ESD (kV)

Driver Disable

Shutdown Mode

Temp. Grade Packages

2500VRMS Isolation

LTM2882-3/-5 2 2 3.3/5 1000 ±10 • • C,I,H,MP LGA-32,BGA-32

General Purpose

LTC2801 1 1 1.8to5 250 ±10 • • C,I 5x3DFN-12

LTC2802 1 1 1.8to5 1000 ±10 • • C,I 5x3DFN-12

Part Number Supply (V)

Max Data Rate (Bits) #Dr #Rec Duplex SHDN ESD

(kV) Failsafe Comments Temp. Grade Packages

2500VRMS Isolation

LTM2881-3/-5 3.3/5 20M 1 1 Full Yes ±15 Type2

Noexternalcomponentsrequired.Isolated1WDC/DCconverter,switchable,120Ωtermination,ULfile#E151738

C,I,H,MP

15x11.25x2.8LGA-32,15x11.25x3.4BGA-32

LTC1535 5 250k 1 1 Full No ±8 Type2 ULfile#E151738 C,I SO(W)-28

±60V Fault Protection

LTC2862-1/-2 3to5.5 20M/250k 1 1 Half Yes ±15 Type2 PincompatiblewithLT1785A C,I,H SO-8,3x3DFN-8

LTC2863-1/-2 3to5.5 20M/250k 1 1 Full No ±15 Type2 C,I,H SO-8,3x3DFN-8

LTC2864-1/-2 3to5.5 20M/250k 1 1 Full Yes ±15 Type2 PincompatiblewithLT1719A C,I,H SO-14,3x3DFN-10

LTC2865 3to5.5 20M/250k 1 1 Full Yes ±15 Type2 Logicsupplypin,SLOpin C,I,H MSOP-12,4x3,DFN-12

Integrated Switchable 120Ω Termination

LTC2854 3.3 20M 1 1 Half Yes ±25 Type2 ±25kV C,I,H 3x3DFN-10

LTC2859 5 20M/250k 1 1 Half Yes ±15 Type2 Driverslewratecontrol C,I,H 3x3DFN-10

LTC2855 3.3 20M 1 1 Full Yes ±15 Type2 C,I,H 4x3,DFN-12,SSOP-16

LTC2861 5 20M/250k 1 1 Full Yes ±15 Type2 Driverslewratecontrol C,I 4x3,DFN-12,SSOP-16

RS232/RS485 Multiprotocol

LTC2870 3to5.5 20M/500k 1 1 Both Yes ±26 Type2 TwoRS232transceivers C,I 4x5,QFN-28,TSSOP-28

LTC2871 3to5.5 20M/500k 1 1 Both Yes ±16 Type2 TwoRS232transceivers C,I 5x7,QFN-38,TSSOP-38

LTC2872 3to5.5 20M/500k 2 2 Both Yes ±16 Type2 FourRS232transceivers C,I 5x7,QFN-38

InterfaceLinearTechnology’sInterfaceproductsencompassawidevarietyofindustry-standardcommunicationdevices,includingRS485transceiversthatenablelong-rangeequipmentcommunicationandI2C/SMBusdigitalinterfacedevicesthatimproveinter-chipcommunication.OurRS485,RS232,multiprotocolandcontrolareanetwork(CAN)transceiverseasilysupporttoday’sfastestdatarates,highnodecount,andlowvoltagesupplies,whilehighelectrostaticdischarge(ESD),failsafe,fault-protectionandgalvanicisolationincreasesystemreliability.ProcessFieldBus(PROFIBUS)compatibledeviceseasilymeettherobustrequirementsoffieldbussolutionsinfactoryandprocessautomationenvironments.TheI2C/SMBusbusbuffers,multiplexers,risetimeacceleratorsandisolatorshelpdigitalsystemsmeetspecificI2Cbusrequirements,suchasmaximumbuscapacitanceandrisetimes,usinguniqueselectablerisetimeaccelerationstrengthandstuckbusrecoveryfeatures.

Type1=Open;Type2=Idle,Open,Short

Page 37: Altera Development Tools 2013

Please verify exact configuration and specification with your Altera or Micron representative.©2012 Micron Technology, Inc. All rights reserved. Micron, the Micron logo, and RLDRAM are trademarks of Micron Technology, Inc. Altera, Stratix, Arria, and Cyclone are registered trademarks of Altera Corporation. All information herein is provided on an “AS IS” basis without warranties of any kind. Information is subject to change without notice. Rev. 8/12

Micron® Memory Makes It Easy to Design with Altera® FPGAsCollaborating to develop and deliver the DRAM, NAND, and NOR solutions that accelerate our customers’ business

• Innovative technologies, from leading-edge to legacy, to meet a wide variety of customer needs• Product reliability and compatibility that optimize customers’ time to market and design costs

For details, design guides, and power calculators, visit micron.com

Micron DRAM DDR3 SDRAM DDR2 SDRAM DDR SDRAM RLDRAM® 3 RLDRAM® 2 LPDDR2

Family MT41 MT47 MT46 MT44 MT49 MT42

Voltage 1.35V, 1.5V 1.5V 2.5V 1.35V 1.8V 1.2V

Clock Rate 400–1066 MHz 200–533 MHz 166–200 MHz 800–1066 MHz 300–533 MHz 400 MHz

Width x8, x16 x8, x16 x8, x16 x18, x36 x18, x36 x16, x32

Density 1Gb, 2Gb, 4Gb 1Gb, 2Gb 256Mb, 512Mb 576Mb, 1Gb 288Mb, 576Mb 512Mb, 2Gb, 4Gb

Altera Stratix® FPGAs

Stratix V (1) 2133 Mb/s 1066 Mb/s 1600 Mb/s 1066 Mb/s

1066 MHz 533 MHz 800 MHz 533 MHz

Stratix IV 1066 Mb/s 800 Mb/s 400 Mb/s 1066 Mb/s

533 MHz 400 MHz 200 MHz 533 MHz

Stratix III 1066 Mb/s 800 Mb/s 400 Mb/s 800 Mb/s

533 MHz 400 MHz 200 MHz 400 MHz

Stratix II and Stratix II GX

666 Mb/s 400 Mb/s 600 Mb/s

333 MHz 200 MHz 300 MHz

Stratix and Stratix GX

400 Mb/s 400 Mb/s

200 MHz 200 MHz

Altera Arria® FPGAs

Arria V (1) 1333 Mb/s 800 Mb/s 800 Mb/s 800 Mb/s

666 MHz 400 MHz 400 MHz 400 MHz

Arria II GZ 800 Mb/s 666 Mb/s 700 Mb/s

400 MHz 333 MHz 350 MHz

Arria II GX 800 Mb/s 666 Mb/s 400 Mb/s

400 MHz 333 MHz 200 MHz

Arria GX 466 Mb/s 400 Mb/s

200 MHz 200 MHz

Altera Cyclone® FPGAs

Cyclone V (1) 800 Mb/s 800 Mb/s 666 Mb/s

400 MHz 400 MHz 333 MHz

Cyclone IV 400 Mb/s 333 Mb/s

200 MHz 167 MHz

Cyclone III LS 333 Mb/s 300 Mb/s

167 MHz 150 MHz

Cyclone III 400 Mb/s 334 Mb/s

200 MHz 167 MHz

Cyclone II 333 Mb/s 333 Mb/s250 MHz

167 MHz 167 MHz

Page 38: Altera Development Tools 2013

Silicon Labs Clocks and Oscillators — Ideal for Altera FPGAs

Page 39: Altera Development Tools 2013

For more information visit www.samtec.com/alteraDevelopment boards not available through Samtec. For information on development boards and mezzanine cards by Altera® visit www.altera.com

INTERCONNECT STACKING SOLUTIONS

The HSMC connectors defined by the specification are based on the 0.5mm pitch Q Strip® (QSH/QTH Series) high speed board-to-board connectors from Samtec. Many Altera development kits feature host boards with the HSMC connectors. The host board connector is Samtec part number ASP-122953-01 (a modified QSH Series). The mezzanine card connector is Samtec part number ASP-122952-01 (a modified QTH Series).

These host boards are used by FPGA designers to prototype hardware

High Speed Mezzanine Card Connectors & Altera Development Kitsdesigns. The benefit to customers is two-fold. First, a variety of mezzanine cards are being created by Altera and other hardware providers. Second, customers can create their own HSMC solutions and then interface those custom mezzanine cards to several different FPGA host boards. For a complete listing of Altera daughter cards to expand the functionality of other development platforms (including those utilizing the HSMC Specification) go to: www.altera.com/products/devkits/kit-daughter_boards.jsp

The Altera® HSMC defi nes the electrical and mechanical properties of a high speed mezzanine card interface. This specifi cation standardizes ® HSMC defi nes the electrical and mechanical properties of a high speed mezzanine card interface. This specifi cation standardizes ®

the way mezzanine cards communicate and connect to host boards. By taking advantage of the high-performance I/O features in today’s FPGAs, manufacturers can build a single mezzanine card that interfaces to multiple host boards. They can also build a host board that can leverage many different, pre-built, mezzanine cards.

Altera Arria II GX FPGA Development Kit Arria® II GX delivers a complete system-level design environment ® II GX delivers a complete system-level design environment ®

that includes Samtec’s Q Strip® connectors (QSH/QTH Series).

Altera Altera Altera Stratix IV GX FPGA Development Kit Stratix®Stratix®Stratix IV GX features a -C2 (fast) speed grade production silicon ® IV GX features a -C2 (fast) speed grade production silicon ®

device and includes Samtec Q Strip® connectors (QSH/QTH Series).® connectors (QSH/QTH Series).®

Samtec has assisted in the development of many Semiconductor Application Designs with most major semiconductor companies. These designs are based on Samtec Q Strip® and Q Pairs® product families.

ALTERA HSMC (High Speed Mezzanine Card)

®

Host board connector is Samtec part number ASP-122953-01. Mezzanine card connector is Samtec part number ASP-122952-01.

Host board connector is Samtec part number ASP-122953-01. Mezzanine card connector is Samtec part number ASP-122952-01.

www.samtec.com/altera

Images courtesy of AlteraImages courtesy of Altera®

ASP-122952-01ASP-122952-01

HDR-12891-xxASP-122953-01ASP-122953-01 HDR-131992-xx-HQDPfinalinch.com

®

FPGA-BASED EVALUATION KITS & DEVELOPMENT BOARDS

ALTERA-2.indd 1 8/30/12 9:19 AM

Page 40: Altera Development Tools 2013

The Future Is IntegratedHow would you like to have it all in one? With Altera’s

ARM-based Cyclone® V and Arria® V SoC FPGAs, you can

have FPGA, DSP, and processor devices all in one integrated

chip. Integration reduces your system power, system cost,

and board size, while boosting your application performance.

You can also quickly design software for your ARM-based

SoC applications using standard ARM® development tools.

Quickly create your customized system, choosing from a

broad range of Altera®, partner, and custom IP cores:

• Embeddedprocessor

• Interfaceprotocol

• Videoprocessing

• Imageprocessing

• DSP

•Memorycontroller

Altera’s SoC FPGAs: User-Customizable ARM-Based SoC FPGAs

www.altera.com/socfpga

Scan here to view more kit details and to purchase!