ald '11-table of contents final -a · technical program schedule overview sunday, ... atomic...

24
Workshop Schedule Sunday, June 26, 2011 11:30-12:30 p.m. Registration Grand Ballroom Foyer 12:30 p.m.-1:15 p.m. A.C. Kummel (U.C. San Diego) In-Situ Scanning Tunneling Microscopy of ALD for Gate Oxides on Semiconductors Grand Ballroom A 1:15 p.m.-2:00 p.m. A. Londergan (Qualcomm) New Opportunities for ALD in MEMS, NEMS, Displays and OLED Lighting Technologies Grand Ballroom A 2:00 p.m.-2:30 p.m. Break Grand Ballroom A 2:30 p.m.-3:15 p.m. R.A. Adomaitis (Univ. of Maryland) An Overview of Multiscale Modeling Methods for ALD Processes and Reactor Systems Grand Ballroom A 3:15 p.m.-4:00 p.m. R. Gordon (Harvard University) Introduction to the Chemistry of ALD Grand Ballroom A

Upload: hoangxuyen

Post on 06-Aug-2018

213 views

Category:

Documents


0 download

TRANSCRIPT

Workshop Schedule Sunday, June 26, 2011

11:30-12:30 p.m. Registration Grand Ballroom Foyer

12:30 p.m.-1:15 p.m. A.C. Kummel (U.C. San Diego) In-Situ Scanning Tunneling Microscopy of ALD for Gate Oxides on Semiconductors

Grand Ballroom A

1:15 p.m.-2:00 p.m. A. Londergan (Qualcomm) New Opportunities for ALD in MEMS, NEMS, Displays and OLED Lighting Technologies

Grand Ballroom A

2:00 p.m.-2:30 p.m. Break

Grand Ballroom A

2:30 p.m.-3:15 p.m. R.A. Adomaitis (Univ. of Maryland) An Overview of Multiscale Modeling Methods for ALD Processes and Reactor Systems

Grand Ballroom A

3:15 p.m.-4:00 p.m. R. Gordon (Harvard University) Introduction to the Chemistry of ALD

Grand Ballroom A

Technical Program Schedule Overview Sunday, June 26, 2011 11:30 p.m.-6:00 p.m. Registration and Presentation Uploading Grand Ballroom Foyer

4:00 p.m.-6:15 p.m. Student Award Finalist Presentations Ballroom A

6:30 p.m.-9:00 p.m. Welcome Reception Museum of Science One Science Park (Located Next to the Hotel)

Monday, June 27, 2011 7:30 a.m.-5:00 p.m. Registration Grand Ballroom Foyer

8:30 a.m.-8:45 a.m. Welcome Ballroom A

8:45 a.m.-9:30 a.m. Keynote Address: Robert Langer Ballroom A

9:30 a.m.-9:45 a.m. ALD Innovation Award Ballroom A

9:45 a.m.-10:15 a.m. Break & Exhibits Ballroom B

Session A Session B

10:15 a.m. Electronics I Ballroom A Surface Chemistry & Conformal Coatings I

Skyline Suites

12:00 p.m. Lunch & Exhibits Riverfront, Somerset & Ballroom B

Lunch & Exhibits Riverfront, Somerset & Ballroom B

1:30 p.m. Electronics II Ballroom A Surface Chemistry & Conformal Coatings II

Skyline Suites

3:30 p.m. Break & Exhibits Ballroom B Break & Exhibits Ballroom B

4:00 p.m. Lighting Ballroom A

5:00 p.m.-8:00 p.m. Poster Session I & Exhibits Ballroom B

Tuesday, June 28, 2011

7:30 a.m.-5:00 p.m. Registration Grand Ballroom Foyer

Session A Session B

8:30 a.m. Precursor Simulation, Modeling, & Theory

Ballroom A Industrial ALD I Skyline Suites

10:00 a.m. Break & Exhibits Ballroom B Break & Exhibits Ballroom B

10:30 a.m. Precursors Ballroom A Industrial ALD II Skyline Suites

12:00 p.m. Lunch & Exhibits Riverfront, Somerset & Ballroom B

Lunch & Exhibits Riverfront, Somerset & Ballroom B

1:30 p.m. In-Situ Analysis Ballroom A Industrial ALD III Skyline Suites

3:30 p.m. Break & Exhibits Ballroom B Break & Exhibits Skyline Suites

4:00 p.m. Characterization Ballroom A Industrial ALD Panel Session

Skyline Suites

5:00 p.m.-8:00 p.m. Poster Session II & Exhibits Ballroom B

Wednesday, June 29, 2011

7:30 a.m.-5:00 p.m. Registration Grand Ballroom Foyer

Session A Session B

8:30 a.m. Solar Ballroom A Nanostructures I Skyline Suites

10:00 a.m. Break & Exhibits Ballroom B Break & Exhibits Ballroom B

10:30 a.m. Catalysis & Fuel Cells Ballroom A Nanostructures II Skyline Suites

12:00 p.m. Lunch Riverfront, Somerset & Ballroom B

Lunch Riverfront, Somerset & Ballroom B

1:30 p.m. Batteries & Storage Ballroom A Novel Materials I Skyline Suites

3:30 p.m. Break Ballroom B Break Ballroom B

4:00 p.m. Energy Devices Ballroom A Novel Materials II Skyline Suites

AVS 11th International Conference on Atomic Layer Deposition June 26-29, 2011, Cambridge, Massachusetts

Sunday, June 26, 2011

Student Award Finalist Presentations 4:00 – 4:15 (Student Award Finalist) Self-limiting Growth of GaN Using Plasma-enhanced Atomic Layer Deposition C. Ozgit, I. Donmez, M. Alevli, N. Biyikli, Bilkent Univ. ........................................................................................................ 296 4:15 – 4:30 (Student Award Finalist) Highly Tunable Fabrication of Nanostructured Materials by Atomic Layer Deposition X. Meng, X. Sun, The Univ. of Western Ontario .................................................................................................................. 254 4:30 – 4:45 (Student Award Finalist) Spectroscopic Studies of PbS Quantum Dots Deposited by ALD N.P. Dasgupta, H.J. Jung, O. Trejo, R. Sinclair, F.B. Prinz, Stanford Univ. ........................................................................ 243 4:45 – 5:00 (Student Award Finalist) Using Growth Inhibitors to Enhance Nucleation Density in Low Temperature CVD N. Kumar, P. Zhang, A.C. Dunbar,, L.M. Davis, G.S. Girolami, J.R. Abelson, S. Babar, Univ. of Illinois at Urbana Champaign .................................................................................................................. 80 5:00 – 5:15 (Student Award Finalist) Innovative Characterization Techniques for MoO3 ALD Coatings M. Diskus, O. Nilsen, H. Fjellvåg, S. Diplas, Univ. of Oslo; B. Weckhuysen, Univ. of Utrecht ............................................. 110 5:15 – 5:30 (Student Award Finalist) On the Impact of Energetic Photons and Ions on Plasma-assisted ALD of Metal Oxides H.B. Profijt, M.C.M. van de Sanden, W.M.M. Kessels, Eindhoven Univ. of Technology ..................................................... 122 5:30 – 5:45 (Student Award Finalist) Controlling the Nucleation of Noble Metal ALD and its Application to Nanopatterning A.J.M. Mackus, Eindhoven Univ. of Technology; J.J.L. Mulders, P.H.F. Trompenaars, FEI Electron Optics; S.A.F. Dielissen, M.J. Weber, W.M.M. Kessels, Eindhoven Univ. of Technology ............................................................... 174 5:45 – 6:00 (Student Award Finalist) Engineering LixAlySizO Thin Films as a Solid Electrolyte for 3D Microbatteries Y. Perng, J. Cho, D. Membreno, UCLA; M.F. Toney, Stanford Synchrotron Radiation Lightsource, Menlo Park; B. Dunn, J.P. Chang, UCLA ................................................................................................................................................. 152

Technical Program Schedule & Table of Contents

Monday, June 27, 2011 Breaks & Exhibits: 9:45-10:15/3:30-4:00; Lunch & Exhibits: 12:00-1:30; Posters, Exhibits, & Networking: 5:00-8:00 8:30 – 8:45 Welcome

Keynote Address 8:45 – 9:30 (Keynote) Creating and Implementing Breakthrough Medical Technologies R.S. Langer, MIT .................................................................................................................................................................. 35 9:30 – 9:45 ALD 2011 Innovation Award 9:45 – 10:15 Break & Exhibits

Session A: Electronics I (Session Chair: C.S. Hwang) 10:15 – 10:45 (Invited) The Nature of the III-V/Oxide Interface and its Impact on the ALD Growth of High-k Dielectrics for Advanced CMOS M. Pemble, E. O'Connor, Tyndall National Institute, Univ. College Cork; A. O'Mahony, Cambridge Nanotech, Inc.; B. Brennan, Dublin City Univ.; V. Djara V, C. Azzolini , K. Cherkaoui , S. Monaghan , R. Nagle R, I.M. Povey, A. Blake, Tyndall National Institute, Univ. College Cork; R. Contreras, Centro de Investigación y de Estudios Avanzados del IPN; M. Milojevic, The Univ. of Texas at Dallas; G. Hughes, Dublin City Univ.; R.M. Wallace, The Univ. of Texas at Dallas; P.K. Hurley, Tyndall National Institute, Univ. College Cork ................................................................................................ 39 10:45 – 11:00 Semiconductor-Metal Transition in Thin VO2 Films Grown by Ozone Based Atomic Layer Deposition G. Rampelberg, Ghent Univ.; M. Schaekers, K. Martens, IMEC; Q. Xie, D. Deduytsche, B. De Schutter, Ghent Univ.; N. Blasco, Air Liquide Electronics; J. Kittl, IMEC; C. Detavernier, Ghent Univ. .............................................. 40 11:00 – 11:15 Atomic Layer Epitaxy of Rare Earth Oxide Films on GaAs(111)A and Their Device Properties Y. Liu, Harvard Univ.; M. Xu, Purdue Univ.; J. Heo, Harvard Univ.; P.D. Ye, Purdue Univ.; R.G. Gordon, Harvard Univ. ................................................................................................................................................. 41 11:15 – 11:30 Y2O3 Films Grown by a Novel ALD Process from Y(EtCp)3 and Water Enabling Y-Based Silicates in Direct Contact with Si with Sub-nm EOTs C. Dubourdieu, IBM T.J. Watson Research Center and CNRS Paris France; M. Copel, E. Cartier, J. Bruley, M. Hopstaken, S.M. Rossnagel, IBM T.J. Watson Research Center; A. Kellock, IBM Almaden Research Center; M. M. Frank, IBM T.J. Watson Research Center ................................................................................................................. 42 11:30 – 11:45 Atomic Layer Deposition of Ultrathin High-ê Dielectrics on Epitaxial Graphene via Fluorine Functionalization V. Wheeler, N.Y. Garces, L.O. Nyakiti, R.L. Myers-Ward, G.G. Jernigan, C.R. Eddy Jr, D.K. Gaskill, Naval Research Lab ............................................................................................................................................................ 43 11:45 – 12:00 ALD Beryllium Oxide as a High-k Gate Dielectric for III-V MOS Devices J. Yum, T. Akyol, M. Lei, D.A. Ferrer, Univ. of Texas at Austin; T.W. Hudnall, Texas State Univ.-San Marcos; M. Downer, C.W. Bielawski, Univ. of Texas at Austin; G. Bersuker, SEMATECH; J.C. Lee, S.K. Banerjee, Univ. of Texas at Austin ....................................................................................................................................................... 44 12:00-1:30 Lunch & Exhibits

Session A: Electronics II (Session Chair: H. Jeon) 1:30 – 2:00 (Invited) Novel Semi-batch ALD Technology for ULSI Device Fabrication K.H. Lee, D. H. You, H.C. Ha, K.H. Ahn, Wonik IPS Co. ..................................................................................................... 47 2:00 – 2:15 Atomic Layer Deposition of MgO for High-k Capping Layers F. Tang, ASM, Phoenix; E. Tois, ASM, Helsinki; S-H. Jung, ASM, Phoenix; P. Räisänen, ASM,Phoenix; M. Givens, ASM, Phoenix; J.W. Maes, D. Pierreux, V. Machkaoutsan, ASM, Belgium; M. Tuominen, S. Haukka, ASM, Helsinki ....... 48 2:15 – 2:30 HfAlO3 Gate Stack on III-V p-channel Material GaSb: ALD Hf-first Versus Al-first C. Wang, M. Xu, J. Zhang, P.D. Ye, Purdue Univ. .............................................................................................................. 49 2:30 – 2:45 In-situ Electrical Studies of Ozone Based Atomic Layer Deposition on Graphene S. Jandhyala, B. Lee, G. Mordi, J. Kim, The Univ. of Texas at Dallas ................................................................................. 50 2:45 – 3:00 Evaluation of Novel Precursors for Atomic Layer Deposition of Nb2O5 Thin Films T. Blanquart, J. Niinistö, M. Heikkilä, Univ. of Helsinki; C. Xu, W. Hunks, ATMI; M. Ritala, Univ. of Helsinki ..................... 51 3:00 – 3:15 Copper Films Grown via Copper Oxide ALD Integrated with Different Liner Materials for Interconnect Applications T. Waechtler, Chemnitz Univ. of Technology / Fraunhofer ENAS; S. Mueller, L. Hofmann, R. Mothes, Chemnitz Univ. of Technology; S.-F. Ding, Fudan Univ.; S. E. Schulz, Chemnitz Univ. of Technology / Fraunhofer ENAS; H. Lang, Chemnitz Univ. of Technology; X-P. Qu, Fudan Univ.; T. Gessner, Chemnitz Univ. of Technology / Fraunhofer ENAS .............................................................................................................. 52 3:15 – 3:30 Atomic Layer Deposition (ALD) and Chemical Vapor Deposition (CVD) of Copper-Based Metallization for Microelectronic Fabrication Y. Au, Y. Lin, H. Kim, Z. Li, R. Gordon, Harvard Univ. ......................................................................................................... 53 3:30 – 4:00 Break & Exhibits

Session A: Lighting (Session Chair: A. Londergan) 4:00 – 4:30 (Invited) ZnO-Based Light-Emitting Diodes Grown by Atomic Layer Deposition M. Chen, J-J. Huang, J-R. Yang, National Taiwan Univ.; M. Shiojiri, Kyoto Institute of Technology ................................... 57 4:30 – 4:45 Enabling High Performance Ultraviolet Instruments for Astronomy and Space Exploration with ALD F. Greer, M.E. Hoenk, T.J. Jones, B.C. Jacquot, S. Monacos, Jet Propulsion Lab; E. Hamden, D. Schiminovich, Columbia Univ.; S. Nikzad, Jet Propulsion Lab ................................................................................................................... 59 5:00 – 8:00 Posters, Exhibits, & Networking

Monday, June 27, 2011 Breaks & Exhibits: 9:45-10:15/3:30-4:00; Lunch & Exhibits: 12:00-1:30; Posters, Exhibits, & Networking: 5:00-8:00

Session B: Surface Chemistry & Conformal Coatings I (Session Chair: J. Elam) 10:15 – 10:30 Atomic Layer Deposition of Smooth Phase Change GexSbyTez Layers on Planar and 3D Structures L. Yang, U. Weber, P.K. Baumann, AIXTRON SE; J. Mack, G. Kim, Z. Karim, S. Ramanathan, AIXTRON Inc. ................ 63 10:30 – 10:45 Comparison of the Conformality of ALD Grown SrTiO3 and BaTiO3 Using H2O or O3 as Oxygen Source T. Blomberg, E. Tois, S. Haukka, M. Tuominen, ASM; W. Besling, NXP; B. Riou, C. Bondoux, C. Richard, ST Micro ...... 64 10:45 – 11:00 A Quantified-Dosing ALD Reactor with Studies and Applications of Controlled Dosing to the ALD Process T. Larrabee, T. Mallouk, D. Allara, Penn State Univ. ........................................................................................................... 65 11:00 – 11:15 Conformality of Remote Plasma Enhanced ALD Processes: An Experimental Study J. Niinistö, M. Kariniemi, Univ. of Helsinki; M. Putkonen, Beneq Oy; M. Vehkamäki, M. Kemell, M. Ritala, M. Leskelä, Univ. of Helsinki ................................................................................................................................................................... 66 11:15 – 11:30 ALD Growth per Cycle Modulation Using Surface Functionalization: A Strategy for Improved Stoichiometry Control and Higher Doping Efficiencies A. Yanguas-Gil, J.W. Elam, Argonne National Lab .............................................................................................................. 67 11:30 – 11:45 In-Situ Study of the Reaction Mechanism Kinetics of Pt ALD I. Erkens, Eindhoven Univ. of Technology; A.J.M. Mackus, H.C.M. Knoops, F. Roozeboom, W.M.M. Kessels, Eindhoven Univ. of Technology ........................................................................................................................................... 68 11:45 – 12:00 Surface Loss in Ozone-based ALD Processes H.C.M. Knoops, Eindhoven Univ. of Technology; J.W. Elam, J.A. Libera, Argonne National Lab; W.M.M. Kessels, Eindhoven Univ. of Technology ........................................................................................................................................... 69 12:00-1:30 Lunch & Exhibits

Session B: Surface Chemistry & Conformal Coatings II (Session Chair: P. Ye) 1:30 – 1:45 Oxide Coating of Nanoporous Alumina using ALD to Produce Highly Porous Spinel E. Rauwel, O. Nilsen, Univ. of Oslo; J. Walmsley, Sintef Trondheim; M. Diskus, Univ. of Oslo; E. Rytter, Statoil Trondheim; H. Fjellvåg, Univ. of Oslo ....................................................................................................................... 73 1:45 – 2:00 Non-aqueous Sol-gel Routes Applied to the ALD of Oxides: The Case of Titanium Isopropoxide - Acetic Acid Process K. Bernal-Ramos, Univ. of Texas at Dallas, Richardson, USA; C. Marichy, G. Clavel, N. Pinna, CICECO, Univ. of Aveiro, Aveiro, Portugal; Y.J. Chabal, Univ. of Texas at Dallas, Richardson, USA ................................................ 74 2:00 – 2:15 In Situ Ellipsometric Investigations During the ALD Growth of Ru M. Junige, M. Knaut, M. Geidel, M. Albert, J. W. Bartha, Dresden Univ. of Technology ..................................................... 75 2:15 – 2:30 The Effects of TiO2 Crystallinity on Nucleation in Atomic Layer Deposition of Platinum H.-B.-R. Lee, S.F. Bent, Stanford Univ. ............................................................................................................................... 76

2:30 – 2:45 Flash-ALD – A Novel Approach for Atomic Layer Controlled Growth of Thin Films Induced by Flash Heating T. Henke, M. Geidel, M. Knaut, M. Albert, J.W. Bartha, TU Dresden .................................................................................. 77 2:45 – 3:00 Interface Cleaning During the Atomic Layer Deposition of Metal Oxides on GaAs(100) Surfaces T. Gougousi, L. Ye, J.W. Lacis, UMBC ................................................................................................................................ 78 3:00 – 3:15 In Situ Reaction Mechanism Studies on Atomic Layer Deposition of Ir and IrO2 from Ir(acac)3 K. Knapas, M. Ritala, Univ. of Helsinki ................................................................................................................................ 79 3:15 – 3:30 (Student Award Finalist) Using Growth Inhibitors to Enhance Nucleation Density in Low Temperature CVD N. Kumar, P. Zhang, A.C. Dunbar,, L.M. Davis, G.S. Girolami, J.R. Abelson, S. Babar, Univ. of Illinois at Urbana Champaign .................................................................................................................................. 80 3:30 – 4:00 Break & Exhibits

Tuesday, June 28, 2011 Breaks & Exhibits: 10:00-10:30/3:30-4:00; Lunch & Exhibits: 12:00-1:30; Posters, Exhibits, & Networking: 5:00-8:00

Session A: Precursor Simulation, Modeling, & Theory (Session Chair: R. Gordon) 8:30 – 9:00 (Invited) Mechanism of Noble Metal ALD S. Elliott, Tyndall National Institute ...................................................................................................................................... 83 9:00 – 9:15 Fluid Flow Effects in ALD for Semiconductor Manufacturing J.P. Trelles, S.S. Liao, Intel Corporation .............................................................................................................................. 84 9:15 – 9:30 In Situ Gas Phase Measurements and Equipment-Scale Simulations of Metal Alkylamide ALD Processes J.E. Maslar, D.R. Burgess, Jr., E.F. Moore, W.A. Kimes, B.A. Sperling, NIST .................................................................... 85 9:30 – 9:45 Reaction Modeling During TiN Growth Using TiCl4 and MMH K. Narushima, Tokyo Electron Yamanashi Ltd.; S.Y. Kang, Tokyo Electron Ltd.; Y. Kato, Y. Otsuka, Air Water, Inc.; T. Hotta, Tokyo Electron Yamanashi Ltd.; A. Kakimoto, M. Tachibana, Tokyo Electron Tohoku Ltd.; Y. Hanada, Tokyo Electron Yamanashi Ltd. ........................................................................................................................................... 86 9:45 – 10:00 Coupling Ballistic Transport and Surface Reaction Models for Direct Simulation of Conformal ALD R. Adomaitis, Univ. of Maryland ........................................................................................................................................... 87 10:00 – 10:30 Break & Exhibits

Session A: Precursors, Precursor Design, & Recipe Development (Session Chair: R. Kanjolia) 10:30 – 10:45 New Volatile Precursors for Group 2 Metals J. Norman, M. Perez, M.S. Kim, X. Lei, S. Ivanov, A. Derecskei, L. Matz, I. Buchanan, Air Products ................................ 91 10:45 – 11:00 High Rate Growth of SiO2 by Thermal ALD Using Tris(dimethylamino)silane and Ozone G. Liu, R. Bhatia, E.W. Deguns, M.J. Dalberth, M.J. Sowa, A. Bertuch, L. Lecordier, G. Sundaram, Cambridge NanoTech, Inc. .................................................................................................................................................. 92

11:00 – 11:15 Plasma-Enhanced ALD of TiO2 Using Cp-Based Precursors and Various Plasma Compositions: Experiments and DFT Calculations S.E. Potts, N. Leick, Eindhoven Univ. of Technology; A. Zydor, S. D. Elliott, Tyndall National Institute; W.M.M. Kessels, Eindhoven Univ. of Technology ............................................................................................................... 93 11:15 – 11:30 Nitrogen-Mediated ALD of Platinum: Precursor Synthesis, Film Deposition and Mechanistic Insights S.B. Clendenning, P.E. Romero, H.S. Simka, A.B. Mukhopadhyay, S. Shankar, Intel Corporation .................................... 94 11:30 – 11:45 p-Type Phosphorus-doped Zinc Oxide Films Deposited by Atomic Layer Deposition: Microstructural Effects W.L. Gladfelter, H. Yuan, B. Luo, S.A. Campbell, Univ. of Minnesota ................................................................................. 95 11:45 – 12:00 Surface Chemistry of Copper Precursors in Connection with Atomic Layer Deposition (ALD) Processes Q. Ma, Univ. of California; R.G. Gordon, Harvard Univ.; F. Zaera, Univ. of California ........................................................ 96 12:00 – 1:30 Lunch & Exhibits

Session A: In-Situ Analysis (Session Chair: A. Kummel) 1:30 – 2:00 (Invited) In Situ X-ray Based Characterization of ALD Processes C. Detavernier, J. Dendooven, K. Devloo-Casier, K. De Keyser, D. Deduytsche, Ghent Univ.; S. Pulinthanathu Sree, J. Martens, KULeuven, Leuven, Belgium; K.F. Ludwig, Boston Univ. ................................................................................. 99 2:00 – 2:30 (Invited) In-situ Monitoring for ALD Process Control J.W. Bartha, M. Knaut, M. Junige, M. Geidel, M. Albert, TU Dresden, Institute of Semiconductor and Microsystems Technology .................................................................................................. 100 2:30 – 2:45 Indium Oxide Atomic Layer Deposition Facilitated by the Synergy between Oxygen and Water J. Libera, J. Hryn, J. Elam, Argonne National Lab ............................................................................................................... 101 2:45 – 3:00 In Situ X-ray Characterization and Electron Tomography Study of ALD Coatings in Mesoporous Thin Films J. Dendooven, Ghent Univ.; E. Biermans, Univ. of Antwerp; E. Levrau, K. Devloo-Casier, Ghent Univ.; K F. Ludwig, Boston Univ.; P. Van der Voort, Ghent Univ.; S. Bals, Univ. of Antwerp; C. Detavernier, Ghent Univ. .......... 102 3:00 – 3:15 Initial Nitridation of Silicon Substrates by Hydrazine J. Kwon, the Univ. of Texas at Dallas; M. Saly, SAFC Hitech.; A. Lavoie, Novellus Systems Inc.; R. Kanjolia, SAFC Hitech.; Y.J. Chabal, the Univ. of Texas at Dallas ..................................................................................................... 103 3:15 – 3:30 In Situ Reaction Mechanism Studies on the New tBuN=Nb(NEt2)3 -Water and tBuN=Nb(NEt2)3 -Ozone Processes Y. Tomczak, K. Knapas, M. Leskala, M. Ritala, Univ. of Helsinki ........................................................................................ 104 3:30 – 4:00 Break & Exhibits

Session A: Characterization (Session Chair: C. Detavernier) 4:00 – 4:15 Atomic Layer Deposition of Thin Superconducting Films and Multilayer Meterostructures J.A. Klug, Th. Proslier, Argonne National Lab; N.G. Becker, Argonne National Lab and Illinois Institute of Technology; J.W. Elam, H. Claus, J. Norem, Argonne National Lab; J.F. Zasadzinski, Illinois Institute of Technology; M.J. Pellin, Argonne National Lab ...................................................................................... 107 4:15 – 4:30 Characterization of ALD Coatings in Nanoporous Thin Films by Ellipsometric Porosinetry J. Dendooven, Ghent Univ.; S. Pulinthanathu Sree, Catholic Univ. of Leuven; R. Van Hove, K. Devloo-Casier, Ghent Univ.; M. Baklanov, IMEC; J.A. Martens, Catholic Univ. of Leuven; C. Detavernier, Ghent Univ. ............................ 108 4:30 – 4:45 Microstructural Evolution of Silver Thin Films Deposited by ALD and the Resulting Changes in the Plasmonic Properties S.M. Prokes, Naval Research Lab; E. Cleaveland, Naval Research Lab ASEE; O.J. Glembocki, Naval Research Lab; H. Qi, Naval Research Lab ASEE; J. Caldwell, E. Foos, Naval Research Lab; J. Niinistö, Univ. of Helsinki, Finland ........ 109 4:45 – 5:00 (Student Award Finalist) Innovative Characterization Techniques for MoO3 ALD Coatings M. Diskus, O. Nilsen, H. Fjellvåg, S. Diplas, Univ. of Oslo; B. Weckhuysen, Univ. of Utrecht ............................................. 110 5:00 – 8:00 Posters, Exhibits, & Networking

Tuesday, June 28, 2011 Breaks & Exhibits: 10:00-10:30/3:30-4:00; Lunch & Exhibits: 12:00-1:30; Posters, Exhibits, & Networking: 5:00-8:00

Session B: Industrial ALD I (Session Chair: G. Wilk) 8:30 – 9:00 (Invited) Industrial ALD Systems: The Role of Computation in Design G. Sundaram, Cambridge NanoTech, Inc.; A. Bertuch, R. Bhatia, M.J. Dalberth, L. Lecordier, G. Liu, M. Sershen, M. Sowa, R. Coutu, J.S. Becker, Cambridge NanoTech, Inc. ............................................................................................. 113 9:00 – 9:30 (Invited) High Throughput Films and Devices by Spatial Atomic Layer Deposition D. Levy, S. Nelson, M. Burberry, L. Tutt, Eastman Kodak Company .................................................................................. 114 9:30 – 9:45 Studying Roll-to-Roll ALD Process Conditions Using a Moving Substrate under a Gas Source Coating Head P.R. Fitzpatrick, Z.M. Gibbs, S.M. George, Univ. of Colorado at Boulder ........................................................................... 115 9:45 – 10:00 Model-based Methodology for Analysis and Design of ALD Processes A. Holmqvist, S. Stenström, Lund Univ. ............................................................................................................................... 116 10:00 – 10:30 Break & Exhibits

Session B: Industrial ALD II (Session Chair: G. Parsons) 10:30 – 11:00 (Invited) Continuous ALD Deposition on Flexible Substrates – Towards a Roll-to-Roll Process P. Maydannik, T.O. Kääriäinen, K. Lahtinen , D. Cameron, Lappeenranta Univ. of Technology ........................................ 119 11:00 – 11:30 (Invited) Fast Atomic Layer Deposition for High Throughput and Low Temperature Applications P. Poodt, A. Illiberi, M. Smets, B. Kniknie, H. Winands, R. Knaapen, TNO; F. Roozeboom, TNO and Eindhoven Univ. of Technology; M. Tijdink, A. van Asten, TNO .......................................................................... 120

11:30 – 11:45 PEALD Batch Processing of Al doped TiO2 W. Lehnert, G. Ruhl, Infineon Technologies AG, Germany; A. Gschwandtner, R3T GmbH, Germany .............................. 121 11:45 – 12:00 (Student Award Finalist) On the Impact of Energetic Photons and Ions on Plasma-assisted ALD of Metal Oxides H.B. Profijt, M.C.M. van de Sanden, W.M.M. Kessels, Eindhoven Univ. of Technology ..................................................... 122 12:00 – 1:30 Lunch & Exhibits

Session B: Industrial ALD III (Session Chair: P. Poodt) 1:30 – 2:00 (Invited) High Rate Roll to Roll ALD of Ultra-Barrier Oxide Films E. Dickey, Lotus Applied Technology .................................................................................................................................. 125 2:00 – 2:30 (Invited) Cost Effective ALD for Solar Cell Passivation with Al2O3: Tool Design and Considerations V. Kuznetsov, P.Vermont, E.H.A Granneman, Levitech BV ................................................................................................ 126 2:30 – 2:45 Temperature Window for Al2O3 and ZnO Growth by Atmospheric Pressure ALD J.S. Jur, M.B. Mousa, C.J. Oldham, G.N. Parsons, NC State Univ. .................................................................................... 127 2:45 – 3:00 Roll to Roll ALD: From Prototype to Manufacturing M. Dalberth, M. Sershen, G. Sundaram, R. Coutu, J. Becker, Cambridge NanoTech ........................................................ 128 3:00 – 3:15 QCM Sensors for Advanced Process and Equipment Control M. Knaut, M. Albert, J.W. Bartha, TU Dresden, Institute of Semiconductors and Microsystems ......................................... 129 3:15 – 3:30 Comparison of Structural and Electrical Properties of In-situ Al2O3 Capped and Non-capped La2O3 Layers Deposited on Silicon K. Karakaya, IMEC / Holst Centre; C.A. van den Heuvel, Philips Innovation Services; J. Swerts, IMEC VZW; S.H. Brongersma, IMEC / Holst Centre ............................................................................................................................... 130 3:30 – 4:00 Break & Exhibits

Session B: Industrial ALD IV (Session Chair: S. George) 4:00 – 5:00 Industrial ALD Panel Discussion 5:00 – 8:00 Posters, Exhibits, & Networking

Wednesday, June 29, 2011 Breaks & Exhibits: 10:00-10:30/3:30-4:00; Lunch & Exhibits: 12:00-1:30

Session A: Solar (Session Chair: W.M.M. Kessels) 8:30 – 8:45 Replication of Nanoporous Gyroid Polymer Films Using Atomic Layer Deposition for Use in Dye-Sensitised Solar Cells P. Cunha, M. Scherer, U. Steiner, Univ. of Cambridge ........................................................................................................ 133 8:45 – 9:00 ALD of SiO2 and Al2O3 for Surface Passivation of c-Si Solar Cells W.M.M. Kessels, G. Dingemans, C.A.A. van Helvoirt, M.M. Mandoc, M.C.M. van de Sanden, Eindhoven Univ. of Technology ........................................................................................................................................... 134 9:00 – 9:15 Atomic Layer Deposition and Chemical Vapor Deposition of Tin(II) Sulfide P. Sinsermsuksakul, J. Heo, R.G. Gordon, Harvard Univ. ................................................................................................... 135 9:15 – 9:30 Replication of Butterfly Wings by ALD and Nanoimprint for Production of High Efficiency Si Solar Cells X. Tang, L. A. Francis, ICTEAM institute, Université catholique de Louvain, Belgium; P. Simonis, Départment de Physique, Facultés Universitaires Notre-Dame de la Paix, Belgium; M. Haslinger, R. Delamare, ICTEAM institute, Université catholique, Belgium; O. Deschaume, IMCN institute, Université catholique de Louvain, Belgium; D. Flandre, ICTEAM institute, Université catholique de Louvain, Belgium; P. Defrance, A. M. Jonas, IMCN institute, Université catholique de Louvain, Belgium; J- P. Vigneron, Départment de Physique, Facultés Universitaires Notre-Dame de la Paix, Belgium; J-P. Raskin, ICTEAM institute, Université catholique .............. 136 9:30 – 9:45 Dielectric Backside Passivation Improvements by Si-doped Al2O3 Dielectrics F. Benner, S. Kupke, S. Jakschik, E. Erben, Namlab gGmbH Dresden; M. Knaut, IHM TU Dresden; J. Müller, Fraunhofer CNT Dresden; M. Rose, Fraunhofer IKTS Dresden; U. Schroeder, T. Mikolajick, Namlab gGmbH Dresden ............................................................................................................................... 137 9:45 – 10:00 High Performance Dye-Sensitized Photovoltaic Cells Incorporating Micro-fibers with Conformal TiO2 Coating by Atomic Layer Deposition D.H. Kim, M. Woodroof, G. Parsons, NC State Univ. .......................................................................................................... 138 10:00 – 10:30 Break & Exhibits

Session A: Catalysis & Fuel Cells (Session Chair: F. Pritz) 10:30 – 10:45 Atomic Layer Deposition of SnO2 on Mesoporous Silica B. Parvizi, Y. Mortazavi, Catalysis and Nanostructured Materials Research Lab, Univ. of Tehran, Tehran, Iran ............................................................................................................................................... 141 10:45 – 11:00 Pt-Ru Alloy Catalyst Development by Atomic Layer Deposition for Direct Methanol Fuel Cells A. Dameron, NREL; S. Christensen, K. Hurst, T. Olson, NREL; S. Pylypenko, Colorado School of Mines; K O'Neill, J Bult, D. Ginley, NREL; R. O'Hayre, Colorado School of Mines; H. Dinh, NREL ............................................................... 142 11:00 – 11:15 Performance Enhancement of Micro-solid Oxide Fuel Cells Fabricated on Nanoporous Anodic Aluminum Oxide Templates by Atomic Layer Deposition J.I. Lee, C-W. Kwon, D-J. Lee, Seoul National Univ.; J-W. Son, Korea Institute of Science and Technology; K-B. Kim, Seoul National Univ. ............................................................................................................................................ 143

11:15 – 11:30 ALD of MnOx as a Catalyst for the Splitting of Water, K.L. Pickrahn, S. Park, S.F. Bent, Stanford Univ. ........................... 144 11:30 – 11:45 Nucleation & Growth of Continuous & Ultrathin Pt ALD Films for Improved Oxygen Reduction Activity in Fuel Cells Using W ALD Adhesion Layers L. Baker, A.S. Cavanagh, S.M. George, Univ. of Colorado, Boulder; A. Kongkanand, F.T. Wagner, General Motors Research & Development, Honeoye Falls, NY .......................................................................................... 145 11:45 – 12:00 Influence of the Precursors Chemistry on the ALD Growth of Cobalt-molybdenum Oxide Films M. Diskus, O. Nilsen, H. Hjellvåg, Univ. of Oslo; B. Weckhuysen, Univ. of Utrecht ............................................................. 146 12:00 – 1:30 Lunch

Session A: Batteries & Storage (Session Chair: G. Rubloff) 1:30 – 2:00 (Invited) ALD of Al2O3 for Highly Improved Performance in Li-ion Battery Electrodes A. Dillon, Y. Jung, NREL; L. Riley, Univ. of Colorado/NREL; A. Cavanagh, Univ. of Colorado; Y. Yan, NREL; S.M. George, Univ. of Colorado ........................................................................................................................................... 149 2:00 – 2:30 (Invited) ALD for Energy Conversion, N.P. Dasgupta, C-C. Chao, F. Prinz, Stanford Univ. .............................................................. 150 2:30 – 2:45 Atomic Layer Deposition for Uniform Coating of Biotemplated Nanostructured Electrodes for Lithium-ion Microbatteries E. Pomerantseva, K. Gerasopoulos, X. Chen, G. Rubloff, R. Ghodssi, Univ. of Maryland, College Park ........................... 151 2:45 – 3:00 (Student Finalist) Engineering LixAlySizO Thin Films as a Solid Electrolyte for 3D Microbatteries Y. Perng, J. Cho, D. Membreno, UCLA; M.F. Toney, Stanford Synchrotron Radiation Lightsource, Menlo Park; B. Dunn, J.P. Chang, UCLA ................................................................................................................................................. 152 3:00 – 3:15 ALD of Lithium Containing Compounds J. Hamalainen, T. Hatanpaa, J. Holopainen, Univ. of Helsinki; F. Munnik, Helmholtz-Zentrum Dresden-Rossendorf, Institute of Ion Beam Physics and Materials Research; M. Ritala, M. Leskela, Univ. of Helsinki ........................................ 153 3:15 – 3:30 ALD of Solid-State Electrolytes – A Prerequisite for 3D All-Solid-State Lithium Ion Batteries T. Aaltonen, O. Nilsen, H. Fjellvåg, Univ. of Oslo ................................................................................................................ 154 3:30 – 4:00 Break

Session A: Energy Devices (Session Chair: U. Schroeder) 4:00 – 4:30 (Invited) Concept of Spatially Divided Deep Reactive Ion Etching with ALD-based Passivation F. Roozeboom, TNO, Eindhoven and TU Eindhoven, The Netherlands; A.M. Lankhorsta, G. Winands, N.B. Koster, P. Poodt, A. Vermeer, TNO; G. Dingemans, W.M.M. Kessels, Eindhoven Univ. of Technology ......................................... 157 4:30 – 4:45 Ozone Based Atomic Layer Deposition of Polycrystalline V2O5 for Electrochemical Energy Storage X. Chen, E.A. Pomerantseva, P. Banerjee, K. Gregorczyk, K. Gerasopoulos, R. Ghodssi, G.W. Rubloff, Univ. of Maryland .......................................................................................................................................... 158 4:45 – 5:00 Highly Active Oxide Photocathode for Photoelectrochemical Water Reduction A. Paracchino, V. Laporte, K. Sivula, M. Graetzel, E. Thimsen, Ecole Polytechnique Fédérale de Lausanne ................... 159

Wednesday, June 29, 2011 Breaks & Exhibits: 10:00-10:30/3:30-4:00; Lunch & Exhibits: 12:00-1:30

Session B: Nanostructures I (Session Chair: M. Ritala) 8:30 – 9:00 (Invited) Process Integration of Atomic Layer Deposition (ALD) in Nanoenergy Devices P. Banerjee, A. Brozena, X. Chen, K. Gregorczyk, K. Gerasopoulos, L. Haspert, S. Sherrill, Y. Wang, R. Ghodssi, Univ. of Maryland; S.B. Lee, Korea Advanced Institute of Science and Technology; G.W. Rubloff, Univ. of Maryland ...... 163 9:00 – 9:15 An Approach for Cu ALD via Reduction of Ruthenium-Containing CuxO Films for the Metallization in Spintronic and ULSI Interconnect Systems S. Mueller, Chemnitz Univ. of Technology, Center for Microtechnologies (ZfM), 09107 Chemnitz, Germany .................... 165 9:15 – 9:30 ALD Metal/Oxide Nanoelectrode Arrays for Hybrid Organic/Inorganic Photovoltaic Devices D. Gu, H. Baumgart, G. Namkoong, Old Dominion Univ. .................................................................................................... 166 9:30 – 9:45 (Sn,Al)Ox Films Grown by Atomic Layer Deposition J. Heo, Y. Liu, P. Sinsermsuksakul, Z. Li, L. Sun, W. Noh, R.G. Gordon, Harvard Univ. .................................................... 167 9:45 – 10:00 Tailor-Made Superparamagnetic Nanotubes for Novel Hybrid Ferrofluid Suspensions R. Zierold, Univ. of Hamburg; Z. Wu, Ulm Univ.; J. Bachmann, Univ. of Hamburg; C.E. Krill III, Ulm Univ.; K. Nielsch, Univ. of Hamburg ............................................................................................................................................... 168 10:00 – 10:30 Break & Exhibits

Session B: Nanostructures II (Session Chair: G. Sundaram) 10:30 – 11:00 (Invited) ALD for Textiles J.S. Jur, J.C. Halbur, B. Gong, C.J. Oldham, W.J. Sweet, III, K. Lee, J.C. Spangola, B. Pourdeyhim, G.N. Parsons, NCSU ........................................................................................................................................................... 171 11:00 – 11:15 Characteristics of Sb-rich GeSbTe (GST) Thin Films Grown by Atomic Layer Deposition for High Performance Phase Change Random Access Memory (PCRAM) K. Lee, B.M. Seo, J.M. Lee, K. Hong, S.K. Park, Hynix Semiconductor Inc. ....................................................................... 172 11:15 – 11:30 Oxidation Behavior of Cu Nanoparticles Embedded in Porous Alumina Films Produced by Molecular Layer Deposition Y. Qin, Max-Planck-Institute of Microstructure Physics; Y. Yang, Institute of Microsystems Engineering (IMTEK), Albert-Ludwigs-Univ. Freiburg; R. Scholz, M. Knez, Max-Planck-Institute of Microstructure Physics ................................. 173 11:30 – 11:45 (Student Award Finalist) Controlling the Nucleation of Noble Metal ALD and its Application to Nanopatterning A.J.M. Mackus, Eindhoven Univ. of Technology; J.J.L. Mulders, P.H.F. Trompenaars, FEI Electron Optics; S.A.F. Dielissen, M.J. Weber, W.M.M. Kessels, Eindhoven Univ. of Technology ............................................................... 174 11:45 – 12:00 Plasma-Enhanced Atomic Layer Deposition of Silver Thin Films for Applications in Plasmonics and Surface-Enhanced Raman Scattering E. Cleveland, H. Qi, NRL; J. Niinistö, M. Ritala, Helsinki Univ.; S. Prokes, NRL ................................................................. 175 12:00 – 1:30 Lunch

Session B: Novel Materials I (Session Chair: M. Knez) 1:30 – 2:00 (Invited) New Directions, Ideas or Trends in ALD C.S. Hwang, Seoul National Univ. ....................................................................................................................................... 179 2:00 – 2:30 (Invited) Surface Modification of Materials Using Atomic Layer Deposition for Biotechnological Applications R.J. Narayan, NCSU ............................................................................................................................................................ 180 2:30 – 2:45 PEALD of Silver Thin Films M. Kariniemi, J. Niinistö, T. Hatanpää, M. Vehkamäki, M. Kemell, Univ. of Helsinki; T. Sajavaara, Univ. of Jyväskylä; M. Ritala, M. Leskelä, Univ. of Helsinki ................................................................................................................................ 181 2:45 – 3:00 Molecular Layer Deposition of Organic Thin Films for EUV Photoresist Applications H. Zhou, P.W. Loscutoff, S.F. Bent, Stanford Univ. ............................................................................................................. 182 3:00 – 3:15 Zircone & Tunable Zircone:ZrO2 Alloy Films Grown Using Molecular Layer Deposition Techniques B.H. Lee, V.R. Anderson, S.M. George, Univ. of Colorado ................................................................................................. 183 3:15 – 3:30 Titanicone Molecular Layer Deposition Using TiCl4 and Ethylene Glycol or Glycerol and Porous TiO2 Films Produced by Annealing A.I. Abdulagatov, R.A. Hall, S.M. George, Univ. of Colorado at Boulder ............................................................................. 184 3:30 – 4:00 Break

Session B: Novel Materials II (Session Chair: J. Bachmann) 4:00 – 4:15 A Route towards Metal-Polymer Hybrid Materials Prepared by Vapor Phase Infiltration S.-M. Lee, Korea Institute of Machinery & Material (KIMM); V. Ischenko, E. Pippel, Max-Planck-Institute of Microstructure Physics; A. Masic, Max-Planck-Institute of Colloids and Interfaces; O. Moutanabbir, Max-Planck-Institute of Microstructure Physics; P. Fratzl, Max-Planck-Institute of Colloids and Interfaces; M. Knez, Max-Planck-Institute of Microstructure Physics ........................ 187 4:15 – 4:30 Mesoporous Metal Oxides Prepared by Sequential Vapor Infiltration and Atomic Layer Deposition on Surfactant Films B. Gong, D.H. Kim, G.N. Parsons, NC State Univ. .............................................................................................................. 188 4:30 – 4:45 Molecular Layer Deposition of Flexible, Transparent and Conductive Hybrid Organic-Inorganic Thin Films B. Yoon, B.H. Lee, S.M. George, Univ. of Colorado Boulder ............................................................................................... 189 4:45 – 5:00 ALD of MOF-5 and IRMOF-8 Thin Films L.D. Salmi, M.J. Heikkilä, Univ. of Helsinki; D. Grosso, Collège de France; M. Ritala, Univ. of Helsinki ............................. 190

Poster Session I

Monday, June 27, 2011 Posters, Exhibits, & Networking: 5:00-8:00

ALD Surface Chemistry and Initiation of ALD Growth [1] Nucleation Delay in Atomic Layer Deposition on a Thin Organic Layer and the Role of Reaction Thermochemistry K.J. Hughes, J.R. Engstrom, Cornell Univ. .......................................................................................................................... 193 [2] Deposition of Ruthenium Thin Film by Plasma Enhanced and Thermal ALD Processes for Improving Step Coverage D.J. Choi, T.Y. Park, H.Y. Choi, H. Jeon, Hanyang Univ. .................................................................................................... 194 [3]The Properties of Ru Films Deposited on Ar Plasma Treated SiO2 by Plasma Enhanced Atomic Layer Deposition T.Y. Park, D.J. Choi, H.Y. Choi, Hanyang Univ.; Y.I. Jeong, H.H. Ryu, Inje Univ.; H. Jeon, Hanyang Univ. ...................... 195 [4]Tungsten ALD on Quartz and Nylon using WF6 and Heavily Diluted Silane in Inert Gas C.J. Oldham, W.J. Sweet, J.S. Jur, G.N. Parsons, NCSU. .................................................................................................. 196 [5] Controlling Initial Growth Behavior of ALD-SrTiO3 Films Using the Interposed ALD-Al2O3 Layers W. Lee, S.W. Lee, J.H. Han, S. Han, Seoul National Univ.; J. Gatineau, Air Liquide; C.S. Hwang, Seoul National Univ. ....................................................................................................................................... 197 [6] Substitutional Growth of ZnS on PbS films deposited by ALD O. Trejo, N.P. Dasgupta, F.B. Prinz, Stanford Univ. ............................................................................................................ 198 [7] Surface Chemistry of Pentakis(Dimethylamido)Tantalum on Ta Surface T. Kim, F. Zaera, U.C. Riverside .......................................................................................................................................... 199 [8] Surface Chemistry of the Atomic Layer Deposition of Manganese Films Studied Using X-ray Photoelectron Spectroscopy X. Qin, H. Sun, F. Zaera, U.C. Riverside ............................................................................................................................. 200

[9] Assessment of GaN Surface Pretreatment for Atomic Layer Deposited Al2O3 N. Nepal, NRL; N.Y. Garces, Global Strategies Group; D. Meyer, J.K. Hite, M.A. Mastro, C.R. Eddy, Jr., NRL ................ 201

Atomic Layer Epitaxy & Doping [10] Lowering the Hole Concentration in ALD-synthesized p-Cu2S by Zn2+ Doping E. Thimsen, Q. Peng, M. Pellin, A. Martinson, Argonne National Lab ................................................................................. 202 [11] Selective Atomic Layer Epitaxy of highly doped Si:P using PH3, Si3H8 and Cl2 M. Bauer, S. Thomas, ASM America ................................................................................................................................... 203 [12] Atomic Layer Deposition of Transparent Conducting Oxides for Energy Saving Glass P.R. Chalker, P.A. Marshall, S. Romani, M.J. Rosseinsky, Univ. of Liverpool; S. Rushworth, P.A. Williams, SAFC Hitech; J. Buckett, N. McSporran, J. Ridealgh, Pilkington Technology Management Limited .................................. 204 [13] Effects of ALD Precursor Doping Sequence on Al-doped ZnO Films E. Pollock, R. Lad, Univ. of Maine ....................................................................................................................................... 205

High-k & Related Device Applications [14] Deposition of Higher-k Dielectrics by Atomic Vapor Deposition (AVD®) for MIM Applications B. Gouat, U. Weber, P.K. Baumann, AIXTRON SE; B. Lu, AIXTRON Inc.; M. Heuken, AIXTRON SE .............................. 206 [15] Band Alignment of Plasma-Assisted ALD Hafnium Oxide on Gallium Nitride J. Yang, C. England, B. Eller, R.J. Nemanich, Arizona State Univ. ..................................................................................... 207 [16] Electrical States of Hafnium Oxide on Zinc Oxide Grown by Remote Plasma Atomic Layer Deposition C. Zhu, F. Tang, R.J. Nemanich, Arizona State Univ. ......................................................................................................... 208 [17] Resistive Switching Properties of PEALD La2O3 for Nonvolatile Memory Application L. Chen, L. Ye, Q. Sun, P. Zhou, H.L. Lu, S.J. Ding, D.W. Zhang, Fudan Univ. ................................................................. 209 [18] Insulator and Electrode Effects on Metal-Insulator-Metal Capacitor K-M. Ryu, I-S. Park, Hanyang Univ.; S-J. Park, Hanyang Univ., Korea Electronics Technology Institute; J-H. Ahn, Hanyang Univ.; J-H. Jeong, CN1 ......................................................................................................................................... 210 [19] Deposition Characteristics of Ruthenium Thin Films Using (Ethylcyclopentadienyl)(Pyrrolyl)Ruthenium Precursor B. Kim, O. Kwon, W. Kim, Y. Tak, S. Lee, G. Choi, H. Yang, K. Cho, C. Yoo, Samsung Electronics ................................. 211 [20] Plasma Enhanced Atomic Layer Deposition of NiO Thin Films for Resistive Switching Memory Devices S. Song, G. Kim, J. Seok, J. Yoon, K. Yoon, Seoul National Univ.; J. Gatineau, Air Liquide .............................................. 212 [21] The Effects of ALD Grown HfO2 and TiO2/Passivation Layer Stacks on the Electrical Properties of Metal Gate/ High-k Ge MOS Capacitors S. Lee, W. Lee, H.-S. Jung, H.K. Kim, C.S. Hwang, Seoul National Univ. .......................................................................... 213 [22] Effects of Post-Deposition Annealing Temperature on the Electrical Properties of ALD-Grown Al2O3 and HfO2 on InP C-H. An, Y-C. Byun, M.S. Lee, H. Kim, Sungkyunkwan Univ. ............................................................................................. 214 [23] Electrical Properties of ALD-HfO2 on GaAs Pre-treated with Trimethylaluminium Y-C. Byun, C-H. An, J.Y. Choi, H. Kim, Sungkyunkwan Univ. ............................................................................................. 215 [24] Evaluation of High Thermal Stability cyclopentadienyl Hf Precursors with H2O as a Co-Reactant for Advanced Gate Logic Applications S. Consiglio, R.D. Clark, G. Nakamura, C.S. Wajda, G.J. Leusink, TEL Technology Center, America .............................. 216 [25] Atomic Layer Deposition of HfO2-Based High-k Thin Films and Strategies to Enhance Thermal Stability T. Wang, J.G. Ekerdt, The Univ. of Texas at Austin ............................................................................................................ 217 [26] Engineering AlN and PZT Thin Films by Atomic Layer Deposition on Wide Bandgap Semiconductors as Gate Dielectric Y. Perng, J.P. Chang, UCLA ................................................................................................................................................ 218 [27] SrHfO3 Films Grown on Si (100) by Plasma Assisted Atomic Layer Deposition P.R. Chalker, K. Black, M. Werner, R. Rowland-Jones, M.J. Rosseinsky, Univ. of Liverpool ............................................. 219 [28] Low Temperature Atomic Layer Deposition Al2O3 for Macroelectronics T. Nam, W-H. Kim, M-K. Kim, H. Kim, Yonsei Univ. ............................................................................................................ 220 [29] Reduced Impurities and Improved Electrical Property of ALD HfO2 Film Grown at a Low Temperature (100 oC) by Al2O3 Incorporation T. Park, Hanyang Univ.; P. Sivasubramani, B.E. Cross, B. Lee, R.M. Wallace, J. Kim, Univ. of Texas at Dallas .............. 221

Interconnect Technologies [30] Effects of Nitrogen Reactive Gas on PEALD TaNx Diffusion Barrier for Cu Interconnect J.W. Park, D.Y. Moon, D.S. Han, S.Y. Shin, Hanyang Univ. ............................................................................................... 222 [31] Inductively Coupled Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using Ar/O2 Plasma B. Liu, C. Kei, C. Yu, D. Chiang, C. Hsiao, Instrument Technology Research Center, National Applied Research Labs; S. Huang, National Tsing Hua Univ. .................................................................................................................................... 223 [32] Plasma Enhanced Atomic Layer Deposition of Ruthenium Thin Films using Isopropylmethylbenzene-Cyclohexadiene- Ruthenium and N2/H2 Plasma T-H. Kim, T. Cheon, S-H. Kim, K-Y. Mun, Yeungnam Univ. ................................................................................................ 224

Highly Conformal ALD Processes [33] ALD of Pt Nanofilms in High Aspect Ratio Structures: Process Conditions and Film Properties P. de Rouffignac, N. Sullivan, Arradiance, Inc. .................................................................................................................... 225 [34] Fabrication of Tetragonal ZrO2 Nanotubes by ALD : Conformal Coating of 1D Nanochannel H. Kim, S.K. Panda, H. Yoo, M. Kim, H. Shin, National Research Lab. for Nanotubular Structures of Oxides ................... 226 [35] Conductive Coatings on Nonwoven Fiber Mats by Atomic Layer Deposition W.J. Sweet, C.J. Oldham, J.S. Jur, G.N. Parsons, NC State Univ. ..................................................................................... 227 [36] Understanding Hydrophilic-to-Hydrophobic Wetting Transitions on Cellulose Cotton Fibers Coated by Atomic Layer Deposition K. Lee, J.S. Jur, G.N. Parsons, NCSU ................................................................................................................................. 228

LEDS/OLEDS [37] Electrically Pumped Random Lasers Realized in Atomic Layer Deposited ZnO Films C.X. Shan, D.Z. Shen, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences ....... 229 [38] Permeation Characteristics of Al2O3/TiO2 Thin Films by Modifying Layer Structure for Flexible Electronic Applications D.S. Han, D.Y. Moon, J.H. Park, Y.K. Moon, W.S. Kim, S.Y. Shin, J.W. Park, Hanyang Univ. ........................................... 230

Optical [39] Growth of Erbium Doped Yttrium Oxide Thin Films Using New Techniques N. Becker, Th. Proslier, J.A. Klug, J.W. Elam, Argonne National Lab; J. Zasadzinski, Illinois Institute of Technology; T. Sanamyan, M. Dubinskii, J. Girolami, Army Research Lab; M.J. Pellin, Argonne National Lab ...................................... 231 [40] Multi-Bandgap 3D Photonic Crystals R. Zierold, Univ. of Hamburg; R. Kubrin, H. Sing Lee, A. Petrov, M. Eich, G.A. Schneider, Hamburg Univ. of Technology; K. Nielsch, Univ. of Hamburg ............................................................................................. 232

Mechanical & Tribilogical Coatings [41] Atomic Layer Deposition of Molybdenum Sulfide Films A. Mane, J. Libera, Y. Lei, Q. Peng, J. Elam, Argonne National Lab ................................................................................... 233 [42] Mechanical Response of ALD Alumina Coatings on Stiff and Compliant Substrates S.J. Bull, Newcastle Univ. .................................................................................................................................................... 234

Nano-laminate or Multi-component Materials [43] Rapid Vapor Phase Surface Functionalization with Hybrid SAMs / ALD Heterostructures L. Lecordier, G. Liu, R. Bhatia, E.W. Deguns, M.J. Dalberth, M.J. Sowa, A. Bertuch, G. Sundaram, J.S. Becker, Cambridge NanoTech Inc. ................................................................................................................................................... 235 [44] Development of ALD-Based Alumina Diffusion Barrier in Nano Laminate Energetic Materials M. Petrantoni, CNRS - LAAS; J. Kwon, J.F. Veyan, Univ. of Texas at Dallas; M. Bahrami, J.M. Ducéré, CNRS - LAAS; Y.J. Chabal, Univ. of Texas at Dallas; A. Estève, C. Rossi, CNRS – LAAS ........................................................................ 236

[45] Ultrathin Al2O3/TiO2 Nanolaminates: An Investigation of Atomic Layer Deposited Hybrid Oxides for Gate Dielectric Applications N.Y. Garces, D.J. Meyer, V D. Wheeler, D.K. Gaskill, C.R. Eddy, Jr, NRL ......................................................................... 238 [46] Investigation of Dual Dielectric Stacks on Metal-Insulator-Metal (MIM) Tunnel Diode Operation N. Alimardani, E.W. Cowell III, J.F. Wager, J.F. Conley, Jr., Oregon State Univ. ............................................................... 239 [47] Nucleation Laminate: A Method for Measuring Few-cycle Growth in Multicomponent ALD Nanolaminate Films with Substrate Inhibited Growth S.W. Smith, J.F. Conley, Jr., Oregon State Univ. ................................................................................................................ 240

Nanoparticles [48] Atomic Layer Deposition of Crystalline ZnO Nanoparticles on Forest of Single-walled Carbon Nanotubes I.H. Lee, Y.H. Lee, Sungkyunkwan Univ.; C.S. Hwang, Seoul National Univ.; Y.S. Min, Konkuk Univ. .............................. 241 [49] Functionalization and Templating of Nanoporous Metals by ALD M. Biener, T. Baumann, C. Orme, Y. Wang, LLNL; A. Wichmann, A. Wittstock, M. Baeumer, Univ. of Bremen; J. Biener, A. Hamza, LLNL .................................................................................................................................................. 242 [50] Spectroscopic Studies of PbS Quantum Dots Deposited by ALD (Student Award Finalist) N.P. Dasgupta, H.J. Jung, O. Trejo, R. Sinclair, F.B. Prinz, Stanford Univ. ........................................................................ 243 [51] Atomic Layer Deposition of Aluminum Phosphate and Titanium Phosphate on Silica Nanoparticles M.K. Wiedmann, Y.J. Pagan-Torres, J.A. Dumesic, T.F. Kuech, Univ. of Wisconsin-Madison ........................................... 244

Patterned & Selective Area ALD [52] Mechanical Masking of Films Deposited by ALD M.C. Langston, T. Usui, F.B. Prinz, Stanford Univ. .............................................................................................................. 245 [53] Passivation of Copper Surface for Selective-Area Atomic Layer Deposition E. Färm, M. Ritala, M. Leskelä, Univ. of Helsinki ................................................................................................................. 246 [54] Selective-area ALD Deposition Using SAM as a Resist for Nanolithography Applications J. Huang, M. Lee, J. Kim, Univ. of Texas at Dallas .............................................................................................................. 247

Nanotubes, Nanowires, Nanopores [55] Nanomaterials Engineering with Sequential Infiltration Synthesis in Block Copolymers Q. Peng, Y.C. Tseng, J.A. Libera, A.U. Mane, L.E. Ocola, S.B. Darling, J W. Elam, Argonne National Lab ...................... 248 [56] Elaboration of Metal Oxide-based Hetero-nanostructures via Non-hydrolytic Atomic Layer Deposition C. Marichy, G. Clavel, CICECO, Univ. of Aveiro; M. Ferrié, S. Ravaine, Centre de Recherche Paul Pascal, Univ. of Bordeaux ; M-G. Willinger, CICECO, Univ. of Aveiro; N. Pinna, Seoul National Univ. and Univ. of Aveiro ........... 249 [57] Monitoring the Distribution of Anchoring Sites on the Surface of Functionalized Carbon Nanotubes by ALD C. Marichy, M. G. Willinger, CICECO, Univ. of Aveiro; J-P Tessonnier, Fritz Haber Institute of the Max Planck Society; M.C. Ferro, CICECO, Univ. of Aveiro; K.H. Lee, Seoul National Univ.; N. Pinna, CICECO, Univ. of Aveiro and Seoul National Univ. ............................................................................................................................................................ 250 [58] Improvement of Optical Property of ZnO Nano Rods with ALD Surface Treatment Y. Jeong, J. Jang, C. Kim, H. Ryu, Inje Univ. ...................................................................................................................... 251 [59] Preparation of Porous TiO2 Hollow Nanospheres by Using Atomic Layer Deposition C.C. Kei, B.H. Liu, N.N. Chu, C.C. Yu, C.T. Lee, C.N. Hsiao, Instrument Technology Research Center, National Applied Research Labs .......................................................................................................................................... 252 [60] Conformal ALD Layers Grown with AAO and CNT Q. Fang, C.G. Xu, Oxford Instruments; M.Liu, G.H.Li, ISSP, CAS ...................................................................................... 253

[61] Highly Tunable Fabrication of Nanostructured Materials by Atomic Layer Deposition (Student Award Finalist) X. Meng, X. Sun, The Univ. of Western Ontario .................................................................................................................. 254 [62] Self-Assembled Al Nanopipes and Al-Al2O3-PT Nanocoaxes via ALD F. Ye, M.J. Burns, G. McMahon, S. Shepard, M.J. Naughton, Boston College ................................................................... 255

MEMS [63] Transparent Al Doped ZnO Oxide Thin Film Transistor Deposited by Low Temperature Atomic Layer Deposition S.J. Kim, K-B. Chung, J-S. Park, Dankook Univ. ................................................................................................................. 256

[64] Atomic Layer Deposition of Aluminum Oxide for Effective Surface Passivation of a MEMS Biosensor Y.W. Kim, S.E. Sardari, A.A. Iliadis, R. Ghodssi, Univ. of Maryland College Park .............................................................. 257

Molecular Layer Deposition [65] Molecular Layer Deposition of Polyethylene Terephthalate Thin Films T.V. Ivanova, P.S. Maydannik, D.C. Cameron, ASTRaL, Lappeenranta Univ. of Technology ............................................ 258 [66] Fabrication of Organic Based Thin Film Magnetic Semiconductor V[TCNE]x C. Kao, J-W. Yoo, Y. Min, A.J. Epstein, The Ohio State Univ. ............................................................................................ 259

Organic-Inorganic Hybrid Materials [67] Layer-by-Layer Deposition of Ti-ODA Hybrid Thin Films P. Sundberg, A. Sood, J. Malm, M. Karppinen, Aalto Univ. ................................................................................................. 260 [68] Atomic Layer Deposition on Phase-Shift Lithography Generated Photoresist Nanopattern F. Güder, Y. Yang, IMTEK, Univ. of Freiburg; M. Krüger, G. B. Stevens, Freiburger Materialforschungszentrum; M. Zacharias, IMTEK, Univ. of Freiburg ............................................................................................................................... 261

Precursors [69] Novel reactant-free Ruthenium Atomic Layer Deposition Process C. Fafard, A. Voisin, V.O. Rao, V. Omarjee, American Air Liquide – Delaware Research and Technology Center; C. Dussarrat, American Air Liquide – Delaware Research and Technology Center ........................................................... 262 [70] Precursor and Process Design for Ultra-Low Temperature Copper Deposition J. Mao, E. Eisenbraun, College of Nanoscale Science & Engineering, the Univ. at Albany - SUNY; V. Omarjee, A. Korolev, C. Lansalot, C. Dussarrat, American Air Liquide – Delaware Research and Technology Center ..................... 263 [71] Precursor Optimization for Tunable Atomic Layer Deposition of Hafnium Silicate Thin Film with Moisture V. Omarjee, C. Fafard, A. Voisin, V.P. Rao, C. Dussarrat, American Air Liquide – Delaware Research and Technology Center; S. Consiglio, TEL Technology Center, America, LLC; R. Clark, TEL Technology Center, America, LLC ...................................................................................................................................................................... 264 [72] New Volatile Precursors for Atomic Layer Deposition of Titanium Oxide Films S. Ivanov, X. Lei, D. Spence, Air Products and Chemicals, Inc.; M.S. Kim, Air Products Korea; I. Buchanan, L. Matz, Air Products and Chemicals, Inc. ........................................................................................................................... 265 [73] Silicon Oxide Atomic Layer Deposition from Aminosilanes M. Xiao, M. O'Neill, H. Bowen, Air Products & Chemicals, Inc. ........................................................................................... 266 [74] Development of Novel Ligand Scaffolds for the ALD of Metals J. Anthis, V. Zubkov, D. Thompson, Applied Materials ........................................................................................................ 267 [75] Growth and Properties of ALD In2O3 and Sn-doped In2O3 Films Prepared using Trimethyl Indium and Ozone A. Mane, Argonne National Lab; R. Kanjolia, SAFC Hitech; J. Elam, Argonne National Lab .............................................. 268 [76] A Family of Novel Monomeric Copper Precursors for Copper Metal ALD S.T. Barry, J.P. Coyle, Carleton Univ. .................................................................................................................................. 269

[77] Asymmetric, Monocyclic Amidinates for Copper Metal Deposition J.P. Coyle, A. Kurek, P.J. Pallister, Carleton Univ.; J. Meuller, Univ. of Saskatchewan; S.T. Barry, Carleton Univ. ........... 270 [78] Low-temperature Silicon Nitride films deposited by Plasma-Enhanced Atomic Layer Deposition using Bis-diethylamino-silane and Ammonia J.S.Choi, S.J.Won, J.H.Lee, H.J.Kim, Seoul National Univ. ................................................................................................ 271 [79] New Ni Amidinate Source for ALD/CVD of Ni, NiO and NiSi H. Li, T. Perera, D. Shenai, Dow Electronic Materials; Z. Li, R.G. Gordon, Harvard Univ. .................................................. 272 [80] Novel Volatile Precursors of Palladium for ALD and CVD D. Shenai, Q.M. Wang, J.S. Lehn, Dow Electronic Materials; R.G. Gordon, Harvard Univ. ................................................ 273 [81] Investigation of the Growth Properties of H2O Reactive Metallorganic Titanium ALD Precursors S. Riedel, Fraunhofer Center Nanoelectronic Technologies, Dresden, Germany; M. Rose, Fraunhofer Institute Ceramic Technologies and Systems, Germany; N. Blasco, V. Pallem, A. Korolev, C. Dussarrat, Liquide Electronics Europe, Châlon-Sur-Saône, France; J. Sundqvist, Fraunhofer Center Nanoelectronic Technologies, Germany; I. Endler, A. Michaelis, Fraunhofer Institute Ceramic Technologies and Systems, Germany ................................................................................................................................. 274 [82] ALD and Pulsed CVD of Ruthenium and Ruthenium Dioxide Thin Films from an Amidinate Precursor X. Wang, Harvard Univ.; H. Wang, Zhejiang Univ.; R.G. Gordon, Harvard Univ. ................................................................ 275 [83] Formation and Characterization of Phase Change Material Using Reactive Germyl Substituted Tellurides H. Ishii, J. Yokota, M. Minoura, J. Gatineau ......................................................................................................................... 276 [84] Atomic Layer Deposition of Sio2 - From R&D to Production C. Hossbach, Technische Universität Dresden; S. Riedel, Fraunhofer Center Nanoelectronic Technologies; S. Bönhardt, T. Henke, M. Geidel, Technische Universität Dresden; J. Sundqvist, M. Czernohorsky, Fraunhofer Center Nanoelectronic Technologies; M. Albert, J.W. Bartha , Technische Universität Dresden ..................... 277 [85] Investigation of Thermal Stability and Delivery of Cobalt Amidinates and Novel Cobalt Formamidinates for Metallic Cobalt by ALD/CVD Q.M. Wang, J-S. Lehn, H. Li, D. Shenai, The Dow Chemical Company; J. Yang, R.G. Gordon, Harvard Univ. ................. 278 [86] Novel Highly Volatile Copper Precursors for ALD/CVD of Copper Films J. Lehn, D. Shenai, The Dow Chemical Company; R. Gordon, Harvard Univ. .................................................................... 279 [87] Atomic Layer Deposition of Nickel Nitride Thin Films from a Volatile and Thermally Stable Nickel Diazadienate Precursor C.H. Winter, T.J. Knisley, Wayne State Univ.; M.J. Saly, R. Kanjolia, SAFC Hitech ........................................................... 280 [88] Thermally Stable and Volatile Transition Metal Diazadienate Complexes as Precursors for Atomic Layer Deposition T.J. Knisley, Wayne State Univ.; M.J. Saly, SAFC Hitech; C.H. Winter, Wayne State Univ.; J. Roberts, SAFC Hitech ...................................................................................................................................................... 281

Simulation, Modeling, & Theory of ALD [89] Modeling ALD Growth Profiles in a Viscous Flow Tubular Reactor and High Aspect Ratio Features: Analytic Approximation to Dose Times as a Function of the Surface Reaction Probability A. Yanguas-Gil, J.W. Elam, Argonne National Lab .............................................................................................................. 282 [90] Numerical Analysis on Silicon Nitride Deposition in a 5-Wafer Semi-Batch ALD Reactor G.S. Song, Korea Institute of Industrial Technology; B.H. Cho, H.D. Kim, Jusung Engineering Co.; K.H. Yoo, Korea Institute of Industrial Technology ............................................................................................................................... 283

Poster Session II

Tuesday, June 28, 2011 Posters, Exhibits, & Networking: 5:00-8:00

Batteries & Storage  [91] Atomic Layer Deposition of Lithium-Containing Aluminum and Titanium Oxides for Lithium Ion Battery Applications D.J. Comstock, J.W. Elam, Argonne National Lab .............................................................................................................. 287 [92] ALD of AL2O3 Films for the Encapulation of Thin Lithium Microbatteries M. Bedjaoiu, S. Martin, R. Salot, CEA-Liten ........................................................................................................................ 288 [93] Amorphous/Crystalline Transition Metal Oxides Prepared by Atomic Layer Deposition as Advanced Anode Materials for Lithium Ion Batteries J. Liu, X. Meng, X. Li, R. Li, X. Sun, Univ. of Western Ontario ............................................................................................ 289

Catalysis & Fuel Cells [94] Atomic Layer Deposition of Alumina on Catalytic Pd Nanoparticles: Growth Mechanism and Stabilization J. Lu, H. Feng, Argonne National Lab; Z. Feng, Northwestern Univ.; B. Liu, Argonne National Lab; M.J. Bedzyk, Northwestern Univ.; J.P. Greeley, P.C. Stair, J.W. Elam, Argonne National Lab ................................................................ 290 [95] Conversion of 1-Propanol on Pt-Pd Bimetallic Nanostructures Synthesized by Atomic Layer Deposition Y. Lei, J.L. Lu, R. Lobo, T.P. Wu, A.U. Mane, H. Feng, J.A. Libera, C.L. Marshall, J.T. Miller, J.W. Elam, Argonne National Lab .......................................................................................................................................................... 291 [96] Role of Fluorine in Atomic Layer Deposition of Y-doped BaZrO3 for Proton Conducting Ceramic Fuel Cells J. An, Y.B. Kim, J. Park, Stanford Univ.; J.H. Shim, Korea Univ.; T. Gur, F.B. Prinz, Stanford Univ. .................................. 292 [97] Substoichiometric TiO2 Nanorods as Fuel Cell Catalyst Supports Fabricated by ALD and Plasma Annealing R. Phillips, P. Hansen, E. Eisenbraun, Univ. at Albany ....................................................................................................... 293

Characterization [98] Properties of AlN Film Grown by Plasma Enhanced Atomic Layer Deposition M. Bosund, M. Putkonen, Beneq Oy; T. Sajavaara, Univ. of Jyväskylä; M. Laitinen, T. Huhtio, V-M. Airaksinen, H. Lipsanen, Aalto Univ. School of Electrical Engineering ................................................................................................... 294 [99] Atomic Layer Deposition of AlN Thin Films in Three Different Growth Regimes M. Alevli, C. Ozgit, I. Donmez, R. Ozalp, M. Urel, A. Dana, N. Biyikli, Bilkent Univ. ............................................................ 295 [100] Self-limiting Growth of GaN Using Plasma-enhanced Atomic Layer Deposition (Student Award Finalist) C. Ozgit, I. Donmez, M. Alevli, N. Biyikli, Bilkent Univ. ........................................................................................................ 296 [101] The Influence of N2/H2 and NH3 Group “V” Source Materials on Optical and Structural Properties of AlN Films Grown by Atomic Layer Deposition M. Alevli, C. Ozgit, I. Donmez, R. Ozalp, M. Urel, A. Dana, N. Biyikli, Bilkent Univ. ............................................................ 297 [102] Static and Dynamic Depth Profiling of Thin Films by Low Energy Ion Scattering (LEIS) P. Bruener, T. Grehl, ION-TOF GmbH; M. Py, CEA-Leti; H. Brongersma, E. Niehuis, ION-TOF GmbH ........................... 298 [103] The Influence of Hydrogen Concentration in Atomic Layer Deposited Aluminum Oxide Films on its Chemical Properties O. Kurapova, K. Chikkadi, Micro and Nanosystems, ETH Zurich, M. Döbeli, Ion Beam Physics, Paul Scherrer Institute and ETH Zurich,C. Hierold, Micro and Nanosystems, ETH Zurich ........................................................................ 299 [104] Optical Properties of Thin Silver Films Deposited by ALD M. Ritala, Lab of Inorganic Chemistry, Univ. of Helsinki; O.J. Glembocki, S.M. Prokes, E. Cleveland, J.D. Caldwell, J.P. Long, R.W Rendell, E. Foos, Naval Research Lab; J. Niinistö, Lab of Inorganic Chemistry, Univ. of Helsinki ............ 300

[105] Growth Mechanism of ALD ZnO Films Investigated by Physical Characterization and Fractal Analysis K. Tapily, D. Gu, Old Dominion Univ. ................................................................................................................................... 301 [106] A Comparative Study of Resistivity of Ultra-thin ALD TiN Films: Optical Measurements Versus Electrical Data H. Van Bui, A.A.I. Aarnink, A.Y.Kovalgin, R.A.M. Wolters, Semiconductor Components Group, MESA+ Institute for Nanotechnology, Univ. of Twente, The Netherlands ......................................................................................... 302 [107] Self-Limiting Film Growth of Transparent Conducting In2O3 by Atomic Layer Deposition Using Trimethylindium and Water Vapor, D-J. Lee, J-Y. Kwon, J.I. Lee, K-B. Kim, Seoul National Univ ...................................... .303 [108] ALD of Al-doped ZnO Films: Effect of Grain Orientation on Conductivity N.P. Dasgupta, S. Neubert, W. Lee, O. Trejo, J.-R. Lee, F.B. Prinz, Stanford Univ. ........................................................... 304 [109] Characterization of BaO Thin Film by Plasma assisted Atomic Layer Deposition Y. Choi, S.G. Shivareddy, Univ. of Cambridge; K. Black, Univ. of Liverpool; G.A.J. Amaratunga, Univ. of Cambridge ...... 305 [110] Biodegradability and Barrier Properties of Atomic Layer Deposited Biopolymers for Packaging Applications T. Hirvikorpi, M. Vähä-Nissi, J. Sievänen, M. Vikman, A. Harlin, VTT Technical Research Centre of Finland; M. Karppinen, Aalto Univ., School of Chemical Technology ................................................................................................ 306

Equipment [111] Magnetic Field Effect on the Plasma Assisted Atomic Layer Deposition Al2O3 Thin Film X.C. Li, L.J. Sang, Q. Chen, Beijing Institute of Graphic Communication ............................................................................ 307 [112] Long Lifetime Radical Production for Plasma Enhanced ALD S. Christensen, NREL; D Hoffman, Advanced Anergy Inc; L. Simpson, NREL; C. Almgren, Frontier Technical Service; N. Neale, A. Dameron, NREL .............................................................................................................................................. 308 [113] Use of a High-Flow Diaphragm Valve as a Stop Valve for ALD Reactors N.P. Dasgupta, O. Trejo, Stanford Univ.; F.B. Prinz, Stanford Univ. ................................................................................... 309 [114] Industrial ALD Equipment for PV and OLED Applications, M. Putkonen, Beneq Oy .................................................. 310 [115] Safe Handling and Uniform Nanocoating of Milligram Quantities of Carbon Nanotubes using Cotton and Polymer Fiber Mesh Encapsulation during Atomic Layer Deposition in a Conventional Viscous Flow Reactor C.K. Devine, C.J. Oldham, J.S. Jur, G.N. Parsons, NCSU. ................................................................................................. 311 [116] Relationships between Deposition Parameters, Step Coverage, Throughput, and Electrical Properties of PEALD SiO2 Insulation Liners for HVM TSV Application I.S. Jung, J.J. Woo, H.Y. Kwon, Y.J. Kim, D.S. Kang, J.H. Park, D.Y. Ahn, S.W. Choi, H.S. Park, Y.M. Yoo, ASM; A. Redolfi, Y. Civale, S. Thangaraju, Y. Travaly, E. Beyne, B. Swinnen, IMEC; D. de Roest, J. Beynet, ASM ................... 312

In-situ Monitoring and Analysis [117] Applications of In-situ Analysis to ALD Nucleation and Growth of Alumina on Silver in an ALD-150LX Research System A. Foroughi-Abari, Univ. of Alberta; G.B. Rayner, Jr., Kurt J. Lesker Company; K.C. Cadien, Univ. of Alberta .................. 313 [118] In Situ Water Measurements as a Diagnostic of Flow Dynamics in ALD Reactors W.A. Kimes, J.E. Maslar, NIST ............................................................................................................................................ 314 [119] Measurement of the Infrared Absorption Cross Sections of Low Vapor Pressure Metalorganic Precursors W.A. Kimes, J.E. Maslar, B.A. Sperling, R.F. Berg, NIST .................................................................................................... 315 [120] In Situ, Time-Resolved Measurements of Surface Species during Metal Alkylamide ALD J.E. Maslar, B.A. Sperling, W.A. Kimes, NIST ..................................................................................................................... 316 [121] Surface and Gas Phase Chemistry of ALD Processes Studied Using Tandem Gas Chromatography–Mass Spectrometry M. Bouman, F. Zaera, U.C. Riverside .................................................................................................................................. 317

Solar [122] Nanostructured Photovoltaic Devices Based on ALD of Wide Bandgap Semiconductor and Transition Metal Oxides T. Martin, A. Yanguas-Gil, R.W. Brotzman, J.A. Libera, J.W. Elam, Argonne National Lab ................................................ 318 [123] Growth of Aluminum Doped ZnO Using ALD System as a Transparent Conducting Oxide for Solar Cells T. Dhakal, A. Nandur, R. Christian, D. Vanhart, C.R. Westgate, Binghamton Univ. ............................................................ 319 [124] ALD Moisture Barrier for Cu(In,Ga)Se2 Solar Cells P. Carcia, Dupont Co.; S. Hegedus, Institute of Energy Conversion, Univ. of Delaware; R. Mclean, Dupont Co. .............. 320 [125] Application of Atomic Layer Deposition to Fabrication of a Flexible Organic Solar Cell G.S. Song, H.T. Kim, Y.J. Cho, K.H. Yoo, Korea Institute of Industrial Technology ............................................................ 321 [126] Wide-angle Antireflection of ZnO Film on the Bullet-like Nanostructure for Multi-crystalline Silicon W. Chen, S.Z. Tseng, S.H. Chen, National Central Univ., Taiwan; W.H. Cho, Instrument Technology Research Center, National Applied Research Labs, Taiwan; C.H. Chan, Optical Sciences Center, National Central Univ., Taiwan .............. 322 [127] Performance Improvement for all Photovoltaic Technologies with ALD M. Toivola, S. Ek, Picosun Oy ............................................................................................................................................. 323 [128] The Influence of Doping and Barrier Layers on the Photocatalytic Properties of ZnO Grown by Atomic Layer Deposition C. Moynihan, M.G. Nolan, J.A. Hamilton, S. O'Brien, M.E. Pemble, I.M. Povey, Tyndall National Institute, Univ. College Cork, Ireland .................................................................................................................................................. 324 [129] Atomic Layer Deposition of Core Shell Nano-powders for Photocatalysis P.A. Williams, P.R. Chalker, S. Romani, M. Werner, C. Ireland, R. Palgrave, M.J. Rosseinsky, Univ. of Liverpool ........... 325

Other [130] Direct Atomic Layer Deposition of Ternary Ferrites with Various Magnetic Properties Y.T. Chong, J. Bachmann, Univ. of Hamburg ...................................................................................................................... 326

[131] ALD Coating of Lighting Phosphor Powders with Transparent Electrically Conducting ZnO Film to Improve Lifetime for CL or CFL Applications K. Tapily, D. Gu, H. Baumgart, Old Dominion Univ. ............................................................................................................ 58