a study of lapping parameters to reduce polishing

95
A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING TIME OF OPTICAL GLASS AMAD ALDDEIN ELSHWAIN A project report submitted in partial fulfillment of the requirements for the award of the degree of Master Engineering (Mechanical-Advanced Manufacturing Technology) (Faculty of Mechanical Engineering) Universiti Teknologi Malaysia JUNE 2007

Upload: buidang

Post on 31-Dec-2016

231 views

Category:

Documents


4 download

TRANSCRIPT

Page 1: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING TIME OF

OPTICAL GLASS

AMAD ALDDEIN ELSHWAIN

A project report submitted in partial fulfillment

of the requirements for the award of the degree

of Master Engineering

(Mechanical-Advanced Manufacturing Technology)

(Faculty of Mechanical Engineering)

Universiti Teknologi Malaysia

JUNE 2007

Page 2: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

iii

In the name of Allah, Most Gracious, Most Merciful

All praise and thanks are due to Allah Almighty and peace and

blessings be upon His Messenger

The results of this effort are truly dedicated to my mother and father whose

example as devoted professionals, as well as, parents taught

me to be perseverant, responsible and loyal

to my belief.

To my father and mother for their support, encouragement, sacrifice, and especially

for their love.

Thank you all and this work is for YOU.

Page 3: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

iv

ACKNOWLEDGEMENTS

First and foremost, I thank Allah for giving me the strength to complete my

project. I would like to thank especially supervisor ASSOC. PROF. DR. IZMAN

BIN SUDIN for his constant support and guidance during my graduate studies at

Universiti Teknologi Malaysia. I would also like to convey my deepest gratitude to

Mr. Sazali Ngadiman, Mr. Aidid Hussin, Mr. Maizan Sulaiman and other staff of

production laboratory; Mr. Ayob Abu and other staffs of metallurgy laboratory, Mr

Khalid at metrology laboratory, and Faculty of Mechanical Engineering for their

effort in assisting me in various measurement and laboratory tasks.

Thanks to all my colleagues and friends with whom I had the opportunity to

learn, and share a good time during my stay here. Finally, special and infinite thanks

goes to the most important people in my life; my parents, for their love, prayers,

sacrifice and support.

Page 4: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

v

ABSTRACT

Machining of hard and brittle material always pose problems such as rough

surface, cracks, sub-surface damage and residual stress mainly due to its brittle

nature. In recent year researchers and manufactures have put in of lot effort to design

and fabricate highly precise device to achieve low tolerance, better surface finish and

low sub-surface damage at reduced cost. In this study ultrasonic grinding was used to

grind flat surface on the BK7 glass. Only feed rate was varied during grinding, ie.

0.5, 1.5, 2.5, and 3.5mm/min while other parameters such depth of cut (5μm),

frequency (20kHz) and spindle speed (1000rpm) were fixed. The four ground

samples were lapped at various table speeds and followed by polishing operations at

fixed conditions. Surface roughness and surface morphology of the samples were

evaluated after each process. It is found that surface roughness increases when the

feed rate increased. Higher lapping speed (50rpm) remove material faster and fines

better surface finish than lower speeds. Saturation point of surface roughness occurs

at 10 minutes lapping time. The finest polishing surface achievable using less rigid

machine was 38nm. It is expected lower Ra could be obtained after polishing if the

same lapping and polishing machine is used for both processes.

Page 5: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

vi

ABSTRAK

Pemensinan bahan keras dan rapuh sentiasa memberi masalah seperti

permukaan kasar, keretakan, kerosakan bawah permukaan dan tegasan tinggal yanh

mana sebahagian besarnya disebabkan oleh sifatnya yang rapuh. Sejak beberapa

tahun kebelakangan ini, penyelidik dan pengeluar telah berusaha keras untuk

merekabentuk dan memasang peranti berketepatan tinggi untuk mencapai tahap had

terima yang rendah, kemasan permukaan yang lebih baik dan keedaan kerosakan

bawah permukaan yang rendah pada kos yang rendah. Dalam kajian ini, pencanaian

ultrasonik telah digunakan untuk mencanai permukaan rata ke atas kaca BK7. Hanya

kadar uluran sahaja yang diubah semasa mencanai, iaitu. 0.5, 1.5, 2.5 dan

3.5mm/min, sementara lain-lain parameter seperti kedalaman pemotongan (5μm),

frekuensi ultrasonik (20kHz) dan kelajuan spindal (1000 rpm) telah ditetapkan.

Keempat-empat sampel yang dicanai talah dipelas pada beberapa kelajuan meja dan

diikuti dengan operasi penggilapan pada keadaan tetap. Kekasaran permukaan dan

morfologi permukaan semua sampel telah dinilai selepas setiap proses. Didapati

bahawa kekasaran permukaan meningkat apabila kadar uluran ditingkatkan.

Kelajuan mempelas yang lebih tinggi (50rpm) dapat membuang bahan dengan lebih

cepat dan memberikan kemasan permukaan yang lebih baik dari kelajuan mempelas

yang rendah. Tetik tepu kekasaran permukaan berlaku pada minit ke 10 masa

mempelas. Kemasan permukaan gilapan yang terhalus yang boleh dicapai

menggunakan mesin yang kurang tegar adalah 38nm. Adalah dijangkakan nilai Ra

yang lebilh rendah boleh diperolehi selepas penggilapan jika mesin mempelas dan

menggilap yang sama digunakan bagi kedua-dua proses.

Page 6: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

vii

LIST OF CONTENTNS

CHAPTERES TITLE PAGE

DECLARATION ii

DEDICATION iii

ACKNOWLEDGEMENTS iv

ABSTRACT v

ABSTRAK vi

TABLE OF CONTENTS vii

LIST OF TABLES X

LIST OF FIGURES Xi

LIST OF APPENDICES

Xiii

CHAPTER 1 INTRODUCTION 1

1.1 Overview 1

1.2 Problem statement 2

1.3. Objective of study 2

1.4. Scope of the study 3

1.5. Organization of the thesis

3

CHAPTER 2 LITERATURE REVIEW 4

2.1 Introduction 4

2.2 Back ground on optical glass 4

2.2.1 Composition and properties of BK7

glass

7

2.2.2 Optical flats 7

2.3 Overview on glass grinding process 8

Page 7: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

viii

2.3.1 Grinding wheels designation and

selection

9

2.3.2 Over view on rotary ultrasonic

machining

13

2.4 Lapping mechanism 14

2.5 Polishing mechanism 16

2.5.1 Polishing techniques 18

2.6 Components of lapping and polishing processes 20

2.6.1 Work piece 21

2.6.2. Fluid 21

2.6.3 Abrasive 23

2.6.4 Lap 26

2.7 Critical on literatures review

29

CHAPTER 3 RESEARCH METHODOLOGY 33

3.1 Introduction 33

3.2 Overview Work piece preparation 33

3.2.1 Ultrasonic Core Machining 35

3.2.2 Slicing process 36

3.2.3 Flattening Process by RUM Machine 38

3.3 Overall of the methodology 38

3.3.1 Ultrasonic grinding experiment 40

3.3.2 Lapping experiment 41

3.3.3 Polishing experiment 43

3.4 Analytical and measuring instruments 44

3.4.1 Surface roughness measurement 44

3.4.2 Surface morphology analysis

45

CHAPTER 4 EXPERIMENTAL RESULTS AND DEISCUSSION 46

4.1 Introduction 46

4.2 Effect of feed rates in ultrasonic grinding 46

4.3 Effect of table speeds during lapping experiment 49

4.4 Effect of time during polishing 54

Page 8: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

ix

CHAPTER 5 CONCLUSIONS AND RECOMMENDATIONS 59

5.1 Introduction 59

5.2 Conclusions 59

5.3 Recommendations for future work 60

REFRENCES 61

APPENDICES 65

Page 9: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

x

LIST OF TABLES

TABLE NO. TITLE PAGE

2.1 Physical and chemical properties of some optical glasses

(Izumitani, 1979)

5

2.2 Composition of BK7 optical glass (Izumitani, 1979; Bach and

Neouroth, 1995)

7

2.3 Properties of BK7 glass (Izumitani, 1979; Bach and Neouroth,

1995)

7

2.4 Three major families of manufactures diamond (Krar, 1995) 11

2.5 Preston coefficient of some glass polishing regimen utilizing

cerium oxide slurries (Izumitani, 1979)

19

2.6 List of CIMCOOL Fluids recommended for use in glass grinding

and abrasive machining

22

3.1 Experimental conditions of Ultrasonic Coring Machining 36

3.2 Lapping parameters 42

3.3 Polishing parameters used in the experiment 44

4.1 Summarizes the experimental results of ground surface for BK7

glass

46

4.2 Surface roughness results when measured at different table speed

during lapping

49

4.3 Results of polishing surface roughness for the samples A, B, C

and D.

54

Page 10: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

xi

LIST OF FIGURES

FIGURE NO. TITLE PAGE

2.1 Diagram of various types of optical glass produced by Schott

(Anon, 1996)

6

2.2 Classification of optical glass based on chemical composition in

the nd versus νd plot (Clement, 1995)

6

2.3 Optical flat 8

2.4 Material removal mechanism in rotary ultrasonic machining

(Prabhakar el al., 1993)

13

2.5 Four removal hypotheses in glass polishing 16

2.6 Interaction between these base elements of lapping and polishing

process (Belkhir et al, 2007)

20

2.7 An example of cast-iron polisher 27

2.8 An example of soft-metal polisher 27

2.9 An example of spiral-grooved pitch polisher 28

2.10 An example of wax polisher 28

2.11 An example of polyurethane foam 29

3.1 Flow chart for BK glass work piece preparation 34

3.2 Initial state of BK7 Schott glass raw material 34

3.3 Ultrasonic coring tool 35

3.4 Schematic illustration of the experimental set up for rotary

ultrasonic machining (Hu et al., 2002)

36

3.5 BK7 glass work piece complete with holder and stand 37

3.6 Precision cutter used together with a specially designed holder and

stand to hold and prevent glass work piece from chipping

37

3.7 A specially design fixture that is capable of holding 6 work pieces 38

Page 11: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

xii

at one time during flattening and grinding

3.8 Schematic diagram summarizes the overall experimental approach 39

3.9 Ultrasonic grinding set-up 40

3.10. Elements of the LP50 auto lapping plate flatness control system 41

3.11 Lapping jig with specimen holder of BK7 glass 42

3.12 Polishing machine and elements of polishing BK7 glass 43

3.13 Mitutoyo Form Tracer C5000 44

3.14 Axio Carl Zeiss high power microscope 45

4.1 Surface roughness increases when feed rate increase during

ultrasonic grinding

47

4.2 Surface morphology on BK7 glass with when grinding at different

feed rate

48

4.3 Effect of table speed of 20rpm on surface roughness during

lapping

50

4.4 Effect of table speed of 30rpm on surface roughness during

lapping

50

4.5 Effect of table speed of 40rpm on surface roughness during

lapping

51

4.6 Effect of table speed of 50rpm on surface roughness during

lapping

51

4.7 The combination effect of lapping speed on surface roughness

against lapping time

52

4.8 Surface morphology of lapped BK7 glass when lapping at

different lapping speeds

53

4.9 Reduction of surface roughness on sample A during polishing 54

4.10 Reduction of surface roughness on sample B during polishing 55

4.11 Reduction of surface roughness on sample C during polishing 56

4.12 Reduction of surface roughness on sample D during polishing 56

4.13 Relation between polishing time and surface roughness with

different initial surface roughness

57

4.14 Optical microscopic images of polished BK7 glass surfaces at

different polishing time

58

Page 12: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

xiii

LIST OF APPENDICES

APPENDIX TITLE PAGE

A NG codes coring program of BK7 work piece (φ25 × 25)

mm

65

B NG codes flatting surface program of BK7 work piece

(φ25 × 6)mm

66

C NG codes grinding program of BK7 work piece (φ25 × 6)

mm

69

D Initial plate flatness monitor 70

E Flow chart adjustment of plate flatness monitor 72

F Steps for mounted work piece BK7 glass on stainless steel

holder

73

G Steps for slurry preparation of lapping process 75

I1 Lapping surface profile of Sample B at Lapping speed 50

rpm

76

I2 Lapping surface profile of Sample B at Lapping speed 40

rpm

77

I3 Lapping surface profile of Sample B at Lapping speed 30

rpm

78

I4 Lapping surface profile of Sample B at Lapping speed 30

rpm

79

J1 Polishing Surface profile of Sample A 80

J2 Polishing Surface profile of Sample A 81

J3 Polishing Surface profile of Sample A 82

J4 Polishing Surface profile of Sample A 83

K Machines for work piece preparation 84

Page 13: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

CHAPTER 1

INTRODUCTION

1.1 Overview

Optical components can be found in infra-red systems, beam deflectors in

synchrotron radiation facilities and optical lenses. They are either in spherical or flat

surfaces, and require high precision in shape accuracy with low surface roughness

values (Zhong and Venkatesh, 1994).

Being made of advanced ceramics or optical glass, they are very difficult to

machine and shape because of their brittleness, extreme hardness to meet high

requirement on the high shape accuracy and the low surface roughness values in

certain applications (Zhong, 2002). Researchers have made much effort to

manufacture highly precise devices with good surface finish, and low sub-surface

damage (Van Ligten and Venkatesh, 1985; Venkatesh and Zohng, 1995).

In order to reduce the total manufacture time, it is preferable to obtain better

ground/lapped surface, with less fracture mode as possible in order to reduce

polishing time.

Page 14: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

2

1.2 Problem statement

Lapping and polishing processes are important steps involved in optical glass

manufacturing activities. Researchers and manufacturers have put lot of efforts for

achieving low tolerance, better surface finish with defect-free in order to reduce

manufacturing cost.

Being made of glass, this material is well known for its difficulty to machine

and shape at higher accuracy because of their brittleness nature and possessive an

extreme hardness.

Optical glass requires these traditional steps of processing, ie. grinding,

lapping and polishing. Among these, polishing process is the most time consuming

process. Polishing time is very much limited dependent on the state of prior two

process, ie. grinding and lapping. Optimization on grinding and lapping will reduce

significantly the polishing time. However, there are many parameters contribute to

the successful of grinding and lapping. Among others, the grit size of abrasive, the

speed (spindle and table), feed etc. These parameters also depend on machine rigidity

which partly contributes to the final finishing of the work piece. To date, there are

very little literature reports on the steps of manufacturing optical flat which can be

considered as confidential to many manufactures.

1.3 Objective of study

The objectives of this project as follows:

i. To evaluate the effect of feed rates on surface roughness of ground BK7

glass.

ii. To evaluate the effect of table speeds on surface surfaces of lapped BK7

glass.

iii. To propose a feasible range of polishing time for BK7 glass.

Page 15: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

3

1.4 Scope of the study

The scopes of study are as follows:

i. BK7 optical glass is selected for the study.

ii. Ultrasonic assisted grinding is used for preparing the initial surface before

lapping operation.

iii. Al2O3 abrasive slurry of 9μm is used in the lapping operation.

iv. Colloidal silica of 3μm is used as polishing slurry.

v. Load is fixed during lapping and polishing operations.

1.5 Organization of the thesis

First Chapter describes introduction, followed by the problem statement,

objective of the study and scope of study. The second Chapter prepared the back

ground on optical glass, optical flat and over view the principles of grinding, lapping

and polishing process optical glass. Third Chapter is details out methodology and

experimental works. Results and discussion are discussed in the Chapter four.

Chapter five discuses conclusions and recommendations for future work.

Page 16: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

CHAPTER 2

LITERATURE REVIEW

2.1 Introduction

Considerable precision and experience required to work produce optical glass

ie. From milling glass block through grinding then lapping finally polishing to set a

finished optical glass. Grinding process is an important stage, as it prepares the

surface of the glass for subsequence lapping and polishing process. As usually

grinding process is carried out in stages using progressively finer grades.

The initial rough shape of the optics is produced by generating process using

rough grinding wheel. The next step is lapping operation which provides a surface

shape as close as possible to the final geometry. Additionally, the roughness and sub

surface damage left from generating (grinding) have to be reduced. Finally, the

optical surface is obtained by polishing, where finer abrasive lapping is used. Both

lapping and polishing uses loose abrasive as in slurry form to improve the optical

surface (Fielder, 1995; Horne, 1983)

2.2 Back ground on optical glass

Optical glass is defined as group of glass having well defined optical

properties, optical homogeneous performance and absence of bubbles, striae, strain

and inclusion (Clement, 1995). Optical glass is usually described by its refractive

Page 17: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

5

index at the helium-d line, nd , (587.6nm) and its νd value (or Abbe number), which

is a measure of the dispersion or variation of index with wavelength. The Abbe

number is given by νd = (nd – 1)/(nf-nc) where (nf-nc) is the principle dispersion. nf is

,the refractive index at the hydrogen F line (486.1nm) and nc is the refractive index at

the hydrogen C line (656.3nm) respectively. The refractive indicates nd vary from

approximately 1.4 to 2.4 while the Abbe number varies from 15 to 100 (Marker and

Neuroth, 1995).

Optical glasses are sometimes classified as crowns, flints, barium crowns, etc.

(Clement, 1995). However, the boundaries of these various classes are not tightly as

shown in Figure 2.1. There are more than 250 different types of optical glasses that

can be classified by their chemical composition as shown in Figure 2.2. Optical

glasses acquire their properties through their chemical composition, melting process

and finishing methods. In order to obtain specific optical properties, chemical

compositions must often be chosen that lead to products with less than optimum

chemical resistance. Some of physical and chemical properties of optical glasses are

given in Table 2.1.

Table 2.1: Physical and chemical properties of some optical glasses (Izumitani, 1979)

Glass type Softening point(C)

Vickers hardness(kg/mm2)

Acid resistance

weight loss (%)

Water resistance

weight loss (%)

Silicate glasses SF6 470 413 1.3 0.03 KF2 490 627 0.07 0.07 FK1 475 666 1.9 - BK7 615 707 0.08 0.13 SK2 700 707 0.7 0.05 SK16 680 689 3.3 0.58

Borate glasses LaK12 670 743 1.7 0.35 LaLK3 650 762 1.9 0.70 LaK10 675 803 1.3 0.25 NbK10 670 803 1.2 0.02 NbF1 650 824 1.0 0.01

NbSF3 650 803 0.76 0.01 TaF2 685 847 0.74 0.01

Page 18: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

6

Figure 2.1 Diagram of various types of optical glass produced by Schott (Anon, 1996)

Figure 2.2 Classification of optical glass based on chemical composition in the nd versus νd plot (Clement, 1995)

Page 19: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

7

2.2.1 Composition and properties of BK7 glass

BK7 glass most commonly used optical glass materials for manufacture of

optical components such as glass mirror (Bach and Neuroth, 1995; Fang and

Venkatech, 1998). It is relatively hard glass, doesn't scratch easily and can be handled

without special precautions, almost bubble-free, high linear optical transmission in

visible range and chemically stable (Lim et al., 2002). The detailed composition and

properties of BK7 glass are given in Table 2.2 and 2.3 respectively.

Table 2.2: Composition of BK7 optical glass (Izumitani, 1979; Bach and Neouroth,

1995)

composition SiO2 B2O3 Na2O K2O BaO

weight % 70 11.5 9.5 7.5 1.5

Table 2.3: Properties of BK7 glass (Izumitani, 1979; Bach and Neouroth, 1995)

Property Value

Density ρ (g/cm2 ) 2.51

Abbe constant 64.07

Acid resistance weight loss (%) 0.08

Young's modules E, (103 N/mm2) 82

Knoop hardness HK(kg/mm2) 610

Fracture toughness KIC 1.08

Thermal expansion coefficient α, 10-6/K 7.1

2.2.2 Optical flats

Generally optical flats are used for testing and evaluating other optical

elements. An interference pattern is formed in the air between the flat and object

being evaluated, and this pattern is usually seen more through the flat than through

object. The pattern consists of alternating bright and dark bands or fringes which are

Page 20: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

8

contour map of the thickness of the air film. If the surface of the optical is

significantly flatter than surface being evaluated, it is correct to interpret the

interference pattern directly as a contour map of the surface being evaluated. If the

flat is used on the top of the object, and interference pattern viewed through the flat,

it is advantageous to have an anti-reflection coating on the top surface of the flat (the

surface which does not touch the object being evaluated) as shown in Figure 2.3.

2.3 Overview on glass grinding process

In comparison to ductile materials, such as copper, the brittleness of glasses is

governed by its minuscule level of plasticity and extremely low fracture energy.

Therefore fracturing occurs before the flow limit is reached, which means that the

critical depth of penetration by an indenter is fairly small (Schinker and Doll, 1984).

Nevertheless, Busch (1968) and Schinker (1987) proved that cracks-free glass

machining (shaving) can be realized under a limited set of condition. Puttick (1989)

observed that after ductile shaving, additional spiral (shaped) swarf is delaminated

from the bottom of the machined grooves. It is assumed that the spiral swarf is due to

longitudinal compressive stress along the tool path

The crack initiation threshold increases with increasing water content, up to

12 wt% in experimental glasses, due to dissolution of large amounts of water in

glass, deformation by plastic flow is promoted. Taka assumed that, due to dissolution

of large amounts of water in glass, deformation by plastic flow is performed. This

finding seems to contradict most crack growth experiments and grinding experience,

Figure 2.3 Optical flat

Page 21: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

9

which prove that speed of crack growth is increased in presence of water. (Takata,

1982).

The transition of the material removal regime from viscoplastic to brittle is

extremely crucial respect to the depth of the cut and to the introduced forces

(Schinker, 1984)

2.3.1 Grinding wheels designation and selection

Grinding wheel is made up two materials, the abrasive grains and the bonding

materials. It is produced by mixing the appropriate size of the abrasive with the

required bond and pressed into shape. The abrasive grains do the actual cutting and

the bond holds the grain together (Izman, 2004)

2.3.1.1 Abrasive types

Abrasive grains used for grinding wheels are very hard, highly refractory

materials and randomly oriented. Although brittle, these materials can withstand very

high temperature, there four types of abrasives that commonly used are (Krar, 1995):

i. Aluminum oxide

Aluminum oxide, one of the manufactured abrasive, is made by fusing

bauxite Ore in an arc-type electric furnace, and is used in the manufacture of

about 75% of all grinding wheels, it generally used for grinding high tensile-

strength materials. Aluminum oxide abrasive is manufactures in several

grades, which are identified by the amount of remaining impurities or the

addition of other ingredients. The most common types of aluminum oxide are

regular, semi friable, white, heavy-duty, and extra-heavy-duty.

Page 22: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

10

ii. Silicon carbide

Silicon carbide is made by silica sand and carbon in the form of cock react

with one another when subjected to high temperature in electric furnace and

produce hard abrasive crystals. Silicon carbide is generally used for grinding

low-tensile-strength and nonmetallic materials. There are only two generally

recognized types of silicon carbide: regular or block, silicon carbide, and

green silicon carbide. The degree of purity determines the hardness and color.

Silicon carbide abrasive is harder than aluminum oxide, but it splinters more

readily and, therefore, is considered more brittle

iii. Cubic boron nitride (CBN)

CBN is synthesized in crystal form from hexagonal boron nitride (CBN) is

twice as hard as aluminum oxide, and its performance on hardened steels is

far superior. CBN is cool cutting, is chemically resistance to all inorganic

salts and organic compounds, and can with stand grinding temperature

1000°C. There are various types of CBN available to suit a variety of steel

grinding applications. All CBN products are used in the metalworking

industry and do not perform well on nonferrous or nonmetallic materials.

There are two main classes of CBN abrasive, monocrystalline and

microcrystalline.

iv. Diamond

To produce diamond by manufacturing process, the conditions of pressure

and temperature found far below the earth’s surface had to be duplicated.

This involved design and building capable of reproducing the condition

suitable for diamond growth. Manufactured diamond is the hardness

substance known and has a hardness range between 7,000 and 10,000 on

Knoop hardness scale. Manufactured diamond is excellent for machining

non-ferrous metals (like copper, zinc, aluminum and their alloys), plastics,

ceramics, glass, fiberglass bodies, graphite and other abrasive materials, there

are three of families of manufactured diamond as shown in Table 2.4.

Page 23: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

11

Table 2.4: Three major families of manufactures diamond (Krar, 1995)

Type Description Product Applications RVG Irregularly shaped,

friable diamond Grinding wheels-

resinoid- and vitreous -bond systems

Grinding tungsten carbide and the metallics

RVG-W Metal-coated, friable Grinding wheels-resinoid-bond systems

Wet grinding tungsten carbide

and other metallics RVG-D Metal-coated, friable

diamond Grinding wheels-

resinoid-bond systems Dry grinding

tungsten carbide MBG-II Medium friability,

blocky diamond crystal, smooth surface

Grinding wheels-metal-bond systems

Grinding glass and ceramics

MBG-T Medium friability, blocky diamond crystal,

smooth surface

Plated tools-metal-bond systems

Grinding glass, ceramics, and composites

MBS Toughest, blocky diamond crystal, smooth

surface

Saw blades-metal-bond systems

Sawing and grinding stone and

concrete FINES Ungraded diamond Compounds, loose

abrasive, polishing wheels

Polishing and lapping metallic and nonmetallics

2.3.1.2 Bonds materials

Grinding wheels are composed of abrasive grains held to gather by bonds.

The amount of bound used determines the hardness (grade) of the wheel. Standard

grinding wheels may use one the following bonds: vitrified, resiniod, rubber, shellac,

silicate, and oxychloride. Vitrified, resiniod, and rubber bonds are the most common

and are used in over 90% the grinding wheels, basically classified as follows (Krar,

1995):

i. Vitrified bond

It is used in about 50% of the wheels produced. It is made of clay or feldspar,

which fuses at high temperature to form a glass like material. Most grinding

wheels with vitrified bonds operate at speed of about 6500 surface feed per

minute.

Page 24: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

12

ii. Resinoid bond

These wheels can be operated at much higher speed (9,500 - 16,000 sf/min).

resiniod bond is suitable for all types wheels from hard, dense, coarse wheels

to soft, open, fine. Resinioed wheels are used for rough grinding operation,

such as snagging and cutting off, where rapid stock removal is important.

iii. Rubber bond

It made by vulcanizing a mixture of abrasive grain, crude rubber, and sulphur.

Rubber wheels are used extensively on thin cutoff wheels, where they

produce a relatively burr-free cut. They are also used as regulating wheels on

centerless grinders.

iv. Shellac bonds

Shellac-bonded wheels are used to produce high finishes on cutlery,

camshafts, and mill rolls. This type of wheel may be operated at speed up to

16,000sf/min.

v. Silicate bonds

These bonds release the grains more rapidly, it produces a cooler-cutting

wheel. It is used only to limited extent in wheels for cutlery grinding and in

discs used to grind the ends of springs where the heat generated should be

kept to minimum. Silicate-bonded wheels operate at speed of about

5,500sf/min.

vi. Oxeychloride bond

Abrasive grains are added to a mixture of magnesium chloride, magnesium

oxide, and water to form cold-setting cement. These wheels are used for dry

grinding operations on the ends of compression springs where a cool-cutting

wheel is necessary.

Page 25: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

13

2.3.2 Over view on rotary ultrasonic machining

Rotary ultrasonic machining combines the material removal mechanisms of

the ultrasonic machining process and the conventional diamond grinding process.

These Include hammering (indentation and crushing under impact of the

ultrasonic vibrations), abrasion (the rotational motion of the cutting tool can be

modeled as a grinding process) and extraction (produced by the simultaneous action

of ultrasonic vibration and rotational motion of the tool) (Pei and Ferreira, 1998).

This is schematically illustrated in Figure2.4. The combination of these three

material removal mechanisms results in higher material removal rates in rotary

ultrasonic machining than those obtained by either the ultrasonic machining process

or the conventional diamond grinding process(Prabhakar el al., 1993)

Figure 2.4 Material removal mechanism in rotary ultrasonic machining (Prabhakar el al., 1993)

Page 26: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

14

2.4 Lapping mechanism

Lapping is defined as a process where two surfaces are worn together

between a free rolling abrasive. This differs from a grinding or turning process,

where the abrasive particle is fixed within the wheel and cuts the material. A

consequence of this is that the shape of the lapping plate is constantly changing as

the plate wears and will greatly influence the flatness of the piece being lapped. This

ability has been exploited in many industries to generate optically flat surfaces on

glass, ceramics and other crystalline materials (Brown, 1979).

In other words, lapping can employed to remove excess material from flatten

chosen surfaces, whether they be held in precise orientation to the lapping plate or

free running within the confines of the plate area. However, the surface of the

lapping plate is continuously fed with free-rolling abrasive particles, in variable drip

rates, using a predetermined carrier fluid whilst the plate is rotating. Therefore hen

the surface is assumed to be lapped then can be applied to lapping plate which reset

on the abrasive grains in the fluid on that plate. A suitable load is applied to the

sample and the plate is set in motion. As the lapping process begins, the material to

be lapped settles on to the lapping plate and should begin to rotate with the plate

(depending on the area, and original flatness, of the material).

The abrasive particles will be forced under and across the material face in all

directions as it rotates. As the lapping process continues the material face being

lapped will assume the matching ‘shape’ to that of the lapping plate.

The main attraction of lapping process of lapping is that it is believed to

produce less stress on the substrate and induce less subsurface damage, particularly

in soft, brittle crystalline materials such as optical glass (Blkhir, 2007).

The objective and capabilities of lapping process, in general lapping

processes have the following characteristics which, however, vary in degree

according to the particular systems and equipment:

Page 27: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

15

1. The rate of stock removal is low due to the low cutting speeds and

shallow penetration of the fine abrasive grains into the work surface.

2. Lapping carried out without generating significant heat; it is considered a

“cool” process which does not cause thermal damage.

3. Relatively low force is extending on the work piece that is usually held in

a well-supported manner; consequently lapping is often successfully

applicable to brittle materials and friable parts.

4. The general shape of the surfaces worked by lapping is mostly limited to

basic forms, such as flat, cylindrical, and spherical. It is used only

exceptionally for other shapes, such as screw threads.

5. the accuracy of form produced by lapping is excellent, particularly from

flat surfaces which constitute the major, although not exclusive, field of

application

6. Surface with the lowest degree of roughness can be produced by specific

lapping systems, but even in a general sense, lapped surface are very

smooth with regard to both the measurable surface finish and the visually

discernible reflectivity. This latter property of the worked surface is,

however, also affected by the work material and its hardness. Soft

materials usually have low reflectivity and display a matter surface, even

when lapped to a high degree of physical smoothness.

7. Functionally needed surface characteristics other than finish are also

accomplished by lapping, often degree not attainable by any other

method.

8. Size control can be excellent due to the low and, at the same time,

essentially constant rate of stock. Removed, which condition permits

controlling the amount of size reduction by limiting the time during which

the consistently uniform action of the lapping process is applied.

9. Economic benefits can also be derived when lapping applied to develop

work surfaces which could also be produced by other methods.

Page 28: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

16

2.5 Polishing mechanism

In polishing, the material surface to be worked on is pressed against a soft

material (the polishing pad) such as felt, leather or a porous polymer, and there is

relative motion between the two surfaces. This combination of contact pressure and

relative motion alone does not cause material removal since the polishing pad is

usually much softer than the work piece material. So, slurry composed of fine

abrasive particles suspended in chemicals is introduced into the interface between the

work piece and the pad (Huan, 2005)

The material removal process in glass polishing is described either by wear

theory, flow theory, chemical theory or combination of these. Izumitani (1982)

proved by numerous experiments that the polishing rate of glass depends on

chemical durability of glass, and there is no relationship to micro hardness or the

softening point of glasses. That is true because polishing does not remove or bulk

material it self, but just the soft hydrate layer. This is unique property of glass which

is the source of ultra –smooth surfaces (Izumitani, 1979)

A number of other eminent scientists such as Rayleigh nad Beliby (1921),

Bowden and tabor (1950), Kaller, 1960, Bruche and Poope (1960), Izumitani (1980)

and Cook (1990), (1991) have investigated the physical and chemical aspects of

polishing over the years. There are four removal hypotheses for mechanical chemical

glass polishing as shown in Figure 2.5.

Figure 2.5 Four removal hypotheses in glass polishing

Page 29: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

17

1. Abrasion

Newton’s abrasion is based on mechanical machining operation similar to

grinding. Material removal is achieved by including very cracks. Generally

hypotheses on abrasion apply only to the very first phase of the polishing

process (Kaller, 1980; Stien et al., 1999; Dunken, 1981).

2. Flow Hypothesis

The flow hypothesis links plastic materials displacement with local material

softening due to the frictional heating. These effects can be observed, taking

account of the viscoelastic characteristics of the chemically modified glass

substrate. The fundamental concept of the flow hypotheses was developed by

Rayleigh and Beilby (March, 1964; Bruch and Poppa, 1955; Poppa, 1957).

3. Chemical hypothesis

In the Chemical hypothesis, material removal is attributes to the formation

and removal of layer of gel. This layer is produced by incorporation of water

into substrate area of the glass. Various interaction between the constituents

of the glass and slurry have been observed, these depend on the composition

and properties of the polishing liquid and on the characteristic of the glass

materials. In addition to the dissolutions of the glass due to water, certain

elements may also affected by elution from the subsurface (Events et al.,

2001).

4. Friction wear hypothesis

The friction wear hypothesis was developed in response to the lack of

information provided by the chemical hypothesis regarding the influence

extorted by the polishing medium. The interaction between the polishing

medium and the glass material is not disputed. Instead, the mechanical

material removal has been replaced by a chemical reaction between the

polishing grain and constituent parts of the glass”chemical tooth”. The solid

body reaction tends to take place at defects in crystals lattice. This bond is

stronger than the bond within the glass matrix, causing removal of glass.

(Keller, 1957)

Page 30: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

18

2.5.1 Polishing techniques

2.5.1.1 Pitch polishing

The final shape and finish were obtained by pitch polishing. There were many

different types of "rouge", and it was the secret of the skilled optician to choose the

proper combination of pitch and rouge to make miracle happen: production of

surface-unique properties of glass –with sub-nm smoothness and sub- μm shape

accuracy. At the same time, these small numbers expressed surface qualities that

unattainable in other production areas. The tangential components of any force put

on the work piece will force it to side along the lap surface, which is wetted with

slurry containing abrasive. Beside the speed of the motion, the normal force is

responsible for the amount of material removed from the work piece surface as well

as the amount of pitch displaced. At the same time, high spots of the lap and the

workpiece surface undergo higher pressures than the average parts, and, therefore,

they are removed preferentially. The proper choice of the pitch viscosity and

temperature control of the environment are decisive for the shape accuracy and the

obtainable smoothness (Brown, 1977).

2.5.1.2 Polyurethane polishing

This is polishing technique especially for time consuming processing steps. In

order to reach lower polishing cycle times, the polishing speed had been increased by

one or two orders of magnitude. As a consequence increased polishing pressures

were also necessary so that the workpiece did not merely float hydrodynamically on

the polishing slurry film-without any material removal. The Preston coefficient for

all kinds of standard glass polishing technique is almost the same as long as similar

chemistry is applied, see Table 2.5. The differences of material removal rate are

merely due to the different speed and pressures (Izumitani, 1979).

Page 31: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

19

Table 2.5: Preston coefficient of some glass polishing regimen utilizing cerium oxide

slurries (Izumitani, 1979)

Polishing technique Glass type lap

Preston

coefficient c

10-13 P-1

High speed polishing of

eyeglass

Crown glass Polyurethane

pad

10

High speed polishing of

spherical precision optics

BK7 Polyurethane 6-10

Pitch polishing of spherical

precession optics

BK7 pitch 8

Pitch polishing of spherical

precision optics

Fused silica pitch 2

Full aperture pitch polishing

of spherical optics

ZERODUR Pitch with

cloth

2-8

Sub-aperture pitch polishing

of aspherical optics

ZERODUR 6

0.013

Elastic emission machining

Internal result

BK7 Polyurethane

ZrO2 grains

CeO2 grains 0.3

Float polishing Sapphire Tin/SiO2 0.02

2.5.1.3 Teflon polishing

Teflon polishing system is for the production of ultra precise flats. Using

Teflon polisher, the friction forces between lap and workpiece were reduce

dramatically in comparison to pitch polishing slow machine polishing process is able

to produce surfaces repeatable, with flatness of λ/200, which needed for Fabry-

Perot interfometers (Leistner, 1976).

Page 32: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

20

2.5.1.4 Float polishing

Float polishing technique which was later applied to the gentle polishing

ceramics, cermets and glasses (Bennet et al., 1977). The technological and

commercial importance of the process gained because of its superiority heads. Float

polishing uses a rabidly rotating tin lap with an aqueous polishing slurry of colloidal

silicon oxide. The size of these polishing particles is in the range of just 4-7 nm,

where the particles for conventional polishing are 1 μm (Namba, 1977)

2.6 Components of lapping and polishing processes

All lapping and polishing process can be described as four component

system, that the mechanisms involved can be grasped understanding the interactions

among those components as shows in Figure 2.6.

Lapping and polishing are differentiated technology, but not is this

mechanistic view: the relative size of the (grit) and the surface layer removed may

change dramatically, but the processes as rely on interaction between these base

elements.

Figure 2.6 Interaction between these base elements of lapping andpolishing process (Belkhir et al, 2007)

Page 33: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

21

2.6.1 Work piece

The object of polishing is to modify the work piece. Work piece vary in bulk

composition and may have probably variation as functions of both lateral dimension

and depth. Chemical compositions of material to be polished fall into specific

categories, based on the type of bonding involved. Materials to be polished may be

pure or may be mixtures with characteristics components sizes. Lateral or depth

dimension variation and may be discrete or continuous. The importance of the

chemical composition is that characteristically different materials are susceptible to

different polishing regimes (Evans et al., 1994).

2.6.2. Fluid

The fluid phase of the slurry may be characterized by its chemical

composition and by its physical properties. Chemical compositions of fluids include

water and non aqueous fluid like hydrocarbons and alcohols. Physical properties of

the fluid affect both fluid dynamics and material transport in polishing. These

properties include viscosity, density and thermal conductivity, all of which are

pressure and temperature dependent. These properties can also be varied by change

in the chemical composition of the fluid (Evans et al., 1994)

Fluids for Glass Processes, semisynthetic and synthetic fluids have both been

used for glass processes Table 2.6 provides a list of CIMCOOL Fluids recommended

for use in glass grinding and abrasive machining. In the industry today, the product

type of choice when working with glass typically is a synthetic water based fluid for

the following reasons: Clear product providing excellent visibility, Clean, Improved

settling of glass fines and Low foaming. Additives for Glass Processing Fluids may

be required as makeup to extend the life and performance of the fluid used in the

glass processing system. Examples of additives that may be required are: Settling

Aids, Corrosion Inhibitors and Antifoams, Fluid Requirements for Glass Processes:

A glass processing fluid should provide the following:

Page 34: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

22

Table 2.6: List of CIMCOOL Fluids recommended for use in glass grinding and

abrasive machining

i. Optimum settling of glass fines

If settling is too rapid, fines will plug the lines. If it is too slow, re-circulation

may cause a rapid increase in the alkalinity of the mix, resulting in etching of

polished faces. In addition, fluids with optimum settling characteristics, keep

the glass fines from hard packing in the filtration system.

ii. Adequate lubrication

Lubrication is required for various operations, tool life, and shear blade life

and to improve diamond wheel life. If a product provides too much

lubrication it will cause the glass to slip in the machine.

iii. Good washing action

A grinding fluid with good washing action removes fines from polished faces

of the plate and any oil left on the glass from previous processing.

iv. Corrosion protection for the machine

The wet environment of glass processing has the potential to cause corrosion

to the machine and its tools. Glass processing fluids are formulated with

materials that provide protection for the tools and machine.

Page 35: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

23

v. Foam Control

Low foaming products are important since the presence of foam may impede

the grinding process causing quality issues such as chipped edges

2.6.3 Abrasive

The function of abrasive in slurry is to mechanically remove material from

the surface of the work piece. The abrasives themselves can be distinguished by

number of factors, including chemical composition, size, shape and concentration,

while the effect of each of there factors may be important. Abrasives Requirements

are: The abrasive must have a shape that presents several sharp cutting surfaces,

High hardness at room and elevated temperatures, Controlled toughness or, rather,

ease of fracture allows fracture to occur under imposed mechanical stresses, Low

adhesion to the work piece, and Chemical stability (Evans, 1994).

Chemical composition of abrasive very to include material like diamond, and

CBN (which have network covalent bonds and are relatively inert), or alumina ,

silica ,etc (which are ionic network materials whose surface properties change with

Ph) chemical effect may be present of absent in given system, and may be permanent

or become activated(light or other means) in situ. Designer abrasives, with hard

oxide cores and soft organic shells, or with organic cores and hard oxide shells, may

also have special mechanism removal properties.

Size parameters involve both average size and size distribution, abrasives

used in polishing in range size three orders of magnitude from colloidal silica or

alumina particles which tens of nanometers in diameter in diameter to diamond and

silicon carbide which are tens of microns in size. Under some condition,

agglomeration of smaller particles become important, affecting the average size and

the size distribution. In some cases, the abrasives fracture, changing the average

particles size and shape during the lapping and polishing processes.

Page 36: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

24

Shapes of different abrasives may be significant. Some granules are

characteristically spherical, but elliptical, blocky and shaper fractured are also used,

in the case fracturing of larger abrasives, the smaller ones will tends to present

sharper under polishing conditions.

Concentration effect may be negligible (in some polishing operations)

concentration may be given as weight or particles per volume.

Types of abrasives which are using in lapping and polishing processes as

follows (Hans and Neuroth, 1995):

i. Iron oxide (Fe2O3)

Only few are suitable for use as polishing media. Depending on the base

material and process use some ferric oxides have particularly good specific

polishing properties and combining different oxide it is possible to produce

exceptionally useful polishing media capable of imposing high degree of

luster to the surface of soft metals and alloys, particularly precious metals, in

addition to polishing metals iron oxide is used in fairly wide scale for

polishing glass (mirror glass, spectacle lens, and glass for precision optics) . It

has hardness 6-7 mohs scale.

ii. Chromium trioxide (Cr2O3)

More limited to use, probably because of it is high price it's known as" green

compo" is extra hard and finely crystalline. It is suitable to polishing hard

metal such as chromium and its alloys and steel, it has hardness 8-9 on mohs

scale.

iii. Vienna lime

It produced mostly from dolomite, and is an exceptionally fine powder with

particles size below 1 μm consists of mixture of calcium and magnesium

oxides. Emulsions

iv. Polishing chalk

Consists in the main (80-85%) of silica (SiO2) and (10-16%) of alumina

Al2O3, it is not carbonate. Polishing medium which has been in use for a long

Page 37: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

25

time is polishing chalk. Chalk is very fine powder of laminar structure

although it is not as fine as Vienna lime.

v. Alumina (Al2O3)

polishing alumina to be suitable for polishing the alumina chosen must be

remain stable under the conditions of temperature and pressure which exit

during polishing and it my be remove executive material from the surface

being polishing. The aluminas can be divided in to group γ (gamma) and α

(alpha) alumina, α -alumina that is the real row material for polishing

alumina. γ –alumina is soft and does not posses any pronounced polishing

properties. α – alumina is hardened and depending on its crystals size can be

used for a wide variety of polishing process. Aluminas can be formulated

ranging from soft to very hard and from very fine to very coarse, resulting in

many different compositions, pastes, emulsions.

vi. Alundum also called "diamantine"

Which hardening oxides are incorporated into crystal structure by

incorporating e.g. chromium, Alumdum becomes ruby in color. It is an

exceptionally fine powder and it used particularly for polishing of precious

metals.

vii. Cerium oxide Formula (Ce2O3)

This material to great extent has replaced iron oxide in the glass – making

industry with good results. It is vet fine powder with no sharp edges, small

edition of another rate earth oxide, praseodymium oxide. Minor impurities of

this type do not influence the materials polishing efficiency.

viii. Beryllium oxide

Another rare earth plashing oxide is (Be O), it is very similar to cerium oxide,

it is white, very light, amorphous powder which is hard but also brittle i.e. in

some ways it is similar to aluminum oxide, and its high price. But very good

results are obtained with this product when it is used for polishing hard

metals

Page 38: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

26

ix. Diamond

It is little used for polishing metals and plastics, its main applications being

for polishing precious stones, principally diamonds. Hard metals, glass,

quartz, porcelain, natural and artificial gem stones, precious stones, plastics,

hardened steel, etc can all be worked with diamond-impregnated wheels or by

the use of diamond –powder coatings on bobs, mops and belts.

2.6.4 Lap

The lap imposes relative motion between the granules and the work and

affects slurry and swarf transport through the contact. For example the cast iron

plates commonly used with alumina or silicon carbide to generate he base radii on

optical elements. A variety of other metals are used. Metal laps may be faced with

pitch or variety of different “cloth” (pellon, flet) or pads (e.g. polyurethane foams)

laps are also made using a thin film to face a substrate with a specific texture and by

stacking pads with different properties. Pads may contain a variety of groove

patterns. In addition to their role in imposing motion, laps can be important in

panelizing or otherwise shaping the work piece. Lap characteristics which affect the

material process depend on the specific lap condition. Bulk modulus (or in the case

of pitch, viscosity) affect the penetration of loaded graduals into the lap. Surface pads

may have different horizontal and vertical elastic and plastic behaviors which could

also affect polishing. Laps types which are using in lapping and polishing processes

as follows (Flynn and Powell, 1989):

i. Cast iron

This material can be used for polishers typical with a scratch hardness of nine

of greater on mohs scale as shown in Figure 2.7. When one becomes worn

and loses its figure, it is resurfaced and used again by completely machining

away the old surface by turning off at least 0.02 mm with one cut on center

lath. For a new, scrolled surface a practical initial loading is 0.0015 g for each

square centimeter-(e.g. 0.25 of 3-W-45 Hyprez diamond compound is spread

on 15 cm polisher with 3 cm central recess.

Page 39: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

27

ii. Soft metal

The use of a soft-metal matrix in conjunction with diamond abrasives has

long been a conventional metal polishing combination and very good finishes

with the minimal edge turndown that speedily result can be obtained, too, on

a range of crystals.

Thus copper polishers are used when working crystals circa 9 mohs, indium

for those as soft as 2-4 mohs and tin or solder are generally useful at

intermediate values as shown in Figure 2.8.

Flatness better than λ/10(He) is readily obtained solder, tin and indium are easy

cast and since tin similar to solder in performance, one or other is

superfluous. Copper is machine able from solid but is slightly prone to

accidental contamination.

iii. Pitch

The tools that are made range from pure pitch to blends of pitch, wax, resin

and wood-flour. The Harding of pure it for a period of up to 24 hour. The

Figure 2.7 An example of cast-iron polisher

Figure 2.8 An example of soft-metal polisher

Page 40: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

28

degree of hardness traditionally undergoes a qualitative test such as biting

thumbnail indenting. When flat surfaces together with an abrasive compound

and a lubricating film between them, a non –polishing state is gradually

achieved because the film become greater in thickness than the embedded

particle protrusion, as shown in Figure 2.9.

iv. Wax

Wax polishers as shown in Figure 2.10 can provide surfaces on glass and

crystals freer from sleeks than would be possible normally with pitch.

Moreover, edge definition is largely retained and this is often of paramount

importance when allied to surface finish. They are frequently in use and the

fact that is difficult to form and flatten them is circumvented now by facing

and scrolling technique. Wax polishers show a tendency to pick-up under the

damp-dry conditions considered ideal for pitch. Because of this inability to

withstand much drag even at 20 º C the polishing action slow, but finishes are

usually excellent. They are much less susceptible to accidental contamination

from coarser abrasive than pitch is, probably because particles are more really

embedded.

Figure 2.9 An example of spiral-grooved pitch polisher

Figure 2.10 An example of wax polisher

Page 41: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

29

v. Polyurethane foam

This material, in sheet form, can be used in substitute for pitch when

polishing glass. It capable of conforming to a moderate radius when warmed

as shown in Figure 2.11. Two stainless steel plates are prepared by

machining, surface grinding and lapping to one or two fringes in 150-200

mm. these are warmed to 35 ºC and quantity of epoxy resin. The thin,

uniform layer thus obtained has space for lateral expansion under pressure.

The second plate is pressed upon it and the whole assembly kept for six hours

at 40-60 ºC on a hot plate. Polisher prepared in this way gives work flatness

to better than three bands in 80 mm without further running in.

2.7 Critical on literatures review

The finishing of glass objects by grinding, lapping and polishing has a long-

standing history. The use of glass lenses for optical experiments led scientists such as

(Feyman, 1985; Rayleigh, 1983) to ponder over the question as to what happens on a

microscopic scale during these abrasive processes. Significant progress on this

subject was made in past decades It was found that, for brittle materials like glass, a

threshold exists for the normal load on the abrasive particle, below which material is

removed from the work piece surface via plastic deformation.

The largest effort went into exploration of the microscopic mechanisms

underlying grinding of brittle materials. These are now well described by (Groenou,

1978/79; Molloy et al., 1987) Polishing, especially of glass, has also received a fair

amount of attention, very often with regard to the chemical aspects of the process

Figure 2.11 An example of polyurethane foam polishers

Page 42: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

30

(Cook, 1990). Although lapping is an important abrasive finishing process,

comparable to grinding and polishing of brittle materials, very little is known about it

from a fundamental view point (Buijs and Van Houten, 1993).

Moreover, the aim of the lapping process is to generate a surface as smooth as

possible in order to minimize the subsequent polishing time. The material removal

rate is high as compared to polishing, because fracture is allowed to occur (Belhir et

al., 2007).

The lapping is a load-controlled process, which means that the load on the lap

is the parameter that is controlled, and not the in-feed rate. Because the load is only

transferred to the glass where the abrasives make contact between the tool and the

glass, and because the tool is a rigid one, high stresses are applied to the glass

causing fracturing to occur (Kirk and Wood, 1994).

The most important work on lapping of glass has been carried out by

Izumitani and Suzuki (Izumitani and Suzuki, 1973) who found the "lapping

hardness" to be a measure of resistivity to fracture by abrasive grains. They related

this behavior to indentation hardness and yield stress through Hill's theory on plastic-

elastic materials.

Buijs et al., (1993) studied the influence of glass composition, as reflected in

the material parameters Young's modulus, hardness and fracture toughness, on the

removal rate and surface roughness. It appears that the influence can be well

described with the concept of the lateral crack. The results obtained base on those

theory are in good agreement with the experimental results the model enables the

average load per particle to be calculated from Preston's coefficient, the material

parameters of the work piece and the shape and size of the abrasive.

Belkhira et al., (2007) studied the relation between the optical glass surface

quality and the wear of abrasive grains used in finishing process. The glass surface

quality was characterized by the roughness (rms, CLA and peak to valley). Alumina

abrasive grains (Al2O3) are used with average sizes (80, 40, 20, 7 mm) respectively.

The results obtained from this experimnatal indicate the abrasive grains size

Page 43: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

31

reduction is proportional to the improvement of the surface quality during the

lapping. In the other hand, the abrasive powder undergoes some modifications during

its use in lapping. This modification appears under at least two aspects. The first

consists of the edges rounding caused by the grains wear and the second is the edges

angles change due to the grains fracture. Then, the granulometric distribution id

modified. In the end the participation rate of abrasives grains in every stage of

lapping process is between 0.19% and 15.63%, implying a slow wear of grains. The

marks the increase of the use time of lapping grains.

Zhong, (2000) studied the surface finish and integrity of glass, silicon, some

advanced ceramics and aluminum-based metal matrix composites (MMCs)

reinforced with ceramic particles, precision machined by various machining process.

The studies revealed that grinding/lapping operations using inexpensive machine

tools can produce ductile streaks on glass and silicon surface under good

grinding/lapping conditions. This resulted in significantly shortened polishing time to

secure an acceptable surface finish. If there several manufacture a lens, each

preceding process is very important for the successive processes. In order to reduce

the total manufacturing time, it is preferable to obtain better ground/lapped surface

with as many ductile streaks as possible in order to reduce polishing time.

On other word the forming and polishing processes of high precision optics

aspheric lens are currently being widely studied, because of the growing need in the

electronics industries for miniaturization and high performance, along with the

advent of opto-electrical technology (Kim et al., 2003). Aspheric lenses are known

for their superior optical characteristics over spherical lenses. They can improve

system performance and reduce the number of optical components required.

However, the aspheric form needs higher geometric accuracy than those which

traditional machining can provide (Lin, 2000; Kuriyagawa et al., 2001; Chiu and

Lee, 1997), especially as the wavelength of the light used in modern optics is getting

progressively smaller (Lin et al., 2000).

An experimental work described by Chuang and Tso, (2006) studied the

effect of lapping characteristics for improving the form error of an aspheric lens by

the experiment of this study was in three stages: the lens generation, lapping and

Page 44: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

32

polishing. The abrasive grain size, lapping pads and the uniformity of the lapping

pressure distribution were selected as the major factors that affect the lapping process

the results obtained from the paper show that the methods proposed are effective for

improving the form accuracy and for reducing surface roughness with proper lapping

parameters.

Page 45: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

CHAPTER 3

RESEARCH METHODOLOGY

3.1 Introduction

This chapter highlights the research methodology used to carry out this

project. This research work was divided into two main activities: (1) work piece

preparation, (2) experimental trials. Results from experimental trail provide

information on: (1) the effect ultrasonic feed rate on the ground surface of BK7 glass,

(2) the effect plate speed on the surface roughness (Ra), and surface morphology of

BK7 glass. Variations of surface roughness due to differences in table speed affect

polishing time.

3.2 Overview Work piece preparation

First step of sample preparation was coring where cylindrical glass was

obtained from glass block, the size was about φ25 ×25 thick. Precision cutter was

used to slice the cylinder to optical flat size φ25 ×6 thick. Ultrasonic assisted

grinding was used to flatten the surface which was slightly tapered after cutting with

precision cutter. Figure 3.1 shows the flow chart work piece preparation.

Page 46: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

34

BK7 glass material were supplied by Schott glass in a rectangular block

having dimension of 100 mm (length) × 70mm (width) × 25mm (thick) as shown in

Figure 3.2.

BK7 glass was chosen in this study because it is one of the most commonly

used optical glass materials for the manufacture of optical components such optical

glass mirror (Bach and Neuroth, 1995; Fang and Venkatesh, 1998). It has good

scratch resistance properties, almost bubble-free, high linear optical transmission in

the visible range and chemically stable (Lim et al. 2002).

Figure 3.2 Initial state of BK7 Schott glass raw material

Initial block (100*70*25) BK7 glass

Ultrasonic core machining (φ25mm x 25mm)

Flattening process by Ultrasonic grinding φ 25 × 6mm

Slicing process by Precision cutter (φ25mm x 6mm)

Figure 3.1 Flow chart for BK glass work piece preparation

Page 47: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

35

3.2.1 Ultrasonic Core Machining

Rotary ultrasonic machining is regarded as one of the cost-effective

machining methods for optical glass. It is a hybrid machining process that combines

the material removal mechanisms of diamond grinding and ultrasonic machining

(Jahanmir, et al, 1993; Prabhkar, 1992). The ultrasonic system comprises of an

ultrasonic spindle, a power supply, and a motor speed controller.

The power supply converts 50 Hz electrical supply to high frequency (20

kHz) AC output. This is fed to the piezoelectric transducer located in the ultrasonic

spindle. The ultrasonic transducer converts electrical input into mechanical

vibrations. The motor attached at top the ultrasonic spindle supplies the rotational

motion of the tool and different speeds can be obtained by adjusting the motor speed

controller. RUM experiments were performed on a Sonic-mill 10 series.

The cutting tool was metal-bonded diamond core drill (N.B.R. Diamond Tool

Corp., outer diameter = 25.24 mm, inner diameter = 27.68 mm, grit mesh size =

120~140). This shown is in Figure 3.3.

Figure 3.4 is schematic illustration of RUM. In RUM, a rotary core drill with

metal-bonded diamond abrasives is ultrasonically vibrated and fed toward the work

piece at a constant feed rate or a constant force (pressure). Coolant pumped through

the core of the drill washes away the swarf, prevents jamming of the drill, and keeps

it cool. Experimental conditions are listed in Table 3.1.

Figure 3.3 Ultrasonic coring tool

Page 48: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

36

Table 3.1 Experimental conditions of Ultrasonic Coring Machining

Parameter Unit Value

Spindle speed RPM 1000

Frequency kHz 20

Vibration power supply a % 25

Coolant pressure MPa 200

Vary feed rate mm/min 2.4 5.4 8.4 a

Vibration power supply controls the amplitude of ultrasonic vibration.

3.2.2 Slicing process

Precision cutter was used to cut the core drilled BK7 glass into the required

thickness, ie. φ25 × 6mm.

Before slicing the core drilled BK7 glass work piece (φ25 × 25), a specially

designed holder and stand was developed to facilitate the slicing on the precision

cutter. The holder so assists two small bolts to prevent chipping of glass during

Figure 3.4 Schematic illustration of the experimental set up for rotary ultrasonic machining (Hu et al., 2002)

Page 49: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

37

cutting off. The glass piece was fixed into by melting at 70 C° to melt wax after

cooled. As shown Figure 3.5.

Figure 3.5 BK7 glass work piece complete with holder and stand

The bronze bonded diamond wheel of 100/120 US mesh with 35%

concentration was used for slicing the glass piece (1.54 carats/cm3) as shown in

Figure 3.6. Parameter for cut off BK7 as follow:

• Speed: 300 RPM.

• Feed rate: 1.2 mm/min.

Bk7 glass

Holder

Stand

Figure 3.6: Precision cutter used together with a specially designed holder and stand to hold and prevent glass work piece from chipping

BK7 glass (φ25 × 25) mm

Fixture

Stand

Diamond wheel

Page 50: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

38

3.2.3 Flattening Process by RUM Machine

Flattening glass A specially designed fixture that capable to hold 6 work

pieces simultaneously was used during flattening and grinding the specimen. This

fixture is shown in Figure 3.7.

Figure 3.7 A specially design fixture that is capable of holding 6 work pieces at

one time during flattening and grinding

The parameters for flattening condition as follows:

• Work piece materials: BK7 optical glass (φ 25 × 6) mm

• Coolant pressure: 120-150 MPa.

• Vibration frequency: 20 kHz.

• Speed: 1000 rpm

• Vary depth of cut: (50, 40, 30, 15, and 10) μm.

• Feed rate: 2.5 mm/min

• Grinding tool: diamond tool with mesh size =100-120, inside diameter (15.7

mm) and outside diameter (25.38 mm).

3.3 Overall of the methodology

The over all methodology used for experimentation to achieve objectives as

listed below:

Page 51: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

39

1. Identify and select suitable ultrasonic feed rate parameter to be investigated

that affect surface roughness and surface morphology.

2. Identify lapping speeds and rage of lapping time to be investigated that

affect surface roughness and surface morphology.

3. Explore the feasible range of polishing time for BK7 glass. Figure 3.8

shows the summary of the overall experimental approach adopted in this

study.

Figure 3.8 Schematic diagram summarizes the overall experimental approach

Input

Experiments

Response

Output Feasible range of lapping speeds and polishing time for BK7 glass Effect of feed rate on surface roughness

Quantitative & qualitative analysis Surface roughness = Ra and surface morphology

Lapping Vary table speeds

Polishing Fixed all polishing parameters

Work material BK7 glass

Ultrasonic grinding at various feed rates

Page 52: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

40

3.3.1 Ultrasonic grinding experiment

The set-up of Rotary Ultrasonic grinding process is shown in Figure 3.9. The

purpose of this experiment is to study the effect of process parameters (feed rate) on

the surface roughness (Ra) and surface morphology.

Grinding with ultrasonic conditions is as follows:

• Work piece materials: BK7 optical glass.

• Coolant pressure: 120-150 MPa.

• Vibration frequency: 20 kHz.

• Speed: 1000 rpm.

• Depth of cut: 5μm.

• Vary feed rate (mm/min): 0.5, 1.5, 2.5, and 3.5.

• Specification of tool: diamond, mesh size = 325-400, inside diameter

(15.7mm), and outside diameter (25.38mm).

fixture

Diamond tool

Work piece

Ultrasonic head

Diamond tool

Figure 3.9 Ultrasonic grinding set-up

Page 53: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

41

3.3.2 Lapping experiment

3.3.2.1 Setting-up the flatness of lapping plate

Lapping plate flatness control system is controlled from the "Process Screen"

section of the machine control panel. The system allows the operator to set a target

value for the shape of the lapping plate, which is then constantly checked by the plate

flatness monitor and displayed on the "Process Screen". Any variations from this

plate shape are automatically corrected by the machine’s control system while

processing continues. Figure 3.10 shows the elements of lapping plate flatness

control system.

The aim of the lapping process is to generate a surface as smooth as possible

in order to minimize the subsequent polishing time. The material removal rate is high

as compared to polishing, because fracture is allowed to occur. In lapping a rigid iron

is moved under load over a glass surface, or vice versa, with abrasive particles

suspended in water between them. Abrasive used during lapping operation is alumina

particles (loose abrasive lapping).

Typical material removal rates are 3 mm/min for 5 mm particles to 90

mm/min for 100 mm particles. (Lambropoulos et al., 1999).

The lapping process is carried out by setting in contact a BK7 glass work

piece (φ25 × 6) mm, in rotation with a cast iron lap (diameter 400mm) under constant

lapping load.

Figure 3.10 Elements of the LP50 auto lapping plate flatness control system

Page 54: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

42

BK7 glass specimen was glued with wax on stainless steel holder as shown in

Figure 3.11(a). After that the holder was screwed on the lapping jig as shown in

Figure 3.11(b).

The gap between the work piece and the lapping plate is fed continuously by

alumina abrasive slurry, during lapping operation. The experiment was conducted at

four different table speeds: 20, 30, 40, and 50 rpm. Table 3.2 shows lapping

parameters used in the experiment.

The pressure applied on the work piece was set constant and lapping time

varied from 2 to 12 minute. The lapping operation was stopped every two minutes to

check the surface roughness and to evaluate the changes in surface morphology on

the glass specimen.

Table 3. 2: Lapping parameters

Parameter Value

Abrasive slurry(alumina) 9μm

Oscillation( cycle/min) 18

Vary plate speed(rpm) 20 30 40 50

Lapping time(minutes) 2 to 12

Figure 3.11 Lapping jig with specimen holder of BK7 glass

(a) (b)

Page 55: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

43

3.3.3 Polishing experiment

The polishing process is used to get rid of damage layer introduced from

earlier processes, and to provide high quality surface. The polishing is a load-

controlled process, which means that the load on the polishing pad is the parameter

that is controlled, and not the in-feed rate. Because the load is only transferred to the

glass where the abrasives make contact between the pad and the glass.

The polishing process is carried out by setting in contact a BK7 glass work

piece (φ25 × 6) mm, in rotation with a chemomnt pad fixed on stainless steel plate

(diameter 20 cm) in rotation, under a lapping load.

BK7 glass was waxed on aluminum holder. After that the holder was placed

on driving plate as shown Figure 3.12. Colloidal silica was controlled to drip on the

polishing plate continuously.

The gap between the work piece and the polishing plate is fed continuously

by the colloidal silica abrasive slurry. The polishing experiment was conducted using

the following fixed parameters: table speed 100 rpm, pressure 5N, and slurry with

3μm colloidal silica. Table 3.3 shows polishing parameters used in this experiment.

Polishing time was varied from 1 to 15 minutes. The polishing operation was stopped

BK7 glass

Colloidal silica

Chemomnt pad

Spindle

Driving Plate

Figure 3.12 Polishing machine and elements of polishing BK7 glass

Holder

Page 56: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

44

every two minutes for checking surface roughness (Ra) and evaluates surface

morphology.

Table 3.3: Polishing parameters used in the experiment

Parameter unit

Abrasive slurry 3 μm Colloidal silica

Vary plate speed 100 rpm

Lapping time 1 to 15 minutes

3.4 Analytical and measuring instruments

3.4.1 Surface roughness measurement

Figure 3.13 shows the mitutoyo form Trace C5000 which was used to

measure surface roughness. Among the parameters set during measurement were

Measured length: 10.00mm, Measured pitch 0.0100mm, Cut off 0.8 mm ,Roughness

pitch 0.005 m/sec, and Measuring speed: 0.1000m.

Figure 3.13 Mitutoyo Form Tracer C5000

Page 57: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

45

3.4.2 Surface morphology analysis

Figure 3.14 shows the Axio Carl Zeiss high power microscope. This

equipment was used to capture the image of ground, lapped and polished BK7 glass

surfaces. All the measurements were done at 20× magnification using dark and bright

field objectives.

Figure 3.14 Axio Carl Zeiss high power microscope

Page 58: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

CHAPTER 4

EXPERIMENTAL RESULTS AND DEISCUSSION

4.1 Introduction

This chapter presents the experimental results and discussion. The results are

divided into three, ie. grinding results, lapping results and polishing results. The

discussion focuses on the effect of feed rate, table speed and time on the surface

roughness and surface morphology. At the end of this chapter, feasible range of

grinding, lapping and polishing parameters are concluded.

4.2 Effect of feed rates in ultrasonic grinding

Table 4.1 summarizes the experimental results for the four BK7 work piece

when ultrasonic ground at different feed rates. These results are plotted as shown in

Figure 4.1.

Table 4.1: Summarizes the experimental results of ground surface for BK7 glass

Specimens Parameters

A B C D

Feed rate (mm/min) 0.5 1.5 2.5 3.5

Surface roughness (μm) 0.721 0.774 0.829 0.847

Page 59: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

47

0.847

0.7213

0.774

0.8297

0.7

0.72

0.74

0.76

0.78

0.8

0.82

0.84

0.86

0 0.5 1 1.5 2 2.5 3 3.5 4

Feed rate( mm/min)

sur

face

roug

hnes

s R

a(um

)

Figure 4.1 Surface roughness increases when feed rate increase during ultrasonic grinding

Based on Figure 4.1, it is clearly shown that as the feed rate increases from

0.5 to 3.5 mm/min, the surface roughness also increased. This phenomenon is similar

to other machining processes without ultrasonic features.

Figure 4.2 illustrates view graphs of the surface morphology at these above

grinding conditions. The micro fractures on the glass surface become deeper and

larger as the feed rate increased from 0.5 mm/min to 3.5 mm/min. The introduction

of ultrasonic amplitude and frequency in these experiments do not facilitate ductile

mode material removal. Instead, all the results obtained from these trails are 100%

fracture surface. The micro fractures on the ground surfaces show clearly the semi-

circular cracks which are typical crack found on hard and brittle materials like glass

as conducted by many other researcher (Lawn, 1993).

The Rougher surface occurs at higher feed rate which due to higher resistance

for chip removal to escape from cavity. The rubbing action between chip and rod’s

periphery worsen the rod surface.

Page 60: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

48

Figure 4.2 Surface morphology on BK7 glass when grinding at different feedrate

Ra=0.849μmF/R= 3.5mm

Ra=0.829μm F/R= 2.5mm

Ra=0.774μmF/R= 1.5mm

Ra=0.721μm F/R= 0.5mm

Surface roughness (Ra)

Feed rate (mm/min)

Page 61: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

49

4.3 Effect of table speeds during lapping experiment

Table 4.2 shows the experiments date and surface roughness results when

table speeds were increased from 20 to 50 rpm. The surface roughness on the

specimen was measured at interval of 2 minutes to twelve minutes.

Table 4.2: Surface roughness results when measured at different table speed during

lapping

Surface roughness Ra (μm) after lapping time Lapping

speed

(rpm)

Specimens 2min. 4 min. 6min. 8min. 10.min. 12min.

20 A 0.716 0.677 0.613 0.507 0.454 0.366

30 B 0.737 0.682 0.572 0.401 0.354 0.305

40 C 0.718 0.665 0.531 0.354 0.294 0.227

50 D 0.767 0.594 0.477 0.302 0.200 0.221

The lapping parameters (lapping speed and lapping time) affect the material

removal BK7 glass. As the table speed increases, the material removal rate is faster.

The progresses of in surface roughness over charges in lapping speeds are shown in

Figures 4.3 – 4.6. Figure 4.7 summarizes the results. As can bee seen in Figure 4.7,

the roughest surface was lapped faster than the rest samples. This indicates that table

speed influence the material removal rate of on ground BK7 glass.

It is noticed also at the highest table speed of 50rpm, the surface roughness

reached at saturation point within 10 minutes. After 10 minutes, surface finish

becomes roughest. This phenomenon is called saturation lapping point. Beyond this

point, the surface roughness will no longer improved regardless of extended lapping

time.

Page 62: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

50

0.721 0.7160.667

0.613

0.507

0.454

0.366

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0 2 4 6 8 10 12 14

Lapping time (Min)

Surf

ace

roug

hnes

s R

a(um

)

Figure 4.3 Effect of table speed of 20rpm on surface roughness during lapping

0.7740.737

0.682

0.572

0.401

0.3050.354

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

0 2 4 6 8 10 12 14

Lapping time (Min)

Surf

ace

roug

hnes

s R

a(um

)

Figure 4.4 Effect of table speed of 30rpm on surface roughness during lapping

Page 63: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

51

0.8297

0.718

0.665

0.531

0.354

0.2270.296

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

0 2 4 6 8 10 12 14

Lapping time (Min)

surf

ace

roug

hnes

s R

a(um

)

Figure 4.5 Effect of table speed of 40rpm on surface roughness during lapping

0.847

0.767

0.594

0.477

0.302

0.2 0.221

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

0 2 4 6 8 10 12 14

Lapping time (Min)

Surf

ace

roug

hnes

s Ra(

um)

Figure 4.6 Effect of table speed of 50rpm on surface roughness during lapping

Saturated surface roughness

Page 64: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

52

In this experiment, the highest surface roughness was purposely chosen to be

lapped using speed 50rpm. This is to evaluate the effect of table speed. The

smoothest surface roughness 0.721 was lapped using table speed of 20 rpm. The

order uses followed accordingly. At the end of 12 minutes lapping operation, the

results become reversed to initial surface roughness condition.

It is concluded that table speed during lapping directly influence the material

removal rate. Slow table speed removes material much slower that faster table speed.

0.366

0.305

0.227

0.221

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

0 2 4 6 8 10 12 14

Lapping time (Min)

Surf

ace

roug

hnes

s R

a(um

)

RPM20RPM30RPM40RPM50

Figure 4.7 The combination effect of lapping speed on surface roughness against lapping time

Figure 4.8 is shows a series of selected microscopic images which were taken

lapping times (2, 6, 12 minutes). Fracture density of the ground work piece at initial

surface roughness decrease with gradually increase lapping time. The size of

microfractures also reduced as lapping time increase.

Page 65: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

Figure 4.8 Surface morphology of lapped BK7 glass when lapping at different lapping speeds

Lapping time (min)

12 6 2

30

40

50

0

20

30

40

50

Lapping Speed (rpm)

53

Page 66: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

54

4.4 Effect of time during polishing

The four lapped specimens were polished at the same condition and the

progress of surface finish reduction was observed at two minutes interval fifteen

minutes. The surface roughness results of each samples are shown in Table 4.3 and

plotted in Figure 4.9- 4.12. Figure 4.13 summarizes all the plots into one graph.

Table 4.3: Results of polishing surface roughness for the samples A, B, C, and D.

Surface roughness Ra (μm) after polishing time

specimens 1min. 3min. 5min. 7min. 9min. 11min. 13min. 15min.

A 0.264 0.189 0.162 0.143 0.133 0.107 0.103 0.087

B 0.214 0.175 0.169 0.134 0.118 0.107 0.090 0.083

C 0.208 0.128 0.124 0.103 0.084 0.076 0.075 0.063

D 0.199 0.122 0.078 0.057 0.054 0.044 0.040 0.038

0.305

0.264

0.189

0.1620.143

0.133

0.107 0.1030.087

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0 2 4 6 8 10 12 14 16

Polishing time (min)

Surf

ace

roug

hnes

s R

a(um

)

Figure 4.9 Reduction of surface roughness on sample A during polishing

Page 67: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

55

A similar trend of reduction in surface roughness over polishing time is seen

in the plotted graphs. Sample D with lowest initial surface finish during lapping

exhibits faster material removal rate as compared to other sample A, B, C as

expected. As the polishing time increase, the dark area On the initial lapped dark area

on the initial lapped surface becomes brighter (see Figure 4.14) the surface finish

improves when dark area diminish from the sample surface.

0.305

0.214

0.175 0.169

0.134

0.1180.107

0.090.083

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0 2 4 6 8 10 12 14 16

Polishing time (min)

Surf

ace

roug

hnes

s(um

)

Figure 4.10 Reduction of surface roughness on sample B during polishing

These dark spots represent microfracture on the sample surface. As for

compression purposes, at the end of 15 minutes, polishing, sample D shows very

minimum number of dark spots than sample A, B and C. The surface roughness of

trained on these samples correspond to these conditions.

Page 68: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

56

0.227

0.208

0.128 0.124

0.103

0.0840.076 0.075

0.063

0

0.05

0.1

0.15

0.2

0.25

0 2 4 6 8 10 12 14 16Polishing time (Min)

Sura

fce

roug

hnes

s R

a(um

)

Figure 4.11 Reduction of surface roughness on sample C during polishing

0.221

0.078

0.199

0.122

0.0570.054

0.0440.04 0.038

0

0.05

0.1

0.15

0.2

0.25

0 2 4 6 8 10 12 14 16

Polishing time(min.)

surf

ace

roug

hnes

s R

a(um

)

Figure 4.12 Reduction of surface roughness on sample D during polishing

Page 69: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

57

0.087

0.083

0.063

0.038

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

0 2 4 6 8 10 12 14 16

polishing time (min)

surf

ace

roug

hnes

s R

a (u

m)

20RPM

30 RPM

40 RPM

50 RPM

Figure 4.13 Relation between polishing time and surface roughness with different initial surface roughness

It is obvious that when initial surface roughness is good, the end result after

polishing will be good, and vice versa.

The minimum Ra obtained on sample D was 38nm. This result would much

lower if rigid polishing machine is used.

The current polishing results were obtained using Phoenix Beta machine

which is far less rigid as compared to Logitch lapping and polishing machine. It is

expected a surface finish of less than 10nm will be obtained with the Logitech

machine.

Page 70: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

CHAPTER 5

CONCLUSIONS AND RECOMMENDATIONS

5.1 Introduction

This chapter describes conclusions and recommendations based on the

current research work. The main objectives of this research are to study the lapping

parameters on the surface roughness and to propose a feasible range of polishing

time for BK7 glass.

5.2 Conclusions

The following conclusions can be drawn from the project:

1. Higher feed rates produce rougher surface than lower feeds on ground BK7

glass during ultrasonic grinding. The reason behind this phenomenon is

similar to other conventional material removal processes without ultrasonic

features.

2. Table speeds of lower than 50 rpm are less effective for lapping BK7 glass

using 9μm Aluminum Oxide. Saturation point for lapping at this condition is

10 minutes beyond which it increases the Ra value.

Page 71: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

60

3. Polishing time of less than 15 minutes is feasible to polish BK7 glass on a

more rigid machine.

5.3 Recommendations for future work

Grinding, lapping and polishing are important processes for shaping brittle

materials like glass, silicon, and some advanced ceramics.

These three step operations are commonly being used in optical glass

manufacturing where polishing is the most time consuming time among the three

processes

Good surface finish obtained from grinding operation facilitates lapping and

polishing processes.

The following are the recommendation for the future work:

(1) A rigorous study should be carried out to determine the effect of more than

one permeates of lapping process the affect on surface roughness and surface

integrity. A suitable design of the work piece under of experiment method is

recommended to be used for a achieving this purpose.

(2) The effect of ultrasonic features on the ground surface should be explored

further on the surface finish and ductile streaks formation. A suitable range of

amplitude must be studied to avoid massive chipping on the ground surface.

Page 72: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

61

REFERENCES

Hans, B and Norbert, N. (1981), The properties of optical glass, Springer, Germany.

Burkat, W and Schmotz, K. (1981), Grinding and Polishing Theory and Practice,

Portculiss Press, England.

Ivan, F. (1983), Optical Properties of Glass, Elsevier, Czechoslovakia.

Steven K. (1994). Grinding Technology, USA, Delmar.

Franicis F. (1980), Abrasive Methods Engineering. USA, Industrial Press Inc.

Kishino, M and Taguchi, T. (2000), Photoluminescence characterization of defects in

the mirror-polished zinc selenium (ZnSe) bulk crystals and MBE-grown

homoepitaxial layers, Elsevier, Journal of Crystal Growth (210) 230-233.

Buijs, M. Koppel, K, and Van, H. (1993), A model for lapping of glass, Journal of

Materials Science, (28), 3014-3020.

Chang, Y. P., Hashimura, M., and Dornfeld, D. A. (2000), An Investigation of

Material Removal Mechanisms in Lapping with Grain Size Transition, ASME,

Journal of Manufacturing Science and Engineering, Vol.122. 413-419.

Keith, W., Torrance, J. M., and Maxwell, R, (2006), Wax mounting ,back lapping

and chemo-mechanical polishing of 150 mm(6 inch) GA As Wafers.

Belkhir, N., Bouzid, D., and Herold, V. (2006), Correlation between the surface

quality and abrasive grains wear in optical glass lapping, Elsevier, Tribology

International. (40) 498-502.

Page 73: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

62

Ho-Chiao C., and Pei-Lum, T, (2006), An investigation of lapping characteristics for

improving the form error of an aspheric lens, Elsevier, Journal of Materials

Processing Technology. (176). 183-190.

Gillman, Birigite, Jacoba, and Stephen (1998), Bound-abrasive polishers for optical

glass. Applied Optics, (37) 3498.

Li, Z. C., Jaio, Y., Denes, T. W., Pei Z., and Treadwell, C. (2005), Rotary ultrasonic

machining of ceramic matrix composites feasibility study and designed experiments.

Elsevier, Journal of Machine Tools & Manufacture, (45) 1402-1411.

Praveen, S M. and Thuman, H H (2005), Micromachining using ultrasonic impact

grinding, Journal of Micromechanics and Microengineering, (15), 1556-1559.

Luo, S. Y., Tsai, Y. Y., and Chen, C. H. (2006), Studies on cut-off grinding of BK7

optical glass using thin diamond wheels, Elsevier, Journal of Materials Processing

Technology. (173) 321-329.

Osamu, H., Junichi, I., Hideo, S., Hirofumi, S., and Yoshiaki, M. (2006), Nano-

abrasive machining of brittle materials and its application to corrective figuring,

Elsevier, Precision Engineering.

Hain, R., and Schulze, P. (1994), A New Ultrasonic Machining Route to Create

Complex ceramic components, IEEE.

Venkatesh, V. C., Izman, S., Sharife, S., Mon, T. T., and konneh, M. (2002).

Precision micro-machining of silicon and glass, IEEE.

Ming Zhou, B. K. A., Ngoi, M. N. Yusff; X. J. (2006), Tool wear and surface finish

in diamond cutting of optical glass, Elsevier, Journal of Materials Processing

Technology, (174) 29-33.

Page 74: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

63

Zhang, C., Rentsch, R., and Brinkmeier, E. (2005), Advances in micro ultrasonic

assisted lapping of microstructures in hard-brittle materials: a brief review and

outlook, Elsevier, Journal of Machine Tools& Manufacture, (45) 881-890.

Toshio, T., and Paul, D. F. (2000), Micromechanics of diamond composite tools

during grinding of glass, Elsevier, Materials Science and Engineering, (A285) 69-79.

Guzzo, P. L. Raslan, A. A. De Mello, J. D. B. (2003), Ultrasonic abrasive of quartz

crystals, Elsevier, Wear, (255) 67-77.

Zhong, Z., and Venkatesh, V. C. (1994), Surface integrity studies on the grinding,

lapping and polishing process for optical products, Elsevier, Journal of Materials

Process Technology, (44) 179-186.

Weirauch, D, F. (1985), Study of lapping and polishing in single-crystal CdTe,

Journal of the Electrochemical Society, (132) 250-254.

Thet, M. (2002), Chemical mechanical polishing of optical glass subjected to partial

ductile grinding, University Technology Malaysia, Faculty of Mechanical

Engineering.

Flynn, G. W., and Powell, J. A. (1989), The cutting and polishing electro optic

materials, New York, Widly.

Zenga, W. M., Lib, Z. C., Peib, Z. J., and Treadwell, C. (2005), Experimental

observation of tool wear in rotary ultrasonic machining of advanced ceramics.

Elsevier, International Journal of Machine Tools and Manufacture. (45) 1468–1473.

Praveen, S. M., and Thurman H. H. (2005), Micromachining using ultrasonic impact

Grinding, Journal of Micromechanics (15) 1556–1559.

Page 75: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

64

Guzzo, P. L., and Shinohara, H. (2004), A Comparative Study on Ultrasonic

machining of Hard and Brittle Materials, Journal of the Braz. Soc. of Mech. Sci. and

Eng. (xxvi) 1 / 57.

Lia, Z. C., Jiaoa, Y., Deinesa, T. W., Peia, Z. J., and Treadwellb, C, Rotary

Ultrasonic machining of ceramic matrix composites feasibility study and designed

experiments, Elsevier, International Journal of Machine Tools and Manufacture, (45)

1402–1411.

Hu, P., Zhang, J. M., Pei, Z. J., and Clyde, T. (2002), Modeling of material removal

rate in rotary ultrasonic machining: designed experiments, Elsevier, Journal of

Material Processing Technology, (129) 339-344.

Peia, Z. J., and Ferreirab, P. M. (1999), An experimental investigation of rotary

ultrasonic faces milling, Pergamon, International Journal of Machine Tools and

Manufacture (39) 1327–1344.

Suratwala, T., Wong, L., Miller, P., Feit, M. D., Menapace, J., Steele, R., Davis, P.,

and Walmer, D. (2006), Sub-surface mechanical damage distributions during

grinding of fused silica, Elsevier, Journal of Non-Crystalline Solids, (352) 5601–

5617.

Sun, X., Stephenson, D. J., and Ohnishi, A. B. (2006). An investigation into parallel

and cross grinding of BK7 glass. Elsevier, Precision Engineering. (30) 145 –153.

Luo, S. Y., Tsai, Y. Y., Chen, C. H. (2006), Studies on cut-off grinding of BK7

optical glass using thin diamond wheels, Elsevier, Journal of Materials Processing

Technology, (173) 321–329.

Ya, G., Qin, H. W., Yang, S.C., and Xu, Y. W. (2002), Analysis of the rotary

ultrasonic machining mechanism, Elsevier, Journal of Materials Processing

Technology (129) 182-185.

Page 76: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

65

APPENDIX A

NG codes coring program of BK7 work piece (φ25 × 25) mm

O0307 (CORRING)

N1 G40 G49 G80 G17;

N2 G90 G54 G00;

N3 G54 G90 G00 X0 Y0;

N4 X82.0 Y52.0;

N5 G43 Z5.0 H04 m03 S1000;

N6 m08;

N7 m52;

N8 Z-26.0 F5.4;

N9 G80 Z10.0;

N10 m05;

N11 m09;

N12 G91 G28 Z0;

N13 m30 G53 G00 Z0;

N14 G28 X0 Y0;

N15 m30;

Page 77: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

66

APPENDIX B

NG codes flattening surface program of BK7 work piece (φ25 × 6)mm

O0407 (FLATINING)

N1 G45 G17 G40 G49 G80 G91 G21;

N2 G0 G90 X0.0 Y0.0;

N3 m08;

N4 S1000 m03;

N5 m52;

N6 G43 H05 Z50.0;

N7 G80 X-28.0 Y0.0 Z10.0;

N8 G0 Z1.5;

N9 G1 Z-0.050 F2.5

N10 G1 X27.0;

N11 G0 Z20.0;

N12 G0 X-28.0 Y0.0;

N13 G1 Z1.5;

N14 G1 Z-0.100;

N15 G1 X27.0

N16 G0 Z20.0;

N17 G0 X-28.0 Y0.0;

N18 G1 Z1.5;

N19 G1 Z-0.150;

N20 G1 X27.0

N21 G0 Z20.0;

N22 G0 X-28.0 Y0.0;

N23 G1 Z1.5;

N24 G1 Z-0.190.

N25 G1 X27.0

Page 78: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

67

N26 G0 Z20.0;

N27 G0 X-28.0 Y0.0;

N28 G1 Z1.5;

N29 G1 Z-0.230;

N30 G1 X27.0

N31 G0 Z20.0; N12 G0 X-28.0 Y0.0;

N32 G1 Z1.5;

N33 G1 Z-0.260;

N34 G1 X27.0

N35 G0 Z20.0; N12 G0 X-28.0 Y0.0;

N36 G1 Z1.5;

N37 G1 Z-0.290.

N38 G1 X27.0

N39 G0 Z20.0;

N40 G0 X-28.0 Y0.0;

N41 G1 Z1.5;

N42 G1 Z-0.310.

N43 G1 X27.0

N44 G0 Z20.0;

N45 G0 X-28.0 Y0.0;

N46 G1 Z1.5;

N47 G1 Z-0.330;

N48 G1 X27.0

N49 G0 Z20.0;

N50 G0 X-28.0 Y0.0;

N51 G1 Z1.5;

N52 G1 Z-0.340.

N53 G1 X27.0

N54 G0 Z20.0;

N55 G0 X-28.0 Y0.0;

N56 G1 Z1.5;

N57 G1 Z-0.350.

N58 G1 X27.0

N59 G0 Z20.0;

Page 79: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

68

N60 m53;

N61 m05;

N62 m09;

N63 G91 G28 Z0;

N64 G53 G0 Z0;

N65 G91 G28 X0.0 Y0.0;

N66 m30;

Page 80: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

69

APPENDIX C

NG codes grinding program of BK7 work piece (φ25 × 6) mm

O0407 (GRINDING)

N1 G45 G17 G40 G49 G80 G91 G21;

N2 G0 G90 X0.0 Y0.0;

N3 m08;

N4 S1000 m03;

N5 m52;

N6 G43 H05 Z50.0;

N7 G80 X-28.0 Y0.0 Z10.0;

N8 G0 Z1.5;

N9 G1 Z-0.05 F3.5

N10 G1 X27.0;

N11 G0 Z20.0;

N12 G0 X-28.0 Y0.0;

N13 G1 Z1.5;

N14 G1 Z-0.100

N15 G1 X27.0

N16 G0 Z20.0;

N17 m53;

N18 m05;

N19 m09;

N20 G91 G28 Z0;

N21 G53 G0 Z0;

N22 G91 G28 X0.0 Y0.0;

N23 m30;

Page 81: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

70

APPENDIX D

Initial plate flatness monitor

The plate flatness monitor is calibrated at Logitech prior to dispatch of the

machine. However, as it is a precision instrument, it is necessary for the operator to

check this calibration prior to the first use of the monitor in his or her own

laboratory. If correctly calibrated, it should always be possible to obtain a monitor

reading of between +/- 10 microns, which can then be offset by zeroing the monitor.

After selecting the "Machine Setup" screen has been pressed, the screen will

change to show a screen similar to "A" below. To setup your LP50 for Automatic

Lapping Plate Flatness Control, ensure that the "Process Type" display reads

"Lapping", that the "Jig Type" display reads as the correct jig being used and that the

"Arm Control" display is changed to show "Flatness". At this point the screen ("B")

will display an option for zeroing the monitor and a warning message telling the

operator to remove the roller arm assemblies from each workstation.

Thoroughly clean the bearing surfaces of the Plate Flatness Monitor; clean

the Granite Master Flat Block supplied equally thoroughly. Attach the long monitor

cable to the sockets on the monitor and the machine. To zero the Plate Flatness

Monitor, select "Monitor Set-up" using the joystick. The screen should change to

display the "Raw Plate Shape" reading ("C").

Page 82: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

71

The "Raw Plate Shape" represents a snapshot reading taken from the Monitor

which is then averaged against the "Actual Plate Shape" reading which is read from

the monitor over a 30 second interval. During this time the operator is not able to

navigate away from this screen as the machine requires to collect data from across

the monitor. This information is then assimilated and processed before the reading is

shown as in screen "D". Once the "Raw Plate Shape" and the "Actual Plate Shape"

information have been displayed, the operator should navigate to the "Auto Zero"

option shown in screen "E".

NB If the reading is not between +/-10 microns it will be necessary to

recalibrate the monitor as described in the next section of this manual. Select the

"Auto Zero" option by pressing the button on top of the joystick and the machine will

"Zero" the monitor, as shown in screen "F". This operation involves the machine

taking the averaged reading from the "Actual Plate Shape" and recognizing it as

being the official zero reading, i.e. a completely flat reading, which will be used

throughout the lapping process.

At this point the monitor has been zeroed and the operator can exit this screen,

returning to the Machine Setup screen.

Page 83: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

72

APPENDIX E

Flow chart adjustment of plate flatness monitor

Page 84: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

73

APPENDIX F

Steps for mounted work piece BK7 glass on stainless steel holder

Sample Mounting Before lapping, samples must be mounted on stainless steel holder

.Samples must be mounted on the flat side of stainless steel holder

1 Clean the stainless steel holder with acetone and rinse by DI water. Blow dry

or wipe dry with clean room wipes.

2 Place sample on the holder facing down. Measure the thickness of the

sample by the dial micrometer on the table next to the machine.

3 Place the stainless steel holder on the hotplate and turn the temperature to

100 set point (150 for black wax). After ~10 min or when the temperature reading is

70 to 80°C, proceed to the next step.

4 Place a very small amount of wax in the center of the glass substrate with the

metal spatula (stored in the tool box). For most applications the white wax, (ocon-

195 in a can at the station), should be used. For very small samples or when thinning

down to < 20um, the black stick wax may be used.

5 Spread the wax uniformly on an area slightly larger than the sample to be

mounted. Place your sample face down on the wax and slide the sample a little bit to

get uniform wax thickness with the sample ultimately centered on the stainless steel

holder.

6 Apply pressure and/or weight on the sample if necessary. Weight should not

be used on soft materials. Place a piece of filter paper on the sample and gently place

the weight on the paper. Turn thehot plate off and wait for at least 20 minutes.

Page 85: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

74

7 Take stainless steel holder off the hotplate. Put it on the table and let it cool

down. Use wiper with acetone to clear the wax around the mounted sample.

8 Measure the thickness of the sample after mounting. Compared with the

thickness obtained in step 2, the wax thickness should be noted.

Page 86: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

75

APPENDIX G

Steps for slurry preparation of lapping process

Slurry Preparation In order to obtain a reasonable lapping rate and acceptable surface

roughness, a proper abrasive slurry sequence should be determined in advance.

1 Check the abrasive size left in the cylinder. If the slurry in the cylinder is the

size you want to use, shake it well before you use. Add more slurry if needed. The

total amount of slurry should never exceed. the grooved line on the cylinder.

2 To make a new slurry, open the cap carefully with the screwdriver in the tool

box. Untighten the four screws for the flux controller. To take the valve out of the

cylinder, turn the valve head counterclockwise. Dump the old slurry into the slurry

waste bottle.. Clean the cylinder, cap, and valve thoroughly. Put the valve back when

done.

3 Make up Al2O3 abrasive slurry of desired particle size by mixing – ml DI

water and 1.5 cups of powders in a beaker. Stir the solution entirely with the Teflon

boat holder in the fume hood. Pour the slurry into the cylinder with a funnel. Add

more water into cylinder until the level reaches the grooved line. However, keep

slurry volume more than half of the maximum to obtain constant feeding rate.

4 Tighten the cap and close the header completely by turning header clockwise.

Then open it by turning it counterclockwise for about one turn.

Page 87: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

76

APPENDIX I1

Lapping surface profile of Sample D at Lapping speed 50rpm

Surface profile after 2min

Surface profile after 12min

Page 88: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

77

APPENDIX I2

Lapping surface profile of Sample C at Lapping speed 40rpm

Surface profile after 2min

Surface profile after 12min

Page 89: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

78

APPENDIX I3

Lapping surface profile of Sample B at Lapping speed 30rpm

Surface profile after 12min

Surface profile after 12min

Page 90: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

79

APPENDIX I4

Lapping surface profile of Sample A at Lapping speed 20rpm

Surface profile after 2min

Surface profile after 12min

Page 91: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

80

APPENDIX J1

Polishing Surface profile of Sample D

Surface profile after 1min

Surface profile after 15min

Page 92: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

81

APPENDIX J2

Polishing Surface profile of Sample C

Surface profile after 15min

Surface profile after 1min

Page 93: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

82

APPENDIX J3

Polishing Surface profile of Sample B

Surface profile after 1min

Surface profile after 15min

Page 94: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

83

APPENDIX J4

Polishing Surface profile of Sample A

Surface profile after 1min

Surface profile after 15min

Page 95: A STUDY OF LAPPING PARAMETERS TO REDUCE POLISHING

84

APPENDIX K

Machines for work piece preparation

Rotary Ultrasonic machine (Sonic-Mill 10 series) for coring, flattening , and grinding

and flattening BK7 glass

Precision cuter (ISOMET 5000) for slicing BK7 glass

Work piece BK7 glass after slicingWork piece BK7 glass after coring