4-bit alu circuit glitch reduction for power …agrawvd/course/e6270_spr15/project/reports/...4-bit...
TRANSCRIPT
4-Bit ALU Circuit Glitch Reduction for Power
Optimization For ELEC 6270 Dr. Vishwani D. Agrawal
By Hunter Thorington Fall 2015
Abstract— ELEC 6270 is an elective offered by Auburn
University’s Dr. Vishwani D. Agrawal. This paper concludes a
semester’s worth of studies in low power circuit design. The
project I was tasked with was: “Redesign a 4-bit ALU circuit for
glitch reduction and examine its power saving”. In this final
semester project, I developed my own VHDL model of a simple
ALU and synthesized this design using Leonardo Spectrum.
Following synthesis, I used the PTM High Performance 45nm
Metal Gate model provided. After applying the model to the
netlist, the design was simulated for a base line. Following initial
characterization of the circuit, the circuit was enhanced for glitch
reduction and low power operation by adding buffers on non-
critical paths. The results show a marked 27% decrease in power
consumption for the applied test vector.
Keywords—low power, CMOS, 45nm, gate delay, glitch
reduction, power optimization, ALU, Auburn
I. INTRODUCTION
This report was written for ELEC 6270 Low Power Design of Electronic Circuits for Dr. Vishwani D. Agrawal. This class focused on the design of digital circuit systems for reduced power consumption, power analysis algorithsm, low power MOS technologies, low power design architectures for FPGA, memory and microprocessors and reduction of power in testing of circuits. The project I was assigned was “Redesign a 4-bit ALU circuit for glitch reduction and examine its power saving”.
II. PROJECT BACKGROUND
A. Glitch Reduction
Circuit power is all about Dynamic Power. Dynamic power
or 𝐶𝑉2
2 , is the amount of power consumed by each gate each
time a transistion occurs. A glitch is defined as any
intermediate change of state of a signal, whether from high
to low or low to high during signal propagation before the
final value is reached. These glitches cause unwanted power
consumption.
B. Boolean Gate Single Transition Theorem
This theorem states that for correct operation with minimum
energy consumption, a Boolean gate much produce no more
than one event per transition. This means that for optimal
power reduction signal arriving at the input of a Boolean
gate much either arrive at the same time (assuming internal
Boolean gate delays are equal) or arrive at nearly the same
time in order to produce a single synchronized transition at
the output of the gate. If each and every gate in the circuit is
held to this theorem the circuit will have minimized power
consumption due to glitches.
C. Differential Path Delays
A multi-input gate will inevitably have differential path
delay. This delay occurs when the path from one input to the
output respective to another input to the same output is
different. Gate with differential path delay inherently
introduce glitch power consumption into the circuit. Figure
1. Shows the glitch caused by a multi-input gate with
differential path delay.
Figure 1. Differential Path Delay
In this figure The input A precedes the response of the gate
to input B which lags by one time period, this produces a
momentary high glitch on the output. This high output draws
Figure 2. Glitch Reduced Using a Buffer Delay
power from the power supply, but is wasted because it soon
returns to the expected value 0. Figure 2 demonstrates that
by placing a delay buffer on input A this issue can be
reduced. Notice in Figure 2 how the glitch is minimized.
Therefore, to ensure glitch reduction, delay A, the input
delay must be less than the delay B – delay A. This is
represented by the equation d < DPD.
D. Delay Balance Method
The buffer enhanced differential delay method can be extended
to circuit wide glitch reduction. The balanced delay method
treats the entire circuit as an effectively large Boolean gate, but
rather than have delay buffers external to the gate the buffers
are internal. Delay buffers are inserted on non-critical paths in
the circuit to delay their response to be in time with the critical
path. If this method is followed for all non-critical paths through
the circuit, all paths will have the same delay. This results
creates a circuit with minimal glitch power loss.
E. Gate Delay Mathmatical Glitch Suppression
Once can define gate delay, the time taken for a signal to propagate from input to output as di. The time differential for each
input can be defined as ti the earlies arriving signal, and Ti the latest arriving signal. To ensure a glitch is suppressed Ti – ti < di
F. Linear Programing Buffer Delay Minimization
To achieve near optimal power reduction, the minimum
number of buffers must be used. While this criterion is
nonlinear, one can minimize the sum of the total buffer delays.
By minimizing total buffer delay one ensures that a near
optimal number of buffers are inserted into the circuit and draw
no more power than necessary to reduce glitch power
consumption. To many buffers (each drawing power
themselves) can increase power consumption rather than
reduce.
G. ALU 74181 Example
The slides provided example results from a previous student of this project. The project took a 74181 ALU, an industry standard DIP package ALU. The circuit was modified in an undisclosed manner and achieved an average of 21% power reduction. I began my design work for this project by studying the 74181 circuit and preparing to optimize the 74181’s design for glitch reduction. This proved to be a difficult task to large size of the 74181 nearing 75 gates. Also, the circuit schematic would have to be drawn by hand in Design Architect to get a base line. Due to time constraints, I decided to design my own ALU with fewer functions and write it in VHDL allowing it to be synthesized into a gate-level model with which I could then modify.
III. PROJECT DESIGN
A. VHDL Model of 4-Bit ALU
The 4-Bit ALU model for this project was written in VHDL.
The ALU has 4 functions add, subtract, add one, and subtract
one. This design could clearly be extended to a larger design
but was out of scope for this project. The ALU has two inputs
A and B which are the two 4 bit unsigned arguments. The output
is a 4 bit vector F. The function of the ALU is selected by setting
the bus S.
entity alu is
port( Clk : in std_logic; --clock signal
A,B : in unsigned(3 downto 0); --input operands
S : in unsigned(1 downto 0); --Operation to be performed
F : out unsigned(3 downto 0) --output of ALU
);
end alu;
architecture Behavioral of alu is
signal t1,t2,t3: unsigned(3 downto 0) := (others => '0');
begin
t1<= A;
t2<= B;
F<= t3;
process(Clk)
begin
if(rising_edge(Clk)) then --Do the calculation at the positive
edge of clock cycle.
case S is
when "00" =>
t3<= t1 + t2; --addition
when "01" =>
t3<= t1 - t2; --subtraction
when "10" =>
t3<= t1 - 1; --sub 1
when "11" =>
t3<= t1 + 1; --add 1
when others =>
NULL;
end case;
end if;
end process;
end Behavioral;
B. Synthesis using Leonardo Spectrum
The circuit was synthesized using Leonardo Spectrum into
TSMC 035 design package for 35nm operation. The resulting
circuit contained 26 block level gates and 54 total gates.
Leonardo defined the critical path as follows and featured a
1.11ns delay time.
Critical path #1, (unconstrained path)
NAME GATE ARRIVAL
LOAD
---------------------------------------------------------------------------
---
S(0)/ 0.00 0.00 up 0.04
ix1/Y xnor2 0.21 0.21 dn 0.07
ix7/Y xnor2 0.15 0.36 up 0.02
ix9/Y xnor2 0.13 0.49 dn 0.03
ix134/Y aoi22 0.16 0.65 up 0.02
ix146/Y mux21 0.19 0.84 up 0.02
ix155/Y mux21 0.17 1.00 up 0.02
ix89/Y xnor2 0.11 1.11 dn 0.01
reg_t3(3)/D dff 0.00 1.11 dn 0.00
data arrival time 1.11
C. Vector Selection
HSPICE was used to simulate the circuit by applying a test
vector set. The test vector set I used contained 1024 test
vectors. This represented every possible input combination
one time for each operation. These vectors were run 5ns
apart for a total of 5120ns to profile the circuit for power
consumption.
D. Power Analysis Initial Circuit
The power analayis for the un modified circuit was run using
HSPICE. The result showed 336uW used before glitch
reduction.
****** transient analysis tnom= 25.000 temp= 25.000 *****
avg_current= 3.3691E-01 from= 0.0000E+00 to= 1.2000E-06
rms_current= 4.6810E-01 from= 0.0000E+00 to= 1.2000E-06
avg_pow= 3.3691E-01 from= 0.0000E+00 to= 1.2000E-06
rms_pow= 4.6810E-01 from= 0.0000E+00 to= 1.2000E-06
powavg= 3.3691E-01
powrms= 4.6810E-01
E. Glitch Reduction Design Using Buffer Delays
As given earlier, the critical path had a delay of 1.11ns. Using
the gate level model of the circuit I determined 8 paths that
could be optimized using buffer delays to bring their total path
delay near 1.11 ns. These buffer delays are as follows:
Path 1: S[1] ix129, ix1, ix7, ix136, ix134, new buffer, ix146, ix155, regt33
Buffer delay added 0.32ns
Path 2: S[0], ix129, ix127, ix7, new buffer, ix134, ix146, ix155,ix89,reg33
Buffer delay added 0.33ns
Path 3: S[1], new buffer, ix134, ix146, ix155, ix99, reg33
Buffer delay added 0.33ns
Path 4: A[0], new buffer, ix11, regt30,
Buffer delay added 0.93ns
Path 5: S[1], ix127,ix7,ix9, new buffer,ix11
Buffer delay added 0.90ns
Path 6: A[1], ix9,new buffer, ix87,ix63,reg32
Buffer delay added 0.27ns
Path 7: S[1], ix35, new buffer, ix37,reg31
Buffer delay added 0.35ns
Path 8: S[1], ix134, new buffer, ix37,reg31
Buffer delay added 0.38ns
F. Power Analysis Final Circuit
The power analayis for the modified circuit was run using
HSPICE. The result showed 336uW used before glitch
reduction.
G. Power Analysis Initial Circuit
The power analayis for the un modified circuit was run using
HSPICE. The result showed 336uW used before glitch
reduction.
****** transient analysis tnom= 25.000 temp= 25.000 *****
avg_current= 2.4379E-01 from= 0.0000E+00 to= 1.2000E-06
rms_current= 4.1507E-01 from= 0.0000E+00 to= 1.2000E-06
avg_pow= 2.4379E-01 from= 0.0000E+00 to= 1.2000E-06
rms_pow= 4.1507E-01 from= 0.0000E+00 to= 1.2000E-06
powavg= 2.4379E-01
powrms= 4.1507E-01
H. Results Comparison
4-Bit ALU
Standard
4-Bit ALU
w/ buffer
delay glitch
reduction
%
Difference
Average
power
consumption
336uW 243uW 27.6%
IV. CONCLUSION
A. Results
This simple ALU designed allowed me to tune in really good
results. Using a minimal number of delay buffers, 8, on select
non critical paths allow me to make 9 selected paths through the
circuit has similar delays. This resulted in fewer glitches and
decreased power consumption. While not all paths were
optimized and the number of buffers and total buffer delay was
not optimized using linear programming I feel this a good
results considering the time constraints and project scope.
Please see the following pages and appendixes for addition
evidence of completed work.
B. Overall
This project and class was quite enjoyable. I really learned a lot
about power reduction and how complex and simple it can be
at the same time. Low power devices are the next generation
devices that will be made. Battery power and mobile devices
rely heavily on reduced power consumption. The design and
analysis techniques learned in this class are very applicable to
the job market today. I feel I have come away with actionable
knowledge ready to be applied and expanded on in my career.
REFERENCES
[1] Vishwani D. Agrawal, James J. Danaher Professor of ECE
http://www.eng.auburn.edu/~agrawvd/COURSE/E6270_Spr1
5/course.html
[2] Victor P. Nelson, Professor of ECE
http://www.eng.auburn.edu/~nelson/courses/elec5250_6250/
Circuit Design Before Buffers, Red Line Shows Critical Path
Circuit Design After Buffers, Red Line Shows Critical Path, Green Arrows new Buffers
Waveforms demonstrating Glitch Power Reduction
After:
Before:
Glitch and the Power
drawn from VDD.
Wow! Big Reduction!
Glitch and the Power
drawn from VDD
Appendix I. Spice Netlist and HSPICE analysis configuration parameters.
* LVS netlist generated with ICnet by 'hlt0001' on Wed Apr 29 2015 at 22:39:34
*
* Globals.
*
.inc '45nm_MGK.pm'
.global GND VDD
.param lam=0.045u
.param t=300ns
.param tr=0.01ns
.param supply=1v
.option post brief probe
vclk Clk 0 pulse(0 5 0 .1n .1n 1n 2n)
VDD VDD GND supply
.vec 'aluVectors.vec'
X_alu A[0] A[1] A[2] A[3] B[0] B[1] B[2] B[3] S[0] S[1] F[0]
+ F[1] F[2] F[3] Clk alu
*
* Component pathname : $ADK/parts/mux21
*
.subckt mux21 S0 A0 A1 Y
M_I$5 Y S0 N$10 VDD pmos L=0.4u W=.045u
M_I$13 N$6 A1 GND GND nmos L=0.4u W=2u
M_I$12 Y S0 N$6 GND nmos L=0.4u W=2u
M_I$17 Y N$7 N$5 VDD pmos L=0.4u W=.045u
M_I$16 N$5 A1 VDD VDD pmos L=0.4u W=.045u
M_I$7 N$4 A0 GND GND nmos L=0.4u W=2u
M_I$6 Y N$7 N$4 GND nmos L=0.4u W=2u
M_I$4 N$10 A0 VDD VDD pmos L=0.4u W=.045u
M_I$3 N$7 S0 GND GND nmos L=0.4u W=1u
M_I$2 N$7 S0 VDD VDD pmos L=0.4u W=1.8u
.ends mux21
*
* Component pathname : $ADK/parts/inv01
*
.subckt inv01 A Y
M_I$411 Y A VDD VDD pmos L=0.4u W=1.8u
M_I$412 Y A GND GND nmos L=0.4u W=1u
.ends inv01
*
* Component pathname : $ADK/parts/nand02
*
.subckt nand02 Y A0 A1
M_I$472 Y A1 VDD VDD pmos L=0.4u W=2.4u
M_I$471 Y A0 VDD VDD pmos L=0.4u W=2.4u
M_I$4 Y A0 N$7 GND nmos L=0.4u W=2u
M_I$5 N$7 A1 GND GND nmos L=0.4u W=2u
.ends nand02
*
* Component pathname : $ADK/parts/xnor2
*
.subckt xnor2 Y A0 A1
M_I$218 N$213 A1 GND GND nmos L=0.4u W=2u
M_I$217 N$212 A0 N$213 GND nmos L=0.4u W=2u
M_I$9 N$212 A1 VDD VDD pmos L=0.4u W=2.6u
M_I$8 N$212 A0 VDD VDD pmos L=0.4u W=2.6u
M_I$7 N$3 N$212 GND GND nmos L=0.4u W=2u
M_I$6 Y A1 N$3 GND nmos L=0.4u W=2u
M_I$5 Y A0 N$3 GND nmos L=0.4u W=2u
M_I$4 Y A1 N$1 VDD pmos L=0.4u W=5.2u
M_I$3 Y N$212 VDD VDD pmos L=0.4u W=2.6u
M_I$2 N$1 A0 VDD VDD pmos L=0.4u W=5.2u
.ends xnor2
*
* Component pathname : $ADK/parts/buf16
*
.subckt buf16 A Y
M_I$1238 N$1022 A GND GND nmos L=0.4u W=100u
M_I$1235 N$1022 A VDD VDD pmos L=0.4u W=180u
M_I$1233 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$1232 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$1231 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$1230 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$1229 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$1228 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$1227 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$1226 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$1023 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$1022 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$1021 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$1020 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$817 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$818 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$614 Y N$1022 VDD VDD pmos L=0.4u W=180u
M_I$615 Y N$1022 GND GND nmos L=0.4u W=50u
M_I$411 N$1022 A VDD VDD pmos L=0.4u W=180u
M_I$412 N$1022 A GND GND nmos L=0.4u W=50u
.ends buf16
*
* Component pathname : $ADK/parts/aoi22
*
.subckt aoi22 B1 A0 A1 B0 Y
M_I$425 Y B0 N$9 GND nmos L=0.4u W=2u
M_I$426 Y B1 N$4 VDD pmos L=0.4u W=2.6u
M_I$12 N$8 A1 GND GND nmos L=0.4u W=2u
M_I$11 Y A0 N$8 GND nmos L=0.4u W=2u
M_I$7 Y B0 N$4 VDD pmos L=0.4u W=2.6u
M_I$6 N$4 A1 VDD VDD pmos L=0.4u W=2.6u
M_I$5 N$4 A0 VDD VDD pmos L=0.4u W=2.6u
M_I$13 N$9 B1 GND GND nmos L=0.4u W=2u
.ends aoi22
*
* Component pathname : $ADK/parts/xor2
*
.subckt xor2 Y A0 A1
M_I$421 Y N$4 GND GND nmos L=0.4u W=1u
M_I$420 Y N$4 VDD VDD pmos L=0.4u W=1.8u
M_I$218 N$213 A1 GND GND nmos L=0.4u W=2u
M_I$217 N$212 A0 N$213 GND nmos L=0.4u W=2u
M_I$9 N$212 A1 VDD VDD pmos L=0.4u W=2.6u
M_I$8 N$212 A0 VDD VDD pmos L=0.4u W=2.6u
M_I$7 N$3 N$212 GND GND nmos L=0.4u W=2u
M_I$6 N$4 A1 N$3 GND nmos L=0.4u W=2u
M_I$5 N$4 A0 N$3 GND nmos L=0.4u W=2u
M_I$4 N$4 A1 N$1 VDD pmos L=0.4u W=5.2u
M_I$3 N$4 N$212 VDD VDD pmos L=0.4u W=2.6u
M_I$2 N$1 A0 VDD VDD pmos L=0.4u W=5.2u
.ends xor2
*
* Component pathname : $ADK/parts/dff
*
.subckt dff QB Q CLK D
M_I$441 N$847 bclk- N$851 GND nmos L=0.4u W=3u
M_I$440 N$849 N$847 VDD VDD pmos L=0.4u W=1u
M_I$439 N$847 bclk- N$848 VDD pmos L=0.4u W=1u
M_I$438 N$848 N$849 VDD VDD pmos L=0.4u W=1u
M_I$437 N$847 bclk N$845 VDD pmos L=0.4u W=5.4u
M_I$436 N$845 D VDD VDD pmos L=0.4u W=5.4u
M_I$452 bclk bclk- GND GND nmos L=0.4u W=2u
M_I$673 Q QB GND GND nmos L=0.4u W=2u
M_I$672 Q QB VDD VDD pmos L=0.4u W=.045u
M_I$669 QB N$1074 GND GND nmos L=0.4u W=2u
M_I$675 QB N$1074 VDD VDD pmos L=0.4u W=.045u
M_I$668 N$1071 N$1074 GND GND nmos L=0.4u W=1u
M_I$667 N$1073 N$1071 GND GND nmos L=0.4u W=1u
M_I$666 N$1074 bclk- N$1073 GND nmos L=0.4u W=1u
M_I$665 N$1072 N$847 GND GND nmos L=0.4u W=3u
M_I$664 N$1074 bclk N$1072 GND nmos L=0.4u W=3u
M_I$663 N$1071 N$1074 VDD VDD pmos L=0.4u W=1u
M_I$662 N$1074 bclk N$1070 VDD pmos L=0.4u W=1u
M_I$661 N$1070 N$1071 VDD VDD pmos L=0.4u W=1u
M_I$660 N$1074 bclk- N$1069 VDD pmos L=0.4u W=5.4u
M_I$659 N$1069 N$847 VDD VDD pmos L=0.4u W=5.4u
M_I$449 bclk- CLK GND GND nmos L=0.4u W=2u
M_I$448 bclk- CLK VDD VDD pmos L=0.4u W=.045u
M_I$453 bclk bclk- VDD VDD pmos L=0.4u W=.045u
M_I$445 N$849 N$847 GND GND nmos L=0.4u W=1u
M_I$444 N$852 N$849 GND GND nmos L=0.4u W=1u
M_I$443 N$847 bclk N$852 GND nmos L=0.4u W=1u
M_I$442 N$851 D GND GND nmos L=0.4u W=3u
.ends dff
*
* Component pathname : /home/u1/hlt0001/4BitALU3/alu
*
.subckt alu A[0] A[1] A[2] A[3] B[0] B[1] B[2] B[3] S[0] S[1] F[0] F[1]
+ F[2] F[3] Clk
X_ix155 nx60 nx58 nx145 nx154 mux21
X_ix146 nx34 nx32 nx133 nx145 mux21
X_ix136 nx6 nx135 inv01
X_ix129 S[1] nx128 inv01
X_ix159 nx158 B[3] nx128 nand02
X_ix150 nx149 B[2] nx128 nand02
X_ix141 nx140 B[1] nx128 nand02
X_ix127 nx126 B[0] nx128 nand02
X_ix85 nx84 nx0 nx158 xnor2
X_ix87 nx86 N$465 nx84 xnor2
X_ix89 nx88 nx154 nx86 xnor2
X_ix59 nx58 nx0 nx149 xnor2
X_ix61 nx60 A[2] nx58 xnor2
X_ix63 nx62 nx145 nx60 xnor2
X_BUF1614 A[3] N$465 buf16
X_BUF1613 nx34 N$462 buf16
X_BUF1612 nx133 N$14 buf16
X_ix134 N$674 N$27 N$459 N$460 nx133 aoi22
X_BUF1611 A[0] N$253 buf16
X_BUF1610 nx140 N$255 buf16
X_BUF169 nx135 N$27 buf16
X_BUF168 A[0] N$459 buf16
X_BUF167 S[0] N$460 buf16
X_BUF166 S[0] N$676 buf16
X_BUF165 N$676 N$675 buf16
X_BUF164 N$675 N$458 buf16
X_BUF163 N$674 N$673 buf16
X_BUF162 N$673 N$672 buf16
X_BUF161 N$672 N$457 buf16
X_ix33 nx32 nx0 N$255 xnor2
X_ix35 nx34 A[1] nx32 xnor2
X_ix37 nx36 N$14 N$462 xnor2
X_ix1 nx0 S[0] S[1] xnor2
X_ix7 nx6 nx0 nx126 xnor2
X_ix9 N$674 N$253 nx6 xnor2
X_ix11 nx10 N$458 N$457 xor2
X_reg_t3_3 N$dummy_esc1[3] F[3] Clk nx88 dff
X_reg_t3_2 N$dummy_esc1[2] F[2] Clk nx62 dff
X_reg_t3_1 N$dummy_esc1[1] F[1] Clk nx36 dff
X_reg_t3_0 N$dummy_esc1[0] F[0] Clk nx10 dff
.ends alu
.tran 1ns '4*t'
.measure tran avg_current avg i(vdd) from=0ns to='4*t'
.measure tran rms_current rms i(vdd) from=0ns to='4*t'
.measure tran avg_pow avg p(vdd) from=0ns to='4*t'
.measure tran rms_pow rms p(vdd) from=0ns to='4*t'
.measure tran powavg param='avg_current*supply'
.measure tran powrms param='rms_current*supply'
.print i(vdd)
.print power
.probe v(A[0]) v(A[1]) v(A[2]) v(A[3]) v(B[0]) v(B[1]) v(B[2]) v(B[3]) v(S[0]) v(S[1]) v(F[0]) v(F[1]) v(F[2]) v(F[3]) v(Clk)
.end
Appendix II. ALU Test Vectors
; start of Pattern Definition section
RADIX 4 4 2
vname A[[3:0]] B[[3:0]] S[[1:0]]
IO I I I
period 1
tunit ns
slope 0.01
vih 1
vil 0
voh 0.7
vol 0.3
;Vector
Table
0 0 0
1 0 0
2 0 0
3 0 0
4 0 0
5 0 0
6 0 0
7 0 0
8 0 0
9 0 0
A 0 0
B 0 0
C 0 0
D 0 0
E 0 0
F 0 0
0 0 1
1 0 1
2 0 1
3 0 1
4 0 1
5 0 1
6 0 1
7 0 1
8 0 1
9 0 1
A 0 1
B 0 1
C 0 1
D 0 1
E 0 1
F 0 1
0 0 2
1 0 2
2 0 2
3 0 2
4 0 2
5 0 2
6 0 2
7 0 2
8 0 2
9 0 2
A 0 2
B 0 2
C 0 2
D 0 2
E 0 2
F 0 2
0 0 3
1 0 3
2 0 3
3 0 3
4 0 3
5 0 3
6 0 3
7 0 3
8 0 3
9 0 3
A 0 3
B 0 3
C 0 3
D 0 3
E 0 3
F 0 3
0 0 0
1 0 0
2 0 0
3 0 0
4 0 0
5 0 0
6 0 0
7 0 0
8 0 0
9 0 0
A 0 0
B 0 0
C 0 0
D 0 0
E 0 0
F 0 0
0 1 1
1 1 1
2 1 1
3 1 1
4 1 1
5 1 1
6 1 1
7 1 1
8 1 1
9 1 1
A 1 1
B 1 1
C 1 1
D 1 1
E 1 1
F 1 1
0 1 2
1 1 2
2 1 2
3 1 2
4 1 2
5 1 2
6 1 2
7 1 2
8 1 2
9 1 2
A 1 2
B 1 2
C 1 2
D 1 2
E 1 2
F 1 2
0 1 3
1 1 3
2 1 3
3 1 3
4 1 3
5 1 3
6 1 3
7 1 3
8 1 3
9 1 3
A 1 3
B 1 3
C 1 3
D 1 3
E 1 3
F 1 3
0 2 0
1 2 0
2 2 0
3 2 0
4 2 0
5 2 0
6 2 0
7 2 0
8 2 0
9 2 0
A 2 0
B 2 0
C 2 0
D 2 0
E 2 0
F 2 0
0 2 1
1 2 1
2 2 1
3 2 1
4 2 1
5 2 1
6 2 1
7 2 1
8 2 1
9 2 1
A 2 1
B 2 1
C 2 1
D 2 1
E 2 1
F 2 1
0 2 2
1 2 2
2 2 2
3 2 2
4 2 2
5 2 2
6 2 2
7 2 2
8 2 2
9 2 2
A 2 2
B 2 2
C 2 2
D 2 2
E 2 2
F 2 2
0 2 3
1 2 3
2 2 3
3 2 3
4 2 3
5 2 3
6 2 3
7 2 3
8 2 3
9 2 3
A 2 3
B 2 3
C 2 3
D 2 3
E 2 3
F 2 3
0 2 0
1 2 0
2 2 0
3 2 0
4 2 0
5 2 0
6 2 0
7 2 0
8 2 0
9 2 0
A 2 0
B 2 0
C 2 0
D 2 0
E 2 0
F 2 0
0 3 0
1 3 0
2 3 0
3 3 0
4 3 0
5 3 0
6 3 0
7 3 0
8 3 0
9 3 0
A 3 0
B 3 0
C 3 0
D 3 0
E 3 0
F 3 0
0 3 1
1 3 1
2 3 1
3 3 1
4 3 1
5 3 1
6 3 1
7 3 1
8 3 1
9 3 1
A 3 1
B 3 1
C 3 1
D 3 1
E 3 1
F 3 1
0 3 2
1 3 2
2 3 2
3 3 2
4 3 2
5 3 2
6 3 2
7 3 2
8 3 2
9 3 2
A 3 2
B 3 2
C 3 2
D 3 2
E 3 2
F 3 2
0 3 3
1 3 3
2 3 3
3 3 3
4 3 3
5 3 3
6 3 3
7 3 3
8 3 3
9 3 3
A 3 3
B 3 3
C 3 3
D 3 3
E 3 3
F 3 3
0 3 0
1 3 0
2 3 0
3 3 0
4 3 0
5 3 0
6 3 0
7 3 0
8 3 0
9 3 0
A 3 0
B 3 0
C 3 0
D 3 0
E 3 0
F 3 0
0 4 0
1 4 0
2 4 0
3 4 0
4 4 0
5 4 0
6 4 0
7 4 0
8 4 0
9 4 0
A 4 0
B 4 0
C 4 0
D 4 0
E 4 0
F 4 0
0 4 1
1 4 1
2 4 1
3 4 1
4 4 1
5 4 1
6 4 1
7 4 1
8 4 1
9 4 1
A 4 1
B 4 1
C 4 1
D 4 1
E 4 1
F 4 1
0 4 2
1 4 2
2 4 2
3 4 2
4 4 2
5 4 2
6 4 2
7 4 2
8 4 2
9 4 2
A 4 2
B 4 2
C 4 2
D 4 2
E 4 2
F 4 2
0 4 3
1 4 3
2 4 3
3 4 3
4 4 3
5 4 3
6 4 3
7 4 3
8 4 3
9 4 3
A 4 3
B 4 3
C 4 3
D 4 3
E 4 3
F 4 3
0 4 0
1 4 0
2 4 0
3 4 0
4 4 0
5 4 0
6 4 0
7 4 0
8 4 0
9 4 0
A 4 0
B 4 0
C 4 0
D 4 0
E 4 0
F 4 0
0 5 1
1 5 1
2 5 1
3 5 1
4 5 1
5 5 1
6 5 1
7 5 1
8 5 1
9 5 1
A 5 1
B 5 1
C 5 1
D 5 1
E 5 1
F 5 1
0 5 2
1 5 2
2 5 2
3 5 2
4 5 2
5 5 2
6 5 2
7 5 2
8 5 2
9 5 2
A 5 2
B 5 2
C 5 2
D 5 2
E 5 2
F 5 2
0 5 3
1 5 3
2 5 3
3 5 3
4 5 3
5 5 3
6 5 3
7 5 3
8 5 3
9 5 3
A 5 3
B 5 3
C 5 3
D 5 3
E 5 3
F 5 3
0 6 0
1 6 0
2 6 0
3 6 0
4 6 0
5 6 0
6 6 0
7 6 0
8 6 0
9 6 0
A 6 0
B 6 0
C 6 0
D 6 0
E 6 0
F 6 0
0 6 1
1 6 1
2 6 1
3 6 1
4 6 1
5 6 1
6 6 1
7 6 1
8 6 1
9 6 1
A 6 1
B 6 1
C 6 1
D 6 1
E 6 1
F 6 1
0 6 2
1 6 2
2 6 2
3 6 2
4 6 2
5 6 2
6 6 2
7 6 2
8 6 2
9 6 2
A 6 2
B 6 2
C 6 2
D 6 2
E 6 2
F 6 2
0 6 3
1 6 3
2 6 3
3 6 3
4 6 3
5 6 3
6 6 3
7 6 3
8 6 3
9 6 3
A 6 3
B 6 3
C 6 3
D 6 3
E 6 3
F 6 3
0 6 0
1 6 0
2 6 0
3 6 0
4 6 0
5 6 0
6 6 0
7 6 0
8 6 0
9 6 0
A 6 0
B 6 0
C 6 0
D 6 0
E 6 0
F 6 0
0 7 0
1 7 0
2 7 0
3 7 0
4 7 0
5 7 0
6 7 0
7 7 0
8 7 0
9 7 0
A 7 0
B 7 0
C 7 0
D 7 0
E 7 0
F 7 0
0 7 1
1 7 1
2 7 1
3 7 1
4 7 1
5 7 1
6 7 1
7 7 1
8 7 1
9 7 1
A 7 1
B 7 1
C 7 1
D 7 1
E 7 1
F 7 1
0 7 2
1 7 2
2 7 2
3 7 2
4 7 2
5 7 2
6 7 2
7 7 2
8 7 2
9 7 2
A 7 2
B 7 2
C 7 2
D 7 2
E 7 2
F 7 2
0 7 3
1 7 3
2 7 3
3 7 3
4 7 3
5 7 3
6 7 3
7 7 3
8 7 3
9 7 3
A 7 3
B 7 3
C 7 3
D 7 3
E 7 3
F 7 3
0 7 0
1 7 0
2 7 0
3 7 0
4 7 0
5 7 0
6 7 0
7 7 0
8 7 0
9 7 0
A 7 0
B 7 0
C 7 0
D 7 0
E 7 0
F 7 0
0 8 0
1 8 0
2 8 0
3 8 0
4 8 0
5 8 0
6 8 0
7 8 0
8 8 0
9 8 0
A 8 0
B 8 0
C 8 0
D 8 0
E 8 0
F 8 0
0 8 1
1 8 1
2 8 1
3 8 1
4 8 1
5 8 1
6 8 1
7 8 1
8 8 1
9 8 1
A 8 1
B 8 1
C 8 1
D 8 1
E 8 1
F 8 1
0 8 2
1 8 2
2 8 2
3 8 2
4 8 2
5 8 2
6 8 2
7 8 2
8 8 2
9 8 2
A 8 2
B 8 2
C 8 2
D 8 2
E 8 2
F 8 2
0 8 3
1 8 3
2 8 3
3 8 3
4 8 3
5 8 3
6 8 3
7 8 3
8 8 3
9 8 3
A 8 3
B 8 3
C 8 3
D 8 3
E 8 3
F 8 3
0 8 0
1 8 0
2 8 0
3 8 0
4 8 0
5 8 0
6 8 0
7 8 0
8 8 0
9 8 0
A 8 0
B 8 0
C 8 0
D 8 0
E 8 0
F 8 0
0 9 1
1 9 1
2 9 1
3 9 1
4 9 1
5 9 1
6 9 1
7 9 1
8 9 1
9 9 1
A 9 1
B 9 1
C 9 1
D 9 1
E 9 1
F 9 1
0 9 2
1 9 2
2 9 2
3 9 2
4 9 2
5 9 2
6 9 2
7 9 2
8 9 2
9 9 2
A 9 2
B 9 2
C 9 2
D 9 2
E 9 2
F 9 2
0 9 3
1 9 3
2 9 3
3 9 3
4 9 3
5 9 3
6 9 3
7 9 3
8 9 3
9 9 3
A 9 3
B 9 3
C 9 3
D 9 3
E 9 3
F 9 3
0 A 0
1 A 0
2 A 0
3 A 0
4 A 0
5 A 0
6 A 0
7 A 0
8 A 0
9 A 0
A A 0
B A 0
C A 0
D A 0
E A 0
F A 0
0 A 1
1 A 1
2 A 1
3 A 1
4 A 1
5 A 1
6 A 1
7 A 1
8 A 1
9 A 1
A A 1
B A 1
C A 1
D A 1
E A 1
F A 1
0 A 2
1 A 2
2 A 2
3 A 2
4 A 2
5 A 2
6 A 2
7 A 2
8 A 2
9 A 2
A A 2
B A 2
C A 2
D A 2
E A 2
F A 2
0 A 3
1 A 3
2 A 3
3 A 3
4 A 3
5 A 3
6 A 3
7 A 3
8 A 3
9 A 3
A A 3
B A 3
C A 3
D A 3
E A 3
F A 3
0 A 0
1 A 0
2 A 0
3 A 0
4 A 0
5 A 0
6 A 0
7 A 0
8 A 0
9 A 0
A A 0
B A 0
C A 0
D A 0
E A 0
F A 0
0 B 0
1 B 0
2 B 0
3 B 0
4 B 0
5 B 0
6 B 0
7 B 0
8 B 0
9 B 0
A B 0
B B 0
C B 0
D B 0
E B 0
F B 0
0 B 1
1 B 1
2 B 1
3 B 1
4 B 1
5 B 1
6 B 1
7 B 1
8 B 1
9 B 1
A B 1
B B 1
C B 1
D B 1
E B 1
F B 1
0 B 2
1 B 2
2 B 2
3 B 2
4 B 2
5 B 2
6 B 2
7 B 2
8 B 2
9 B 2
A B 2
B B 2
C B 2
D B 2
E B 2
F B 2
0 B 3
1 B 3
2 B 3
3 B 3
4 B 3
5 B 3
6 B 3
7 B 3
8 B 3
9 B 3
A B 3
B B 3
C B 3
D B 3
E B 3
F B 3
0 B 0
1 B 0
2 B 0
3 B 0
4 B 0
5 B 0
6 B 0
7 B 0
8 B 0
9 B 0
A B 0
B B 0
C B 0
D B 0
E B 0
F B 0
0 C 0
1 C 0
2 C 0
3 C 0
4 C 0
5 C 0
6 C 0
7 C 0
8 C 0
9 C 0
A C 0
B C 0
C C 0
D C 0
E C 0
F C 0
0 C 1
1 C 1
2 C 1
3 C 1
4 C 1
5 C 1
6 C 1
7 C 1
8 C 1
9 C 1
A C 1
B C 1
C C 1
D C 1
E C 1
F C 1
0 C 2
1 C 2
2 C 2
3 C 2
4 C 2
5 C 2
6 C 2
7 C 2
8 C 2
9 C 2
A C 2
B C 2
C C 2
D C 2
E C 2
F C 2
0 C 3
1 C 3
2 C 3
3 C 3
4 C 3
5 C 3
6 C 3
7 C 3
8 C 3
9 C 3
A C 3
B C 3
C C 3
D C 3
E C 3
F C 3
0 C 0
1 C 0
2 C 0
3 C 0
4 C 0
5 C 0
6 C 0
7 C 0
8 C 0
9 C 0
A C 0
B C 0
C C 0
D C 0
E C 0
F C 0
0 D 1
1 D 1
2 D 1
3 D 1
4 D 1
5 D 1
6 D 1
7 D 1
8 D 1
9 D 1
A D 1
B D 1
C D 1
D D 1
E D 1
F D 1
0 D 2
1 D 2
2 D 2
3 D 2
4 D 2
5 D 2
6 D 2
7 D 2
8 D 2
9 D 2
A D 2
B D 2
C D 2
D D 2
E D 2
F D 2
0 D 3
1 D 3
2 D 3
3 D 3
4 D 3
5 D 3
6 D 3
7 D 3
8 D 3
9 D 3
A D 3
B D 3
C D 3
D D 3
E D 3
F D 3
0 E 0
1 E 0
2 E 0
3 E 0
4 E 0
5 E 0
6 E 0
7 E 0
8 E 0
9 E 0
A E 0
B E 0
C E 0
D E 0
E E 0
F E 0
0 E 1
1 E 1
2 E 1
3 E 1
4 E 1
5 E 1
6 E 1
7 E 1
8 E 1
9 E 1
A E 1
B E 1
C E 1
D E 1
E E 1
F E 1
0 E 2
1 E 2
2 E 2
3 E 2
4 E 2
5 E 2
6 E 2
7 E 2
8 E 2
9 E 2
A E 2
B E 2
C E 2
D E 2
E E 2
F E 2
0 E 3
1 E 3
2 E 3
3 E 3
4 E 3
5 E 3
6 E 3
7 E 3
8 E 3
9 E 3
A E 3
B E 3
C E 3
D E 3
E E 3
F E 3
0 E 0
1 E 0
2 E 0
3 E 0
4 E 0
5 E 0
6 E 0
7 E 0
8 E 0
9 E 0
A E 0
B E 0
C E 0
D E 0
E E 0
F E 0
0 F 0
1 F 0
2 F 0
3 F 0
4 F 0
5 F 0
6 F 0
7 F 0
8 F 0
9 F 0
A F 0
B F 0
C F 0
D F 0
E F 0
F F 0
0 F 1
1 F 1
2 F 1
3 F 1
4 F 1
5 F 1
6 F 1
7 F 1
8 F 1
9 F 1
A F 1
B F 1
C F 1
D F 1
E F 1
F F 1
0 F 2
1 F 2
2 F 2
3 F 2
4 F 2
5 F 2
6 F 2
7 F 2
8 F 2
9 F 2
A F 2
B F 2
C F 2
D F 2
E F 2
F F 2
0 F 3
1 F 3
2 F 3
3 F 3
4 F 3
5 F 3
6 F 3
7 F 3
8 F 3
9 F 3
A F 3
B F 3
C F 3
D F 3
E F 3
F F 3
Appendix III. HPSICE with Buffer Modifications
Using: /usr/bin/time -p /linux_apps/synopsys/v2.5/hspice/hspice/linux/hspice -i alu_16buf.sp
****** HSPICE -- E-2010.12-SP1 32-BIT (Feb 23 2011) linux ******
Copyright (C) 2010 Synopsys, Inc. All Rights Reserved.
Unpublished-rights reserved under US copyright laws.
This program is protected by law and is subject to the
terms and conditions of the license agreement from Synopsys.
Use of this program is your acceptance to be bound by the
license agreement. HSPICE is the trademark of Synopsys, Inc.
Input File: alu_16buf.sp
Command line options: -i alu_16buf.sp
lic: No 'setenv LM_LICENSE_FILE' in current environment'
lic:
lic: FLEXlm: v10.8
lic: USER: hlt0001 HOSTNAME: eelnx165.eng.auburn.edu
lic: HOSTID: 00101897217b PID: 17774
lic: Using FLEXlm license file:
lic: [email protected]
lic: Checkout 1 hspice
lic: License/Maintenance for hspice will expire on 21-jul-2015/2014.09
lic: 1(in_use)/50(total) FLOATING license(s) on SERVER perseus.eng.auburn.edu
lic:
Init: read install configuration file: /linux_apps/synopsys/v2.5/hspice/hspice/meta.cfg
**info** (alu_16buf.sp:15) DC voltage reset to initial transient source value in source 0:vclk new dc=
0.0000D+00
**warning**(nmos:m_i$13)Warning: Acde = 0.235521 may be too small in BSIM4 model with w=2e-06 l=4e-07.
**info** set option symb=1 internally to help for convergence.
*****************************************************************
****** option summary
******
runlvl = 3 bypass = 2
Opening plot unit= 15
file=alu_16buf.pa0
**info** dc convergence failure,
resetting dcon option to 1 and retrying
**info** dc convergence successful
you can increase the efficiency of the
operating point calculation by setting dcon= 1
in the .option statement
****** HSPICE -- E-2010.12-SP1 32-BIT (Feb 23 2011) linux ******
******
* lvs netlist generated with icnet by 'hlt0001' on wed apr 29 2015 at 22:39:34
****** operating point information tnom= 25.000 temp= 25.000 *****
***** operating point status is voltage simulation time is 0.
node =voltage node =voltage node =voltage
+ 0:a[0] = 0. 0:a[1] = 0. 0:a[2] = 0.
+ 0:a[3] = 0. 0:b[0] = 0. 0:b[1] = 0.
+ 0:b[2] = 0. 0:b[3] = 0. 0:clk = 0.
+ 0:f[0] = 981.6060m 0:f[1] = 981.6060m 0:f[2] = 981.6072m
+ 0:f[3] = 981.6072m 0:s[0] = 0. 0:s[1] = 0.
+ 0:vdd = 1.0000 1:n$14 = 987.4975m 1:n$253 = 3.5724m
+ 1:n$255 = 987.2324m 1:n$27 = 3.0494m 1:n$457 = 3.5572m
+ 1:n$458 = 3.5776m 1:n$459 = 3.4629m 1:n$460 = 3.4399m
+ 1:n$462 = 2.9194m 1:n$465 = 3.5722m 1:n$672 = 10.2030m
+ 1:n$673 = 9.9967m 1:n$674 = 456.1716m 1:n$675 = 10.2031m
+ 1:n$676 = 10.1986m 1:n$dummy_= 17.6697m 1:n$dummy_= 17.6697m
+ 1:n$dummy_= 17.6883m 1:n$dummy_= 17.6883m 1:nx0 = 873.1322m
+ 1:nx10 = 41.8759m 1:nx126 = 954.0011m 1:nx128 = 877.8123m
+ 1:nx133 = 634.8125m 1:nx135 = 464.6358m 1:nx140 = 645.5544m
+ 1:nx145 = 62.4663m 1:nx149 = 953.9984m 1:nx154 = 63.3984m
+ 1:nx158 = 954.0008m 1:nx32 = 930.7184m 1:nx34 = 457.7854m
+ 1:nx36 = 50.0775m 1:nx58 = 921.5921m 1:nx6 = 942.0705m
+ 1:nx60 = 67.2151m 1:nx62 = 915.7367m 1:nx84 = 939.4112m
+ 1:nx86 = 57.3812m 1:nx88 = 914.6173m 2:n$10 = 245.9733m
+ 2:n$4 = 34.3978m 2:n$5 = 984.6960m 2:n$6 = 20.0491m
+ 2:n$7 = 954.1453m 3:n$10 = 578.6172m 3:n$4 = 31.5792m
+ 3:n$5 = 994.3004m 3:n$6 = 17.8991m 3:n$7 = 808.6386m
+ 6:n$7 = 2.2891m 7:n$7 = 2.2891m 8:n$7 = 2.2890m
+ 9:n$7 = 2.2891m 10:n$1 = 996.9217m 10:n$212 = 29.4059m
+10:n$213 = 16.9806m 10:n$3 = 735.7860m 11:n$1 = 981.0604m
+11:n$212 = 956.1282m 11:n$213 = 2.9837m 11:n$3 = 31.2451m
+12:n$1 = 943.3338m 12:n$212 = 971.3122m 12:n$213 = 23.8631m
+12:n$3 = 3.4247m 13:n$1 = 996.7877m 13:n$212 = 29.2432m
+13:n$213 = 16.9023m 13:n$3 = 735.7202m 14:n$1 = 980.7930m
+14:n$212 = 956.0106m 14:n$213 = 2.7653m 14:n$3 = 35.7230m
+15:n$1 = 944.1433m 15:n$212 = 971.5368m 15:n$213 = 19.2802m
+15:n$3 = 3.4294m 16:n$1022 = 946.0686m 17:n$1022 = 846.7571m
+18:n$1022 = 113.4102m 19:n$4 = 857.2678m 19:n$8 = 17.4558m
+19:n$9 = 301.8323u 20:n$1022 = 946.0686m 21:n$1022 = 107.6177m
+22:n$1022 = 832.6803m 23:n$1022 = 946.0677m 24:n$1022 = 946.0675m
+25:n$1022 = 946.1578m 26:n$1022 = 946.6747m 27:n$1022 = 946.5855m
+28:n$1022 = 849.8958m 29:n$1022 = 946.6647m 30:n$1022 = 946.5853m
+31:n$1 = 998.6955m 31:n$212 = 29.6310m 31:n$213 = 17.2466m
+31:n$3 = 760.6366m 32:n$1 = 980.8087m 32:n$212 = 957.8367m
+32:n$213 = 2.8752m 32:n$3 = 177.6779m 33:n$1 = 187.2465m
+33:n$212 = 956.2938m 33:n$213 = 757.0869m 33:n$3 = 28.2843m
+34:n$1 = 919.8806m 34:n$212 = 967.7967m 34:n$213 = 17.0606m
+34:n$3 = 3.3650m 35:n$1 = 996.9533m 35:n$212 = 29.4306m
+35:n$213 = 16.9925m 35:n$3 = 735.7952m 36:n$1 = 981.0642m
+36:n$212 = 958.0661m 36:n$213 = 3.0176m 36:n$3 = 180.3171m
+37:n$1 = 943.8775m 37:n$212 = 968.0270m 37:n$213 = 17.8392m
+37:n$3 = 3.3685m 37:n$4 = 922.4964m 38:bclk = 5.9492m
+38:bclk- = 941.1957m 38:n$1069 = 983.5051m 38:n$1070 = 899.1962m
+38:n$1071 = 10.4182m 38:n$1072 = 9.6151m 38:n$1073 = 720.3513m
+38:n$1074 = 828.3980m 38:n$845 = 195.2060m 38:n$847 = 42.0287m
+38:n$848 = 973.9694m 38:n$849 = 951.5408m 38:n$851 = 23.7383m
+38:n$852 = 3.1401m 39:bclk = 5.9491m 39:bclk- = 941.1957m
+39:n$1069 = 983.5050m 39:n$1070 = 899.1962m 39:n$1071 = 10.4182m
+39:n$1072 = 9.6153m 39:n$1073 = 720.3513m 39:n$1074 = 828.3980m
+39:n$845 = 194.9010m 39:n$847 = 42.0277m 39:n$848 = 973.9694m
+39:n$849 = 951.5407m 39:n$851 = 23.7383m 39:n$852 = 3.1401m
+40:bclk = 21.9777m 40:bclk- = 962.1077m 40:n$1069 = 994.5827m
+40:n$1070 = 900.4294m 40:n$1071 = 10.4219m 40:n$1072 = 2.5558m
+40:n$1073 = 736.7862m 40:n$1074 = 829.6889m 40:n$845 = 936.3759m
+40:n$847 = 903.1340m 40:n$848 = 981.5629m 40:n$849 = 10.8093m
+40:n$851 = 740.7616m 40:n$852 = 24.0869m 41:bclk = 21.9564m
+41:bclk- = 962.1075m 41:n$1069 = 994.6225m 41:n$1070 = 900.4279m
+41:n$1071 = 10.4219m 41:n$1072 = 2.5523m 41:n$1073 = 736.7859m
+41:n$1074 = 829.6874m 41:n$845 = 936.0202m 41:n$847 = 902.8182m
+41:n$848 = 981.5627m 41:n$849 = 10.8075m 41:n$851 = 740.2736m
+41:n$852 = 24.0770m
******
* lvs netlist generated with icnet by 'hlt0001' on wed apr 29 2015 at 22:39:34
****** transient analysis tnom= 25.000 temp= 25.000 *****
avg_current= 2.4379E-01 from= 0.0000E+00 to= 1.2000E-06
rms_current= 4.1507E-01 from= 0.0000E+00 to= 1.2000E-06
avg_pow= 2.4379E-01 from= 0.0000E+00 to= 1.2000E-06
rms_pow= 4.1507E-01 from= 0.0000E+00 to= 1.2000E-06
powavg= 2.4379E-01
powrms= 4.1507E-01
x
time current
vdd
0. -50.9247m
1.00000n 605.9220m
2.00000n -65.4088m
3.00000n 580.7015m
4.00000n -64.0738m
5.00000n 563.9858m
6.00000n -80.0305m
7.00000n 538.2293m
8.00000n -100.0482m
9.00000n 541.0778m
10.00000n -81.0236m
11.00000n 552.6719m
12.00000n -77.2905m
13.00000n 557.7865m
14.00000n -72.7271m
15.00000n 559.3820m
16.00000n -72.8648m
17.00000n 507.9179m
18.00000n -101.4353m
19.00000n 550.1230m
20.00000n -81.7512m
21.00000n 549.3658m
22.00000n -90.3706m
23.00000n 541.2793m
24.00000n -83.5393m
25.00000n 541.6009m
26.00000n -88.5356m
27.00000n 539.1702m
28.00000n -95.8650m
29.00000n 541.6500m
30.00000n -92.4869m
31.00000n 539.8664m
32.00000n -96.1870m
33.00000n 498.8510m
34.00000n -89.7602m
35.00000n 561.2986m
36.00000n -69.0680m
37.00000n 568.5992m
38.00000n -66.7022m
39.00000n 569.7653m
40.00000n -66.2231m
41.00000n 560.1159m
42.00000n -66.9320m
43.00000n 567.1079m
44.00000n -65.9184m
45.00000n 566.4975m
46.00000n -67.2757m
47.00000n 567.9439m
48.00000n -65.0374m
49.00000n 515.9252m
50.00000n -94.8013m
51.00000n 558.8617m
52.00000n -72.8799m
53.00000n 558.6513m
54.00000n -78.2381m
55.00000n 544.8504m
56.00000n -101.3715m
57.00000n 52.045517m
58.00000n -86.3318m
59.00000n 548.5074m
60.00000n -90.0243m
61.00000n 541.1770m
62.00000n -87.5738m
63.00000n 549.5090m
64.00000n -81.3220m
65.00000n 505.9357m
66.00000n -86.4111m
67.00000n 556.7095m
68.00000n -77.0642m
69.00000n 555.1292m
70.00000n -81.7917m
71.00000n 557.1286m
72.00000n -73.8913m
73.00000n 550.8997m
74.00000n -73.0364m
75.00000n 559.4014m
76.00000n -73.3908m
77.00000n 558.8625m
78.00000n -71.6381m
79.00000n 560.2347m
80.00000n -72.4466m
81.00000n 508.0690m
82.00000n -100.6344m
83.00000n 550.3982m
84.00000n -80.6771m
85.00000n 553.0382m
86.00000n -80.7829m
87.00000n 553.4147m
88.00000n -79.3039m
89.00000n 541.7874m
90.00000n -87.9094m
91.00000n 543.4061m
92.00000n -93.0915m
93.00000n 539.2519m
94.00000n -90.4658m
95.00000n 547.6546m
96.00000n -85.6110m
97.00000n 502.9989m
98.00000n -89.2943m
99.00000n 551.5303m
100.00000n -86.3282m
101.00000n 548.6608m
102.00000n -87.3494m
103.00000n 550.7450m
104.00000n -76.7995m
105.00000n 550.7619m
106.00000n -74.7780m
107.00000n 556.9183m
108.00000n -77.4928m
109.00000n 547.7962m
110.00000n -91.1579m
111.00000n 534.0500m
112.00000n -90.2627m
113.00000n 500.5702m
114.00000n -107.2744m
115.00000n 555.4981m
116.00000n -73.9823m
117.00000n 557.8820m
118.00000n -79.1215m
119.00000n 544.3041m
120.00000n -104.7110m
121.00000n 526.8324m
122.00000n -86.4210m
123.00000n 547.7071m
124.00000n -91.2460m
125.00000n 539.8900m
126.00000n -86.2317m
127.00000n 550.3597m
128.00000n -80.8134m
129.00000n 506.1186m
130.00000n -86.3811m
131.00000n 556.3808m
132.00000n -77.5151m
133.00000n 554.5640m
134.00000n -81.2056m
135.00000n 557.8220m
136.00000n -73.5139m
137.00000n 550.0420m
138.00000n -77.3196m
139.00000n 549.4803m
140.00000n -82.0710m
141.00000n 556.2095m
142.00000n -72.5684m
143.00000n 561.6537m
144.00000n -69.8759m
145.00000n 510.0117m
146.00000n -101.5255m
147.00000n 552.3931m
148.00000n -78.5729m
149.00000n 551.8271m
150.00000n -86.2242m
151.00000n 543.2220m
152.00000n -83.9696m
153.00000n 541.7309m
154.00000n -87.8950m
155.00000n 538.0589m
156.00000n -88.6924m
157.00000n 546.1510m
158.00000n -89.5265m
159.00000n 542.3685m
160.00000n -88.3315m
161.00000n 502.9880m
162.00000n -88.4374m
163.00000n 559.9784m
164.00000n -75.9977m
165.00000n 555.5147m
166.00000n -71.4750m
167.00000n 567.8240m
168.00000n -67.4967m
169.00000n 559.6264m
170.00000n -67.5279m
171.00000n 566.5998m
172.00000n -66.4418m
173.00000n 565.9596m
174.00000n -67.7607m
175.00000n 567.6861m
176.00000n -65.4761m
177.00000n 515.3174m
178.00000n -95.2064m
179.00000n 558.4846m
180.00000n -73.2552m
181.00000n 558.2602m
182.00000n -78.6519m
183.00000n 544.4249m
184.00000n -101.7748m
185.00000n 523.2794m
186.00000n -86.6915m
187.00000n 548.1555m
188.00000n -90.3550m
189.00000n 540.8635m
190.00000n -87.8837m
191.00000n 549.2015m
192.00000n -81.6248m
193.00000n 505.6270m
194.00000n -86.7558m
195.00000n 556.3560m
196.00000n -77.3322m
197.00000n 555.1486m
198.00000n -81.3482m
199.00000n 557.5625m
200.00000n -74.9041m
201.00000n 546.3802m
202.00000n -83.1453m
203.00000n 551.0530m
204.00000n -76.3200m
205.00000n 558.6571m
206.00000n -71.2941m
207.00000n 561.7992m
208.00000n -69.8583m
209.00000n 545.4241m
210.00000n -72.9284m
211.00000n 561.7532m
212.00000n -71.5280m
213.00000n 552.7884m
214.00000n -80.4363m
215.00000n 558.4335m
216.00000n -70.0806m
217.00000n 552.8746m
218.00000n -75.9232m
219.00000n 558.1783m
220.00000n -79.2059m
221.00000n 549.0661m
222.00000n -89.3354m
223.00000n 538.8402m
224.00000n -89.4289m
225.00000n 501.2200m
226.00000n -100.0081m
227.00000n 557.8259m
228.00000n -77.1148m
229.00000n 55.045218m
230.00000n -84.4432m
231.00000n 538.1992m
232.00000n -83.8722m
233.00000n 545.4242m
234.00000n -83.3656m
235.00000n 546.3612m
236.00000n -92.0352m
237.00000n 546.2989m
238.00000n -82.3061m
239.00000n 554.1593m
240.00000n -80.6019m
241.00000n 508.0092m
242.00000n -84.3379m
243.00000n 555.0344m
244.00000n -85.7925m
245.00000n 534.5814m
246.00000n -99.6541m
247.00000n 547.7395m
248.00000n -78.7215m
249.00000n 549.1574m
250.00000n -76.7555m
251.00000n 554.2560m
252.00000n -80.9479m
253.00000n 543.4015m
254.00000n -96.6901m
255.00000n 537.2996m
256.00000n -96.2561m
257.00000n 498.3454m
258.00000n -103.0793m
259.00000n 556.2612m
260.00000n -74.1070m
261.00000n 557.6433m
262.00000n -79.3504m
263.00000n 543.9344m
264.00000n -108.0512m
265.00000n 525.5362m
266.00000n -86.8589m
267.00000n 547.3123m
268.00000n -91.5678m
269.00000n 539.5774m
270.00000n -86.5603m
271.00000n 550.0341m
272.00000n -81.1227m
273.00000n 505.7854m
274.00000n -86.6900m
275.00000n 556.0814m
276.00000n -78.4325m
277.00000n 552.0164m
278.00000n -90.7866m
279.00000n 543.8917m
280.00000n -81.7027m
281.00000n 544.3976m
282.00000n -85.1542m
283.00000n 548.1827m
284.00000n -81.6639m
285.00000n 547.8138m
286.00000n -90.3900m
287.00000n 534.7266m
288.00000n -94.3499m
289.00000n 534.7002m
290.00000n -77.9139m
291.00000n 560.5591m
292.00000n -83.9330m
293.00000n 549.8662m
294.00000n -94.3069m
295.00000n 542.5032m
296.00000n -77.3348m
297.00000n 549.5803m
298.00000n -82.3631m
299.00000n 547.1945m
300.00000n -81.8400m
301.00000n 554.8556m
302.00000n -79.0389m
303.00000n 547.7822m
304.00000n -82.1511m
305.00000n 505.3969m
306.00000n -100.5297m
307.00000n 550.6573m
308.00000n -79.9401m
309.00000n 548.7125m
310.00000n -93.7456m
311.00000n 541.0245m
312.00000n -86.0455m
313.00000n 535.9014m
314.00000n -97.3202m
315.00000n 542.9829m
316.00000n -85.7931m
317.00000n 545.4757m
318.00000n -90.6500m
319.00000n 539.1903m
320.00000n -95.9017m
321.00000n 498.3550m
322.00000n -89.1308m
323.00000n 561.4283m
324.00000n -68.9946m
325.00000n 569.1482m
326.00000n -64.9611m
327.00000n 569.7270m
328.00000n -66.2368m
329.00000n 560.4361m
330.00000n -67.6376m
331.00000n 567.1095m
332.00000n -65.8633m
333.00000n 566.5141m
334.00000n -67.2634m
335.00000n 567.9016m
336.00000n -65.0238m
337.00000n 515.9385m
338.00000n -94.7878m
339.00000n 558.8736m
340.00000n -72.8687m
341.00000n 558.6621m
342.00000n -78.2284m
343.00000n 544.8591m
344.00000n -101.3522m
345.00000n 52.045521m
346.00000n -86.3226m
347.00000n 548.5184m
348.00000n -90.0114m
349.00000n 541.1917m
350.00000n -87.5645m
351.00000n 549.5191m
352.00000n -81.3120m
353.00000n 505.9314m
354.00000n -86.4740m
355.00000n 556.6350m
356.00000n -77.1793m
357.00000n 555.2000m
358.00000n -81.9050m
359.00000n 557.9069m
360.00000n -74.6418m
361.00000n 552.4434m
362.00000n -72.9711m
363.00000n 559.8489m
364.00000n -74.0682m
365.00000n 559.2931m
366.00000n -71.8383m
367.00000n 559.1784m
368.00000n -72.4016m
369.00000n 507.2053m
370.00000n -102.0770m
371.00000n 551.6731m
372.00000n -78.7879m
373.00000n 552.4788m
374.00000n -81.0138m
375.00000n 553.9148m
376.00000n -79.1770m
377.00000n 541.9725m
378.00000n -86.8513m
379.00000n 54.045691m
380.00000n -93.2009m
381.00000n 540.0171m
382.00000n -90.9356m
383.00000n 546.6477m
384.00000n -85.0762m
385.00000n 501.7351m
386.00000n -90.1765m
387.00000n 551.2295m
388.00000n -86.2275m
389.00000n 548.7469m
390.00000n -86.3622m
391.00000n 550.8915m
392.00000n -76.5094m
393.00000n 550.5120m
394.00000n -76.1993m
395.00000n 557.0425m
396.00000n -77.6062m
397.00000n 547.7741m
398.00000n -91.4183m
399.00000n 533.9785m
400.00000n -90.3957m
401.00000n 501.0926m
402.00000n -107.0214m
403.00000n 555.7942m
404.00000n -73.9537m
405.00000n 557.9023m
406.00000n -79.1011m
407.00000n 544.3164m
408.00000n -104.6895m
409.00000n 526.7981m
410.00000n -86.4108m
411.00000n 547.7205m
412.00000n -91.2304m
413.00000n 539.9035m
414.00000n -86.2254m
415.00000n 550.3677m
416.00000n -80.8036m
417.00000n 506.1141m
418.00000n -86.4486m
419.00000n 556.3104m
420.00000n -77.6302m
421.00000n 554.6271m
422.00000n -81.2958m
423.00000n 558.5917m
424.00000n -71.6752m
425.00000n 551.3576m
426.00000n -77.1718m
427.00000n 549.9217m
428.00000n -82.5314m
429.00000n 556.0350m
430.00000n -72.5281m
431.00000n 560.3521m
432.00000n -69.8274m
433.00000n 509.2420m
434.00000n -99.2706m
435.00000n 553.0545m
436.00000n -78.6106m
437.00000n 551.1474m
438.00000n -86.4365m
439.00000n 544.3453m
440.00000n -82.8894m
441.00000n 542.6311m
442.00000n -89.8295m
443.00000n 538.3785m
444.00000n -90.6396m
445.00000n 546.7440m
446.00000n -87.0500m
447.00000n 541.4661m
448.00000n -88.6073m
449.00000n 502.5235m
450.00000n -89.7605m
451.00000n 560.0887m
452.00000n -75.7714m
453.00000n 555.9555m
454.00000n -70.0229m
455.00000n 568.0751m
456.00000n -64.2892m
457.00000n 559.6920m
458.00000n -68.2427m
459.00000n 566.5817m
460.00000n -66.4070m
461.00000n 565.9796m
462.00000n -67.7424m
463.00000n 567.5629m
464.00000n -65.4751m
465.00000n 515.4876m
466.00000n -95.1914m
467.00000n 558.4978m
468.00000n -73.2423m
469.00000n 558.2756m
470.00000n -78.6348m
471.00000n 544.4430m
472.00000n -101.7547m
473.00000n 523.2919m
474.00000n -86.6773m
475.00000n 548.1698m
476.00000n -90.3415m
477.00000n 540.8764m
478.00000n -87.8723m
479.00000n 549.2131m
480.00000n -81.6135m
481.00000n 505.6229m
482.00000n -86.8183m
483.00000n 556.2820m
484.00000n -77.4499m
485.00000n 555.2104m
486.00000n -81.4370m
487.00000n 558.3412m
488.00000n -75.6596m
489.00000n 547.9153m
490.00000n -83.0913m
491.00000n 551.3490m
492.00000n -76.9859m
493.00000n 559.2954m
494.00000n -71.4101m
495.00000n 560.6183m
496.00000n -71.1047m
497.00000n 544.4186m
498.00000n -70.3125m
499.00000n 562.7271m
500.00000n -71.5795m
501.00000n 553.7910m
502.00000n -83.0170m
503.00000n 558.5704m
504.00000n -70.1787m
505.00000n 553.0217m
506.00000n -73.2945m
507.00000n 558.5045m
508.00000n -78.5350m
509.00000n 548.9603m
510.00000n -90.4554m
511.00000n 539.2863m
512.00000n -87.5472m
513.00000n 501.2041m
514.00000n -99.8852m
515.00000n 556.7859m
516.00000n -76.8157m
517.00000n 553.7143m
518.00000n -85.1702m
519.00000n 537.0341m
520.00000n -86.8520m
521.00000n 545.2735m
522.00000n -83.2493m
523.00000n 547.1292m
524.00000n -91.9415m
525.00000n 547.0446m
526.00000n -81.6443m
527.00000n 553.4635m
528.00000n -80.0589m
529.00000n 506.6746m
530.00000n -85.2043m
531.00000n 554.9822m
532.00000n -85.9061m
533.00000n 534.8909m
534.00000n -97.5600m
535.00000n 547.9826m
536.00000n -78.5406m
537.00000n 549.1406m
538.00000n -78.0767m
539.00000n 554.1813m
540.00000n -81.1551m
541.00000n 543.1742m
542.00000n -96.9942m
543.00000n 537.6845m
544.00000n -96.2347m
545.00000n 497.8741m
546.00000n -103.4264m
547.00000n 556.3153m
548.00000n -74.0767m
549.00000n 557.6711m
550.00000n -79.3025m
551.00000n 543.9621m
552.00000n -107.9897m
553.00000n 525.5042m
554.00000n -86.8443m
555.00000n 547.3316m
556.00000n -91.5481m
557.00000n 539.5923m
558.00000n -86.5526m
559.00000n 550.0427m
560.00000n -81.1126m
561.00000n 505.7810m
562.00000n -86.7502m
563.00000n 556.0035m
564.00000n -78.9165m
565.00000n 551.5331m
566.00000n -88.6054m
567.00000n 544.8003m
568.00000n -81.9695m
569.00000n 545.9491m
570.00000n -85.1323m
571.00000n 548.4433m
572.00000n -84.3960m
573.00000n 547.3295m
574.00000n -89.9793m
575.00000n 534.8102m
576.00000n -94.5757m
577.00000n 534.5084m
578.00000n -77.3569m
579.00000n 560.4933m
580.00000n -82.3953m
581.00000n 550.9768m
582.00000n -95.7214m
583.00000n 541.5942m
584.00000n -75.1609m
585.00000n 548.9190m
586.00000n -80.6694m
587.00000n 546.9778m
588.00000n -82.0161m
589.00000n 554.7680m
590.00000n -79.9713m
591.00000n 546.8460m
592.00000n -81.6858m
593.00000n 504.7779m
594.00000n -101.6823m
595.00000n 550.3113m
596.00000n -81.2997m
597.00000n 548.5284m
598.00000n -90.8361m
599.00000n 541.2731m
600.00000n -88.4955m
601.00000n 536.1652m
602.00000n -96.5522m
603.00000n 543.0860m
604.00000n -86.4870m
605.00000n 545.6937m
606.00000n -92.7666m
607.00000n 540.1267m
608.00000n -98.4759m
609.00000n 498.7406m
610.00000n -91.8662m
611.00000n 560.3684m
612.00000n -69.0432m
613.00000n 568.6286m
614.00000n -66.6700m
615.00000n 569.8039m
616.00000n -66.2158m
617.00000n 560.1476m
618.00000n -66.8996m
619.00000n 567.1328m
620.00000n -65.8884m
621.00000n 566.5232m
622.00000n -67.2589m
623.00000n 567.9047m
624.00000n -65.0187m
625.00000n 515.9434m
626.00000n -94.7863m
627.00000n 558.8748m
628.00000n -72.8666m
629.00000n 558.6653m
630.00000n -78.2230m
631.00000n 544.8686m
632.00000n -101.3511m
633.00000n 52.045549m
634.00000n -86.3196m
635.00000n 548.5210m
636.00000n -90.0099m
637.00000n 541.1924m
638.00000n -87.5642m
639.00000n 549.5194m
640.00000n -81.3119m
641.00000n 505.9313m
642.00000n -86.4742m
643.00000n 556.6597m
644.00000n -77.1196m
645.00000n 555.7032m
646.00000n -81.4965m
647.00000n 557.8455m
648.00000n -74.0780m
649.00000n 551.5955m
650.00000n -73.0790m
651.00000n 558.8942m
652.00000n -7.045300m
653.00000n 558.6272m
654.00000n -72.1670m
655.00000n 559.6912m
656.00000n -73.2606m
657.00000n 508.0701m
658.00000n -100.5415m
659.00000n 551.5224m
660.00000n -80.5801m
661.00000n 553.8828m
662.00000n -80.8024m
663.00000n 554.0154m
664.00000n -79.2279m
665.00000n 540.7220m
666.00000n -88.8513m
667.00000n 543.8351m
668.00000n -93.0619m
669.00000n 540.1532m
670.00000n -90.4766m
671.00000n 547.6973m
672.00000n -85.6584m
673.00000n 502.3718m
674.00000n -89.3173m
675.00000n 550.8329m
676.00000n -86.3801m
677.00000n 548.5659m
678.00000n -87.5021m
679.00000n 550.8571m
680.00000n -76.7074m
681.00000n 550.7902m
682.00000n -74.7737m
683.00000n 556.8801m
684.00000n -77.5736m
685.00000n 547.6792m
686.00000n -91.3215m
687.00000n 533.9954m
688.00000n -90.3789m
689.00000n 501.0092m
690.00000n -106.9468m
691.00000n 555.7662m
692.00000n -73.9570m
693.00000n 557.9001m
694.00000n -79.1031m
695.00000n 544.3179m
696.00000n -104.6875m
697.00000n 526.7999m
698.00000n -86.4104m
699.00000n 547.7202m
700.00000n -91.2314m
701.00000n 539.9029m
702.00000n -86.2240m
703.00000n 550.3686m
704.00000n -80.8031m
705.00000n 506.1140m
706.00000n -86.4471m
707.00000n 556.3661m
708.00000n -77.5710m
709.00000n 555.1437m
710.00000n -80.9073m
711.00000n 558.5398m
712.00000n -7.045808m
713.00000n 550.6707m
714.00000n -77.3587m
715.00000n 548.9659m
716.00000n -82.4668m
717.00000n 555.6124m
718.00000n -72.9041m
719.00000n 560.8579m
720.00000n -70.7411m
721.00000n 510.1380m
722.00000n -99.0302m
723.00000n 553.1876m
724.00000n -78.6127m
725.00000n 551.8088m
726.00000n -86.2754m
727.00000n 543.9557m
728.00000n -84.5895m
729.00000n 542.4702m
730.00000n -87.9756m
731.00000n 538.7002m
732.00000n -88.7904m
733.00000n 546.5951m
734.00000n -90.0149m
735.00000n 542.6774m
736.00000n -91.0879m
737.00000n 502.9159m
738.00000n -89.2500m
739.00000n 558.9465m
740.00000n -76.0238m
741.00000n 555.7638m
742.00000n -71.3563m
743.00000n 567.7920m
744.00000n -67.4519m
745.00000n 559.3144m
746.00000n -67.5102m
747.00000n 566.6103m
748.00000n -66.4186m
749.00000n 565.9805m
750.00000n -67.7426m
751.00000n 567.7014m
752.00000n -65.4593m
753.00000n 515.3346m
754.00000n -95.1926m
755.00000n 558.4967m
756.00000n -73.2422m
757.00000n 558.2747m
758.00000n -78.6364m
759.00000n 544.4450m
760.00000n -101.7494m
761.00000n 523.2805m
762.00000n -86.6828m
763.00000n 548.1684m
764.00000n -90.3422m
765.00000n 540.8761m
766.00000n -87.8725m
767.00000n 549.2129m
768.00000n -81.6136m
769.00000n 505.6227m
770.00000n -86.8184m
771.00000n 556.3060m
772.00000n -77.3903m
773.00000n 555.7257m
774.00000n -81.0510m
775.00000n 558.2791m
776.00000n -75.0965m
777.00000n 547.0679m
778.00000n -83.1993m
779.00000n 550.3931m
780.00000n -76.5634m
781.00000n 558.5574m
782.00000n -71.8132m
783.00000n 561.0773m
784.00000n -70.8098m
785.00000n 545.1844m
786.00000n -70.2759m
787.00000n 562.5018m
788.00000n -71.2305m
789.00000n 553.2964m
790.00000n -80.4211m
791.00000n 558.9529m
792.00000n -72.8696m
793.00000n 553.3338m
794.00000n -76.0593m
795.00000n 557.8779m
796.00000n -76.8363m
797.00000n 548.6161m
798.00000n -89.4452m
799.00000n 538.4644m
800.00000n -89.2908m
801.00000n 500.6177m
802.00000n -100.1915m
803.00000n 557.3474m
804.00000n -75.3846m
805.00000n 552.9337m
806.00000n -84.5643m
807.00000n 537.8736m
808.00000n -86.7453m
809.00000n 546.0530m
810.00000n -82.5708m
811.00000n 547.0686m
812.00000n -92.0916m
813.00000n 547.1040m
814.00000n -82.2913m
815.00000n 554.2416m
816.00000n -80.6162m
817.00000n 507.3812m
818.00000n -84.2696m
819.00000n 554.1924m
820.00000n -85.9126m
821.00000n 534.4260m
822.00000n -99.7994m
823.00000n 548.0648m
824.00000n -78.6864m
825.00000n 549.1662m
826.00000n -76.8178m
827.00000n 554.1445m
828.00000n -81.1279m
829.00000n 543.1903m
830.00000n -96.9670m
831.00000n 537.6561m
832.00000n -96.1891m
833.00000n 498.0454m
834.00000n -103.4237m
835.00000n 556.1270m
836.00000n -74.0757m
837.00000n 557.6708m
838.00000n -79.3014m
839.00000n 543.9638m
840.00000n -107.9903m
841.00000n 525.5113m
842.00000n -86.8438m
843.00000n 547.3329m
844.00000n -91.5474m
845.00000n 539.5927m
846.00000n -86.5529m
847.00000n 550.0425m
848.00000n -81.1126m
849.00000n 505.7808m
850.00000n -86.7468m
851.00000n 556.0834m
852.00000n -78.8541m
853.00000n 552.3345m
854.00000n -91.2608m
855.00000n 544.7278m
856.00000n -81.7921m
857.00000n 544.9666m
858.00000n -85.2466m
859.00000n 547.4823m
860.00000n -82.5851m
861.00000n 546.8547m
862.00000n -90.4737m
863.00000n 533.8750m
864.00000n -94.4884m
865.00000n 534.0915m
866.00000n -77.7451m
867.00000n 560.8990m
868.00000n -84.3301m
869.00000n 550.0895m
870.00000n -94.1157m
871.00000n 542.4374m
872.00000n -77.4416m
873.00000n 549.0296m
874.00000n -82.2315m
875.00000n 546.2157m
876.00000n -81.8734m
877.00000n 554.2542m
878.00000n -79.0143m
879.00000n 547.7715m
880.00000n -79.9874m
881.00000n 505.9199m
882.00000n -103.4024m
883.00000n 551.1232m
884.00000n -79.8258m
885.00000n 548.9444m
886.00000n -9.045871m
887.00000n 540.7735m
888.00000n -86.0191m
889.00000n 534.8455m
890.00000n -98.5134m
891.00000n 543.3830m
892.00000n -88.7170m
893.00000n 546.0333m
894.00000n -93.2063m
895.00000n 540.0452m
896.00000n -96.0381m
897.00000n 498.7429m
898.00000n -88.5021m
899.00000n 561.4959m
900.00000n -69.2277m
901.00000n 569.1653m
902.00000n -64.7057m
903.00000n 570.0720m
904.00000n -66.2367m
905.00000n 560.3801m
906.00000n -67.5699m
907.00000n 566.7995m
908.00000n -65.8623m
909.00000n 566.5130m
910.00000n -67.2573m
911.00000n 567.9110m
912.00000n -65.0169m
913.00000n 515.9434m
914.00000n -94.7800m
915.00000n 558.8827m
916.00000n -72.8600m
917.00000n 558.6699m
918.00000n -78.2223m
919.00000n 544.8669m
920.00000n -101.3438m
921.00000n 52.045531m
922.00000n -86.3184m
923.00000n 548.5269m
924.00000n -90.0012m
925.00000n 541.2033m
926.00000n -87.5541m
927.00000n 549.5298m
928.00000n -81.3012m
929.00000n 505.9272m
930.00000n -86.5359m
931.00000n 556.5851m
932.00000n -77.2359m
933.00000n 555.7633m
934.00000n -81.4835m
935.00000n 557.6650m
936.00000n -74.4953m
937.00000n 551.8347m
938.00000n -7.045596m
939.00000n 560.1086m
940.00000n -72.6744m
941.00000n 559.8817m
942.00000n -71.7406m
943.00000n 559.5932m
944.00000n -72.4217m
945.00000n 507.8246m
946.00000n -102.0363m
947.00000n 551.9825m
948.00000n -78.7298m
949.00000n 553.1288m
950.00000n -79.4039m
951.00000n 553.2957m
952.00000n -79.1933m
953.00000n 541.1762m
954.00000n -86.8334m
955.00000n 544.2043m
956.00000n -91.7156m
957.00000n 540.5688m
958.00000n -89.2201m
959.00000n 546.8262m
960.00000n -83.7433m
961.00000n 502.4596m
962.00000n -90.7277m
963.00000n 551.5557m
964.00000n -86.4246m
965.00000n 548.8681m
966.00000n -86.1495m
967.00000n 550.9803m
968.00000n -76.4859m
969.00000n 550.4869m
970.00000n -76.2044m
971.00000n 557.0314m
972.00000n -77.6431m
973.00000n 547.7109m
974.00000n -91.5060m
975.00000n 533.9822m
976.00000n -90.4173m
977.00000n 501.1661m
978.00000n -107.1853m
979.00000n 555.8197m
980.00000n -73.9392m
981.00000n 557.9169m
982.00000n -79.0843m
983.00000n 544.3299m
984.00000n -104.6709m
985.00000n 526.7887m
986.00000n -86.4000m
987.00000n 547.7333m
988.00000n -91.2163m
989.00000n 539.9162m
990.00000n -86.2164m
991.00000n 550.3775m
992.00000n -80.7929m
993.00000n 506.1095m
994.00000n -86.5019m
995.00000n 556.2976m
996.00000n -77.6772m
997.00000n 555.2038m
998.00000n -80.8968m
999.00000n 558.3535m
1.00000u -73.9700m
1.00100u 550.9452m
1.00200u -78.0623m
1.00300u 549.9450m
1.00400u -83.3178m
1.00500u 556.7328m
1.00600u -72.5718m
1.00700u 560.9907m
1.00800u -69.7925m
1.00900u 509.8781m
1.01000u -99.1354m
1.01100u 553.4804m
1.01200u -78.5311m
1.01300u 551.3940m
1.01400u -86.3487m
1.01500u 543.5681m
1.01600u -82.8074m
1.01700u 542.2731m
1.01800u -87.0299m
1.01900u 538.0406m
1.02000u -91.3415m
1.02100u 547.1615m
1.02200u -89.5943m
1.02300u 542.3373m
1.02400u -91.3446m
1.02500u 502.8168m
1.02600u -89.5697m
1.02700u 560.0055m
1.02800u -76.0216m
1.02900u 555.8541m
1.03000u -69.8484m
1.03100u 567.9697m
1.03200u -64.2671m
1.03300u 559.6098m
1.03400u -68.2529m
1.03500u 566.5969m
1.03600u -66.3879m
1.03700u 565.9998m
1.03800u -67.7260m
1.03900u 567.5604m
1.04000u -65.4592m
1.04100u 515.5038m
1.04200u -95.1784m
1.04300u 558.5095m
1.04400u -73.2296m
1.04500u 558.2896m
1.04600u -78.6191m
1.04700u 544.4622m
1.04800u -101.7380m
1.04900u 523.3000m
1.05000u -86.6644m
1.05100u 548.1830m
1.05200u -90.3286m
1.05300u 540.8891m
1.05400u -87.8611m
1.05500u 549.2245m
1.05600u -81.6023m
1.05700u 505.6186m
1.05800u -86.8794m
1.05900u 556.2324m
1.06000u -77.5000m
1.06100u 555.7859m
1.06200u -81.0383m
1.06300u 558.1025m
1.06400u -75.5322m
1.06500u 547.3045m
1.06600u -83.7898m
1.06700u 551.6144m
1.06800u -75.5791m
1.06900u 559.6110m
1.07000u -71.3890m
1.07100u 561.3902m
1.07200u -71.1347m
1.07300u 545.0598m
1.07400u -70.3113m
1.07500u 562.6889m
1.07600u -71.4192m
1.07700u 553.3047m
1.07800u -80.4898m
1.07900u 558.3536m
1.08000u -70.1432m
1.08100u 552.4573m
1.08200u -74.6755m
1.08300u 557.8150m
1.08400u -77.5694m
1.08500u 548.2703m
1.08600u -90.2844m
1.08700u 539.3119m
1.08800u -87.6747m
1.08900u 501.7637m
1.09000u -101.8868m
1.09100u 557.1265m
1.09200u -76.0518m
1.09300u 553.0872m
1.09400u -83.3200m
1.09500u 535.8243m
1.09600u -86.2329m
1.09700u 544.6872m
1.09800u -83.2006m
1.09900u 547.0460m
1.10000u -93.7473m
1.10100u 547.6461m
1.10200u -81.0439m
1.10300u 554.0587m
1.10400u -78.6103m
1.10500u 507.5042m
1.10600u -85.9840m
1.10700u 554.9815m
1.10800u -86.3949m
1.10900u 535.0058m
1.11000u -97.7359m
1.11100u 548.0715m
1.11200u -78.5504m
1.11300u 549.1582m
1.11400u -78.0909m
1.11500u 554.0071m
1.11600u -81.2308m
1.11700u 543.0713m
1.11800u -97.1255m
1.11900u 537.8787m
1.12000u -98.9835m
1.12100u 497.5862m
1.12200u -103.1307m
1.12300u 556.1167m
1.12400u -74.0479m
1.12500u 557.6835m
1.12600u -79.2942m
1.12700u 543.9603m
1.12800u -107.9578m
1.12900u 525.4630m
1.13000u -86.8434m
1.13100u 547.3349m
1.13200u -91.5402m
1.13300u 539.6029m
1.13400u -86.5468m
1.13500u 550.0510m
1.13600u -81.1026m
1.13700u 582.8934m
1.13800u -73.9440m
1.13900u 583.8038m
1.14000u -7.045113m
1.14100u 583.9288m
1.14200u -7.045039m
1.14300u 583.8885m
1.14400u -7.045646m
1.14500u 583.8213m
1.14600u -73.7293m
1.14700u 583.7587m
1.14800u -73.7857m
1.14900u 583.7119m
1.15000u -73.8222m
1.15100u 58.045825m
1.15200u -73.8436m
1.15300u 58.045679m
1.15400u -73.8526m
1.15500u 58.045635m
1.15600u -73.8531m
1.15700u 58.045652m
1.15800u -73.8485m
1.15900u 583.4642m
1.16000u -73.8720m
1.16100u 58.045756m
1.16200u -73.8376m
1.16300u 58.045812m
1.16400u -73.8323m
1.16500u 58.045866m
1.16600u -73.8284m
1.16700u 58.045903m
1.16800u -73.8243m
1.16900u 58.045939m
1.17000u -73.8186m
1.17100u 58.045967m
1.17200u -73.8183m
1.17300u 58.045987m
1.17400u -73.8147m
1.17500u 583.7001m
1.17600u -73.8154m
1.17700u 583.7015m
1.17800u -73.8123m
1.17900u 583.7022m
1.18000u -73.8136m
1.18100u 583.7031m
1.18200u -73.8110m
1.18300u 583.7034m
1.18400u -73.8126m
1.18500u 583.7039m
1.18600u -73.8102m
1.18700u 583.7040m
1.18800u -73.8120m
1.18900u 583.7043m
1.19000u -73.8099m
1.19100u 583.7043m
1.19200u -73.8118m
1.19300u 583.7046m
1.19400u -73.8097m
1.19500u 583.7045m
1.19600u -73.8116m
1.19700u 583.7047m
1.19800u -73.8095m
1.19900u 583.7046m
1.20000u -73.8116m
y
x
time power
total
0. 50.9247m
1.00000n 1.5814k
2.00000n 55.6138m
3.00000n 1.5821k
4.00000n 59.4604m
5.00000n 1.5822k
6.00000n 74.0457m
7.00000n 1.5819k
8.00000n 76.4172m
9.00000n 1.5832k
10.00000n 74.2740m
11.00000n 1.5820k
12.00000n 69.8371m
13.00000n 1.5818k
14.00000n 67.4088m
15.00000n 1.5821k
16.00000n 66.7647m
17.00000n 1.5810k
18.00000n 78.9337m
19.00000n 1.5819k
20.00000n 77.2651m
21.00000n 1.5814k
22.00000n 85.0733m
23.00000n 1.5821k
24.00000n 80.0218m
25.00000n 1.5814k
26.00000n 83.3174m
27.00000n 1.5811k
28.00000n 90.8517m
29.00000n 1.5814k
30.00000n 87.4470m
31.00000n 1.5833k
32.00000n 98.0530m
33.00000n 1.5824k
34.00000n 79.5705m
35.00000n 1.5820k
36.00000n 59.5721m
37.00000n 1.5827k
38.00000n 58.3719m
39.00000n 1.5832k
40.00000n 58.4550m
41.00000n 1.5829k
42.00000n 60.7765m
43.00000n 1.5815k
44.00000n 61.0787m
45.00000n 1.5814k
46.00000n 60.9640m
47.00000n 1.5831k
48.00000n 61.0526m
49.00000n 1.5832k
50.00000n 71.9281m
51.00000n 1.5829k
52.00000n 68.7003m
53.00000n 1.5821k
54.00000n 75.5845m
55.00000n 1.5809k
56.00000n 98.0762m
57.00000n 1.5818k
58.00000n 78.2700m
59.00000n 1.5823k
60.00000n 83.5284m
61.00000n 1.5832k
62.00000n 84.0069m
63.00000n 1.5820k
64.00000n 76.1466m
65.00000n 1.5819k
66.00000n 81.6002m
67.00000n 1.5832k
68.00000n 67.1233m
69.00000n 1.5827k
70.00000n 75.4633m
71.00000n 1.5813k
72.00000n 64.3380m
73.00000n 1.5813k
74.00000n 66.7873m
75.00000n 1.5809k
76.00000n 66.6595m
77.00000n 1.5811k
78.00000n 67.0670m
79.00000n 1.5814k
80.00000n 66.6460m
81.00000n 1.5810k
82.00000n 79.0159m
83.00000n 1.5816k
84.00000n 75.3302m
85.00000n 1.5825k
86.00000n 74.6950m
87.00000n 1.5822k
88.00000n 75.9931m
89.00000n 1.5825k
90.00000n 82.1829m
91.00000n 1.5810k
92.00000n 88.8645m
93.00000n 1.5819k
94.00000n 84.6959m
95.00000n 1.5823k
96.00000n 80.1378m
97.00000n 1.5824k
98.00000n 84.6114m
99.00000n 1.5820k
100.00000n 78.0195m
101.00000n 1.5819k
102.00000n 79.1393m
103.00000n 1.5822k
104.00000n 68.3337m
105.00000n 1.5811k
106.00000n 68.9015m
107.00000n 1.5813k
108.00000n 73.8458m
109.00000n 1.5812k
110.00000n 85.9495m
111.00000n 1.5814k
112.00000n 92.4378m
113.00000n 1.5829k
114.00000n 80.5087m
115.00000n 1.5823k
116.00000n 69.5075m
117.00000n 1.5821k
118.00000n 76.4654m
119.00000n 1.5809k
120.00000n 101.2844m
121.00000n 1.5818k
122.00000n 78.7605m
123.00000n 1.5823k
124.00000n 84.7162m
125.00000n 1.5832k
126.00000n 82.5995m
127.00000n 1.5820k
128.00000n 75.7116m
129.00000n 1.5819k
130.00000n 81.9154m
131.00000n 1.5833k
132.00000n 68.0353m
133.00000n 1.5827k
134.00000n 75.6204m
135.00000n 1.5813k
136.00000n 64.9084m
137.00000n 1.5812k
138.00000n 72.1490m
139.00000n 1.5809k
140.00000n 75.0139m
141.00000n 1.5807k
142.00000n 66.1415m
143.00000n 1.5814k
144.00000n 65.3940m
145.00000n 1.5811k
146.00000n 76.9820m
147.00000n 1.5809k
148.00000n 75.1664m
149.00000n 1.5828k
150.00000n 83.7252m
151.00000n 1.5810k
152.00000n 78.1009m
153.00000n 1.5810k
154.00000n 83.9963m
155.00000n 1.5825k
156.00000n 84.5971m
157.00000n 1.5823k
158.00000n 84.2392m
159.00000n 1.5823k
160.00000n 90.8680m
161.00000n 1.5834k
162.00000n 83.4189m
163.00000n 1.5820k
164.00000n 65.5308m
165.00000n 1.5828k
166.00000n 62.4317m
167.00000n 1.5823k
168.00000n 58.5633m
169.00000n 1.5819k
170.00000n 61.2097m
171.00000n 1.5815k
172.00000n 61.5444m
173.00000n 1.5814k
174.00000n 61.4001m
175.00000n 1.5823k
176.00000n 61.4574m
177.00000n 1.5836k
178.00000n 72.3028m
179.00000n 1.5829k
180.00000n 69.0503m
181.00000n 1.5821k
182.00000n 75.9806m
183.00000n 1.5809k
184.00000n 98.4716m
185.00000n 1.5818k
186.00000n 78.6195m
187.00000n 1.5823k
188.00000n 83.8537m
189.00000n 1.5832k
190.00000n 84.3109m
191.00000n 1.5820k
192.00000n 76.4458m
193.00000n 1.5820k
194.00000n 82.1580m
195.00000n 1.5832k
196.00000n 67.9755m
197.00000n 1.5827k
198.00000n 76.0377m
199.00000n 1.5813k
200.00000n 66.4004m
201.00000n 1.5813k
202.00000n 77.5800m
203.00000n 1.5799k
204.00000n 69.0920m
205.00000n 1.5812k
206.00000n 65.3022m
207.00000n 1.5814k
208.00000n 65.4080m
209.00000n 1.5793k
210.00000n 63.2303m
211.00000n 1.5816k
212.00000n 67.6280m
213.00000n 1.5803k
214.00000n 73.9763m
215.00000n 1.5819k
216.00000n 65.0327m
217.00000n 1.5807k
218.00000n 68.2346m
219.00000n 1.5821k
220.00000n 73.7278m
221.00000n 1.5824k
222.00000n 86.1842m
223.00000n 1.5820k
224.00000n 88.1693m
225.00000n 1.5814k
226.00000n 75.6996m
227.00000n 1.5831k
228.00000n 70.2650m
229.00000n 1.5825k
230.00000n 82.1559m
231.00000n 1.5818k
232.00000n 71.6553m
233.00000n 1.5827k
234.00000n 78.8948m
235.00000n 1.5825k
236.00000n 87.0309m
237.00000n 1.5824k
238.00000n 77.6904m
239.00000n 1.5832k
240.00000n 73.7598m
241.00000n 1.5824k
242.00000n 81.6338m
243.00000n 1.5820k
244.00000n 77.1073m
245.00000n 1.5827k
246.00000n 89.9665m
247.00000n 1.5825k
248.00000n 68.8279m
249.00000n 1.5809k
250.00000n 70.4990m
251.00000n 1.5805k
252.00000n 77.3731m
253.00000n 1.5805k
254.00000n 91.2392m
255.00000n 1.5816k
256.00000n 95.8538m
257.00000n 1.5827k
258.00000n 76.1866m
259.00000n 1.5815k
260.00000n 69.6809m
261.00000n 1.5821k
262.00000n 76.7013m
263.00000n 1.5809k
264.00000n 100.9445m
265.00000n 1.5818k
266.00000n 79.0925m
267.00000n 1.5823k
268.00000n 85.0204m
269.00000n 1.5832k
270.00000n 82.9294m
271.00000n 1.5820k
272.00000n 76.0172m
273.00000n 1.5819k
274.00000n 82.2617m
275.00000n 1.5833k
276.00000n 69.7297m
277.00000n 1.5827k
278.00000n 84.4529m
279.00000n 1.5822k
280.00000n 72.7660m
281.00000n 1.5812k
282.00000n 80.2199m
283.00000n 1.5811k
284.00000n 76.7107m
285.00000n 1.5825k
286.00000n 85.1421m
287.00000n 1.5812k
288.00000n 94.8889m
289.00000n 1.5814k
290.00000n 65.6697m
291.00000n 1.5817k
292.00000n 78.4702m
293.00000n 1.5814k
294.00000n 87.4748m
295.00000n 1.5823k
296.00000n 65.5641m
297.00000n 1.5819k
298.00000n 75.4111m
299.00000n 1.5815k
300.00000n 74.7435m
301.00000n 1.5821k
302.00000n 73.7203m
303.00000n 1.5819k
304.00000n 73.0519m
305.00000n 1.5811k
306.00000n 78.9252m
307.00000n 1.5824k
308.00000n 77.3666m
309.00000n 1.5826k
310.00000n 87.0769m
311.00000n 1.5824k
312.00000n 82.2839m
313.00000n 1.5814k
314.00000n 91.9286m
315.00000n 1.5828k
316.00000n 82.8215m
317.00000n 1.5819k
318.00000n 86.7476m
319.00000n 1.5825k
320.00000n 97.5279m
321.00000n 1.5822k
322.00000n 79.8426m
323.00000n 1.5833k
324.00000n 59.6186m
325.00000n 1.5822k
326.00000n 58.2798m
327.00000n 1.5835k
328.00000n 58.4055m
329.00000n 1.5819k
330.00000n 60.7528m
331.00000n 1.5815k
332.00000n 61.0588m
333.00000n 1.5814k
334.00000n 60.9470m
335.00000n 1.5833k
336.00000n 61.0374m
337.00000n 1.5832k
338.00000n 71.9145m
339.00000n 1.5829k
340.00000n 68.6885m
341.00000n 1.5821k
342.00000n 75.5744m
343.00000n 1.5809k
344.00000n 98.0585m
345.00000n 1.5818k
346.00000n 78.2593m
347.00000n 1.5823k
348.00000n 83.5156m
349.00000n 1.5832k
350.00000n 83.9984m
351.00000n 1.5820k
352.00000n 76.1361m
353.00000n 1.5819k
354.00000n 81.6727m
355.00000n 1.5832k
356.00000n 67.2398m
357.00000n 1.5827k
358.00000n 75.5018m
359.00000n 1.5819k
360.00000n 64.3155m
361.00000n 1.5814k
362.00000n 66.8094m
363.00000n 1.5813k
364.00000n 66.6467m
365.00000n 1.5817k
366.00000n 67.0090m
367.00000n 1.5804k
368.00000n 66.5829m
369.00000n 1.5811k
370.00000n 78.9898m
371.00000n 1.5816k
372.00000n 75.3670m
373.00000n 1.5821k
374.00000n 74.8652m
375.00000n 1.5829k
376.00000n 76.0330m
377.00000n 1.5814k
378.00000n 82.3152m
379.00000n 1.5823k
380.00000n 88.8677m
381.00000n 1.5814k
382.00000n 84.6019m
383.00000n 1.5815k
384.00000n 80.1602m
385.00000n 1.5823k
386.00000n 84.6903m
387.00000n 1.5832k
388.00000n 78.1443m
389.00000n 1.5829k
390.00000n 79.3040m
391.00000n 1.5822k
392.00000n 68.2207m
393.00000n 1.5820k
394.00000n 68.9190m
395.00000n 1.5805k
396.00000n 73.9738m
397.00000n 1.5805k
398.00000n 86.1958m
399.00000n 1.5814k
400.00000n 92.4250m
401.00000n 1.5819k
402.00000n 80.0298m
403.00000n 1.5815k
404.00000n 69.4862m
405.00000n 1.5821k
406.00000n 76.4473m
407.00000n 1.5809k
408.00000n 101.2525m
409.00000n 1.5818k
410.00000n 78.7467m
411.00000n 1.5823k
412.00000n 84.6997m
413.00000n 1.5832k
414.00000n 82.5936m
415.00000n 1.5820k
416.00000n 75.7016m
417.00000n 1.5819k
418.00000n 81.9885m
419.00000n 1.5833k
420.00000n 68.1513m
421.00000n 1.5827k
422.00000n 75.6559m
423.00000n 1.5818k
424.00000n 64.8850m
425.00000n 1.5814k
426.00000n 72.1779m
427.00000n 1.5812k
428.00000n 75.0086m
429.00000n 1.5816k
430.00000n 66.0854m
431.00000n 1.5812k
432.00000n 65.3223m
433.00000n 1.5803k
434.00000n 76.7913m
435.00000n 1.5826k
436.00000n 75.1923m
437.00000n 1.5821k
438.00000n 83.9375m
439.00000n 1.5819k
440.00000n 78.1135m
441.00000n 1.5827k
442.00000n 83.9502m
443.00000n 1.5817k
444.00000n 84.5292m
445.00000n 1.5828k
446.00000n 84.2094m
447.00000n 1.5828k
448.00000n 91.0181m
449.00000n 1.5813k
450.00000n 83.1462m
451.00000n 1.5833k
452.00000n 65.6330m
453.00000n 1.5831k
454.00000n 62.3377m
455.00000n 1.5823k
456.00000n 58.5306m
457.00000n 1.5819k
458.00000n 61.1932m
459.00000n 1.5815k
460.00000n 61.5257m
461.00000n 1.5814k
462.00000n 61.3832m
463.00000n 1.5829k
464.00000n 61.4418m
465.00000n 1.5832k
466.00000n 72.2886m
467.00000n 1.5829k
468.00000n 69.0380m
469.00000n 1.5821k
470.00000n 75.9639m
471.00000n 1.5809k
472.00000n 98.4523m
473.00000n 1.5818k
474.00000n 78.6052m
475.00000n 1.5823k
476.00000n 83.8403m
477.00000n 1.5832k
478.00000n 84.2998m
479.00000n 1.5820k
480.00000n 76.4344m
481.00000n 1.5820k
482.00000n 82.2314m
483.00000n 1.5832k
484.00000n 68.0928m
485.00000n 1.5827k
486.00000n 76.0716m
487.00000n 1.5819k
488.00000n 66.3825m
489.00000n 1.5814k
490.00000n 77.6145m
491.00000n 1.5813k
492.00000n 69.0709m
493.00000n 1.5809k
494.00000n 65.2560m
495.00000n 1.5812k
496.00000n 65.3290m
497.00000n 1.5804k
498.00000n 63.1648m
499.00000n 1.5813k
500.00000n 67.6336m
501.00000n 1.5824k
502.00000n 73.9183m
503.00000n 1.5820k
504.00000n 64.9811m
505.00000n 1.5810k
506.00000n 68.3495m
507.00000n 1.5813k
508.00000n 73.7590m
509.00000n 1.5809k
510.00000n 86.3511m
511.00000n 1.5814k
512.00000n 88.0667m
513.00000n 1.5814k
514.00000n 75.5864m
515.00000n 1.5823k
516.00000n 70.3513m
517.00000n 1.5828k
518.00000n 82.3600m
519.00000n 1.5816k
520.00000n 71.6755m
521.00000n 1.5826k
522.00000n 79.0626m
523.00000n 1.5819k
524.00000n 87.0240m
525.00000n 1.5826k
526.00000n 77.5629m
527.00000n 1.5814k
528.00000n 73.7671m
529.00000n 1.5824k
530.00000n 81.7198m
531.00000n 1.5823k
532.00000n 77.2651m
533.00000n 1.5831k
534.00000n 90.1467m
535.00000n 1.5817k
536.00000n 68.7674m
537.00000n 1.5809k
538.00000n 70.5632m
539.00000n 1.5805k
540.00000n 77.5467m
541.00000n 1.5805k
542.00000n 91.5236m
543.00000n 1.5816k
544.00000n 96.0188m
545.00000n 1.5829k
546.00000n 76.0272m
547.00000n 1.5815k
548.00000n 69.6573m
549.00000n 1.5821k
550.00000n 76.6690m
551.00000n 1.5809k
552.00000n 100.8750m
553.00000n 1.5818k
554.00000n 79.0730m
555.00000n 1.5823k
556.00000n 85.0009m
557.00000n 1.5832k
558.00000n 82.9234m
559.00000n 1.5820k
560.00000n 76.0071m
561.00000n 1.5819k
562.00000n 82.3335m
563.00000n 1.5833k
564.00000n 69.8722m
565.00000n 1.5834k
566.00000n 84.6382m
567.00000n 1.5819k
568.00000n 72.6811m
569.00000n 1.5814k
570.00000n 80.2732m
571.00000n 1.5813k
572.00000n 76.8310m
573.00000n 1.5819k
574.00000n 85.2839m
575.00000n 1.5812k
576.00000n 94.9243m
577.00000n 1.5814k
578.00000n 65.5543m
579.00000n 1.5830k
580.00000n 78.5187m
581.00000n 1.5823k
582.00000n 86.8634m
583.00000n 1.5830k
584.00000n 65.5558m
585.00000n 1.5823k
586.00000n 75.5007m
587.00000n 1.5814k
588.00000n 74.7666m
589.00000n 1.5821k
590.00000n 7.045793m
591.00000n 1.5824k
592.00000n 73.0767m
593.00000n 1.5807k
594.00000n 78.8203m
595.00000n 1.5808k
596.00000n 77.3872m
597.00000n 1.5814k
598.00000n 87.0677m
599.00000n 1.5828k
600.00000n 82.2824m
601.00000n 1.5819k
602.00000n 91.8012m
603.00000n 1.5831k
604.00000n 82.8408m
605.00000n 1.5819k
606.00000n 86.8612m
607.00000n 1.5832k
608.00000n 97.5053m
609.00000n 1.5830k
610.00000n 79.8330m
611.00000n 1.5824k
612.00000n 59.5788m
613.00000n 1.5827k
614.00000n 58.3285m
615.00000n 1.5832k
616.00000n 58.4187m
617.00000n 1.5829k
618.00000n 60.7472m
619.00000n 1.5815k
620.00000n 61.0543m
621.00000n 1.5814k
622.00000n 60.9436m
623.00000n 1.5833k
624.00000n 61.0347m
625.00000n 1.5832k
626.00000n 71.9127m
627.00000n 1.5829k
628.00000n 68.6873m
629.00000n 1.5821k
630.00000n 75.5693m
631.00000n 1.5809k
632.00000n 98.0531m
633.00000n 1.5818k
634.00000n 78.2567m
635.00000n 1.5823k
636.00000n 83.5142m
637.00000n 1.5832k
638.00000n 83.9983m
639.00000n 1.5820k
640.00000n 76.1360m
641.00000n 1.5819k
642.00000n 81.6667m
643.00000n 1.5832k
644.00000n 67.1980m
645.00000n 1.5832k
646.00000n 75.4552m
647.00000n 1.5818k
648.00000n 64.3424m
649.00000n 1.5817k
650.00000n 66.8429m
651.00000n 1.5806k
652.00000n 66.6567m
653.00000n 1.5811k
654.00000n 67.0684m
655.00000n 1.5809k
656.00000n 66.6946m
657.00000n 1.5824k
658.00000n 78.9202m
659.00000n 1.5812k
660.00000n 75.2440m
661.00000n 1.5818k
662.00000n 74.7040m
663.00000n 1.5828k
664.00000n 75.9998m
665.00000n 1.6568k
666.00000n 82.1931m
667.00000n 1.5824k
668.00000n 88.8672m
669.00000n 1.5814k
670.00000n 84.7019m
671.00000n 1.5824k
672.00000n 80.1517m
673.00000n 1.5819k
674.00000n 84.7904m
675.00000n 1.5815k
676.00000n 78.1009m
677.00000n 1.5819k
678.00000n 79.2736m
679.00000n 1.5824k
680.00000n 68.2519m
681.00000n 1.5810k
682.00000n 68.9079m
683.00000n 1.5813k
684.00000n 73.9280m
685.00000n 1.5812k
686.00000n 86.1106m
687.00000n 1.5814k
688.00000n 92.4447m
689.00000n 1.5819k
690.00000n 80.1374m
691.00000n 1.5815k
692.00000n 69.4885m
693.00000n 1.5821k
694.00000n 76.4473m
695.00000n 1.5809k
696.00000n 101.2508m
697.00000n 1.5818k
698.00000n 78.7466m
699.00000n 1.5823k
700.00000n 84.7007m
701.00000n 1.5832k
702.00000n 82.5922m
703.00000n 1.5820k
704.00000n 75.7012m
705.00000n 1.5819k
706.00000n 81.9833m
707.00000n 1.5832k
708.00000n 68.1110m
709.00000n 1.5832k
710.00000n 75.6061m
711.00000n 1.5818k
712.00000n 64.9133m
713.00000n 1.5817k
714.00000n 72.2101m
715.00000n 1.5806k
716.00000n 75.0366m
717.00000n 1.5805k
718.00000n 66.1431m
719.00000n 1.5817k
720.00000n 65.4378m
721.00000n 1.5814k
722.00000n 76.7320m
723.00000n 1.5819k
724.00000n 75.1786m
725.00000n 1.5828k
726.00000n 83.7567m
727.00000n 1.5815k
728.00000n 78.0621m
729.00000n 1.5814k
730.00000n 84.0588m
731.00000n 1.5819k
732.00000n 84.5629m
733.00000n 1.5829k
734.00000n 84.2486m
735.00000n 1.5823k
736.00000n 90.9143m
737.00000n 1.5817k
738.00000n 83.4255m
739.00000n 1.5827k
740.00000n 65.5962m
741.00000n 1.5819k
742.00000n 62.3731m
743.00000n 1.5823k
744.00000n 58.5455m
745.00000n 1.5831k
746.00000n 61.1904m
747.00000n 1.5815k
748.00000n 61.5255m
749.00000n 1.5814k
750.00000n 61.3831m
751.00000n 1.5823k
752.00000n 61.4418m
753.00000n 1.5836k
754.00000n 72.2885m
755.00000n 1.5829k
756.00000n 69.0378m
757.00000n 1.5821k
758.00000n 75.9641m
759.00000n 1.5809k
760.00000n 98.4442m
761.00000n 1.5818k
762.00000n 78.6061m
763.00000n 1.5823k
764.00000n 83.8409m
765.00000n 1.5832k
766.00000n 84.3000m
767.00000n 1.5820k
768.00000n 76.4345m
769.00000n 1.5820k
770.00000n 82.2252m
771.00000n 1.5832k
772.00000n 68.0517m
773.00000n 1.5832k
774.00000n 76.0271m
775.00000n 1.5818k
776.00000n 66.4092m
777.00000n 1.5817k
778.00000n 77.6472m
779.00000n 1.5806k
780.00000n 69.0799m
781.00000n 1.5808k
782.00000n 65.3042m
783.00000n 1.5817k
784.00000n 65.4332m
785.00000n 1.5814k
786.00000n 63.1962m
787.00000n 1.5811k
788.00000n 67.6399m
789.00000n 1.5817k
790.00000n 73.9950m
791.00000n 1.5823k
792.00000n 65.0381m
793.00000n 1.5814k
794.00000n 68.2624m
795.00000n 1.5818k
796.00000n 73.7476m
797.00000n 1.5818k
798.00000n 86.2046m
799.00000n 1.5804k
800.00000n 88.1523m
801.00000n 1.5809k
802.00000n 75.5826m
803.00000n 1.5828k
804.00000n 70.2913m
805.00000n 1.5822k
806.00000n 82.3609m
807.00000n 1.5819k
808.00000n 71.6622m
809.00000n 1.5823k
810.00000n 78.9323m
811.00000n 1.5829k
812.00000n 87.0371m
813.00000n 1.5828k
814.00000n 77.6633m
815.00000n 1.5834k
816.00000n 73.7659m
817.00000n 1.5819k
818.00000n 81.7973m
819.00000n 1.5816k
820.00000n 77.2411m
821.00000n 1.5827k
822.00000n 90.1124m
823.00000n 1.5818k
824.00000n 68.7807m
825.00000n 1.5809k
826.00000n 70.5610m
827.00000n 1.5805k
828.00000n 77.5331m
829.00000n 1.5805k
830.00000n 91.4988m
831.00000n 1.5816k
832.00000n 95.9814m
833.00000n 1.5826k
834.00000n 76.0458m
835.00000n 1.5823k
836.00000n 69.6582m
837.00000n 1.5821k
838.00000n 76.6688m
839.00000n 1.5809k
840.00000n 100.8788m
841.00000n 1.5818k
842.00000n 79.0724m
843.00000n 1.5823k
844.00000n 85.0002m
845.00000n 1.5832k
846.00000n 82.9237m
847.00000n 1.5820k
848.00000n 76.0072m
849.00000n 1.5819k
850.00000n 82.3283m
851.00000n 1.5832k
852.00000n 69.8322m
853.00000n 1.5833k
854.00000n 84.5735m
855.00000n 1.5818k
856.00000n 72.7077m
857.00000n 1.5819k
858.00000n 80.3033m
859.00000n 1.5815k
860.00000n 76.7513m
861.00000n 1.5820k
862.00000n 85.2244m
863.00000n 1.5815k
864.00000n 94.8757m
865.00000n 1.5810k
866.00000n 65.5613m
867.00000n 1.5805k
868.00000n 78.4603m
869.00000n 1.5827k
870.00000n 87.3900m
871.00000n 1.5823k
872.00000n 65.5671m
873.00000n 1.5815k
874.00000n 75.4018m
875.00000n 1.5821k
876.00000n 74.7473m
877.00000n 1.5815k
878.00000n 73.7238m
879.00000n 1.5818k
880.00000n 73.0509m
881.00000n 1.5814k
882.00000n 78.9139m
883.00000n 1.5827k
884.00000n 77.3355m
885.00000n 1.5818k
886.00000n 87.1532m
887.00000n 1.5820k
888.00000n 82.2618m
889.00000n 1.5819k
890.00000n 91.9179m
891.00000n 1.5831k
892.00000n 82.8245m
893.00000n 1.5824k
894.00000n 86.8027m
895.00000n 1.5820k
896.00000n 97.6873m
897.00000n 1.5828k
898.00000n 79.8445m
899.00000n 1.5833k
900.00000n 59.6090m
901.00000n 1.5822k
902.00000n 58.2823m
903.00000n 1.5823k
904.00000n 58.4069m
905.00000n 1.5819k
906.00000n 60.7498m
907.00000n 1.5826k
908.00000n 61.0538m
909.00000n 1.5814k
910.00000n 60.9393m
911.00000n 1.5833k
912.00000n 61.0283m
913.00000n 1.5832k
914.00000n 71.9045m
915.00000n 1.5829k
916.00000n 68.6786m
917.00000n 1.5821k
918.00000n 75.5675m
919.00000n 1.5809k
920.00000n 98.0469m
921.00000n 1.5818k
922.00000n 78.2508m
923.00000n 1.5823k
924.00000n 83.5053m
925.00000n 1.5832k
926.00000n 83.9879m
927.00000n 1.5820k
928.00000n 76.1249m
929.00000n 1.5819k
930.00000n 81.7378m
931.00000n 1.5832k
932.00000n 67.3154m
933.00000n 1.5832k
934.00000n 75.4576m
935.00000n 1.5816k
936.00000n 64.3174m
937.00000n 1.5809k
938.00000n 66.7989m
939.00000n 1.5813k
940.00000n 66.6490m
941.00000n 1.5820k
942.00000n 67.0149m
943.00000n 1.5818k
944.00000n 66.5882m
945.00000n 1.5816k
946.00000n 78.8589m
947.00000n 1.5817k
948.00000n 75.2851m
949.00000n 1.5825k
950.00000n 74.8389m
951.00000n 1.5823k
952.00000n 76.0293m
953.00000n 1.5819k
954.00000n 82.2596m
955.00000n 1.5827k
956.00000n 88.8739m
957.00000n 1.5818k
958.00000n 84.6005m
959.00000n 1.5830k
960.00000n 80.1568m
961.00000n 1.5820k
962.00000n 84.7924m
963.00000n 1.5833k
964.00000n 78.1499m
965.00000n 1.5822k
966.00000n 79.3819m
967.00000n 1.5824k
968.00000n 68.1665m
969.00000n 1.5821k
970.00000n 68.9174m
971.00000n 1.5805k
972.00000n 74.0145m
973.00000n 1.5805k
974.00000n 86.2802m
975.00000n 1.5814k
976.00000n 92.4098m
977.00000n 1.5819k
978.00000n 79.8844m
979.00000n 1.5815k
980.00000n 69.4708m
981.00000n 1.5821k
982.00000n 76.4312m
983.00000n 1.5809k
984.00000n 101.2293m
985.00000n 1.5818k
986.00000n 78.7338m
987.00000n 1.5823k
988.00000n 84.6855m
989.00000n 1.5832k
990.00000n 82.5849m
991.00000n 1.5820k
992.00000n 75.6908m
993.00000n 1.5819k
994.00000n 82.0546m
995.00000n 1.5832k
996.00000n 68.2277m
997.00000n 1.5832k
998.00000n 75.6124m
999.00000n 1.5816k
1.00000u 64.8888m
1.00100u 1.5809k
1.00200u 72.1738m
1.00300u 1.5822k
1.00400u 75.0198m
1.00500u 1.5822k
1.00600u 66.0900m
1.00700u 1.5818k
1.00800u 65.3280m
1.00900u 1.5809k
1.01000u 76.6500m
1.01100u 1.5826k
1.01200u 75.1127m
1.01300u 1.5825k
1.01400u 83.9973m
1.01500u 1.5826k
1.01600u 78.0719m
1.01700u 1.5824k
1.01800u 84.0507m
1.01900u 1.5834k
1.02000u 84.5056m
1.02100u 1.5834k
1.02200u 84.2299m
1.02300u 1.5821k
1.02400u 91.0751m
1.02500u 1.5820k
1.02600u 83.1793m
1.02700u 1.5833k
1.02800u 65.6561m
1.02900u 1.5834k
1.03000u 62.2891m
1.03100u 1.5828k
1.03200u 58.5170m
1.03300u 1.5825k
1.03400u 61.1771m
1.03500u 1.5815k
1.03600u 61.5089m
1.03700u 1.5814k
1.03800u 61.3676m
1.03900u 1.5830k
1.04000u 61.4271m
1.04100u 1.5832k
1.04200u 72.2749m
1.04300u 1.5829k
1.04400u 69.0258m
1.04500u 1.5821k
1.04600u 75.9483m
1.04700u 1.5809k
1.04800u 98.4322m
1.04900u 1.5818k
1.05000u 78.5919m
1.05100u 1.5823k
1.05200u 83.8275m
1.05300u 1.5832k
1.05400u 84.2889m
1.05500u 1.5820k
1.05600u 76.4231m
1.05700u 1.5820k
1.05800u 82.2971m
1.05900u 1.5832k
1.06000u 68.1693m
1.06100u 1.5832k
1.06200u 76.0297m
1.06300u 1.5816k
1.06400u 66.3889m
1.06500u 1.5809k
1.06600u 77.6146m
1.06700u 1.5814k
1.06800u 69.0671m
1.06900u 1.5823k
1.07000u 65.2612m
1.07100u 1.5810k
1.07200u 65.3344m
1.07300u 1.5809k
1.07400u 63.1650m
1.07500u 1.5822k
1.07600u 67.6407m
1.07700u 1.5817k
1.07800u 73.8971m
1.07900u 1.5806k
1.08000u 64.9794m
1.08100u 1.5806k
1.08200u 68.3370m
1.08300u 1.5816k
1.08400u 73.7490m
1.08500u 1.5804k
1.08600u 86.3285m
1.08700u 1.5812k
1.08800u 88.1065m
1.08900u 1.5819k
1.09000u 75.5321m
1.09100u 1.5829k
1.09200u 70.3159m
1.09300u 1.5823k
1.09400u 82.5388m
1.09500u 1.5821k
1.09600u 71.6771m
1.09700u 1.5821k
1.09800u 79.0370m
1.09900u 1.5839k
1.10000u 87.0172m
1.10100u 1.5830k
1.10200u 77.5442m
1.10300u 1.5820k
1.10400u 73.7701m
1.10500u 1.5819k
1.10600u 81.8102m
1.10700u 1.5834k
1.10800u 77.3383m
1.10900u 1.5823k
1.11000u 90.1998m
1.11100u 1.5817k
1.11200u 68.7325m
1.11300u 1.5809k
1.11400u 70.5891m
1.11500u 1.5811k
1.11600u 77.6237m
1.11700u 1.5805k
1.11800u 91.6438m
1.11900u 1.5817k
1.12000u 96.0743m
1.12100u 1.5828k
1.12200u 75.9490m
1.12300u 1.5824k
1.12400u 69.6411m
1.12500u 1.5821k
1.12600u 76.6603m
1.12700u 1.5809k
1.12800u 100.8382m
1.12900u 1.5818k
1.13000u 79.0690m
1.13100u 1.5823k
1.13200u 84.9923m
1.13300u 1.5832k
1.13400u 82.9154m
1.13500u 1.5820k
1.13600u 75.9967m
1.13700u 1.5831k
1.13800u 76.1998m
1.13900u 1.5831k
1.14000u 76.4700m
1.14100u 1.5831k
1.14200u 76.6070m
1.14300u 1.5831k
1.14400u 76.7132m
1.14500u 1.5831k
1.14600u 76.8014m
1.14700u 1.5831k
1.14800u 76.8681m
1.14900u 1.5831k
1.15000u 76.9125m
1.15100u 1.5831k
1.15200u 76.9380m
1.15300u 1.5831k
1.15400u 76.9498m
1.15500u 1.5831k
1.15600u 76.9529m
1.15700u 1.5831k
1.15800u 76.9511m
1.15900u 2.0078k
1.16000u 76.9467m
1.16100u 1.5831k
1.16200u 76.9423m
1.16300u 1.5831k
1.16400u 76.9378m
1.16500u 1.5831k
1.16600u 76.9338m
1.16700u 1.5831k
1.16800u 76.9304m
1.16900u 1.5831k
1.17000u 76.9278m
1.17100u 1.5831k
1.17200u 76.9257m
1.17300u 1.5831k
1.17400u 76.9241m
1.17500u 1.5831k
1.17600u 76.9230m
1.17700u 1.5831k
1.17800u 76.9220m
1.17900u 1.5831k
1.18000u 76.9214m
1.18100u 1.5831k
1.18200u 76.9208m
1.18300u 1.5831k
1.18400u 76.9205m
1.18500u 1.5831k
1.18600u 76.9201m
1.18700u 1.5831k
1.18800u 76.9200m
1.18900u 1.5831k
1.19000u 76.9198m
1.19100u 1.5831k
1.19200u 76.9198m
1.19300u 1.5831k
1.19400u 76.9196m
1.19500u 1.5831k
1.19600u 76.9196m
1.19700u 1.5831k
1.19800u 76.9195m
1.19900u 1.5831k
1.20000u 76.9196m
y
***** job concluded
****** HSPICE -- E-2010.12-SP1 32-BIT (Feb 23 2011) linux ******
******
* lvs netlist generated with icnet by 'hlt0001' on wed apr 29 2015 at 22:39:34
****** job statistics summary tnom= 25.000 temp= 25.000 *****
****** Machine Information ******
CPU:
model name : Intel(R) Xeon(R) CPU X5650 @ 2.67GHz
cpu MHz : 2661.000
OS:
Linux version 2.6.32-504.12.2.el6.x86_64 ([email protected]) (
gcc version 4.4.7 20120313 (Red Hat 4.4.7-11) (GCC) ) #1 SMP Wed Mar 11 22:03:1
4 UTC 2015
****** HSPICE Threads Information ******
Command Line Threads Count : 1
Available CPU Count : 24
Actual Model Evaluation(Load) Threads Count : 1
Actual Solver Threads Count : 1
****** Circuit Statistics ******
# nodes = 2485 # elements = 574
# resistors = 0 # capacitors = 0 # inductors = 0
# mutual_inds = 0 # vccs = 0 # vcvs = 0
# cccs = 0 # ccvs = 0 # volt_srcs = 2
# curr_srcs = 0 # diodes = 0 # bjts = 0
# jfets = 0 # mosfets = 572 # U elements = 0
# T elements = 0 # W elements = 0 # B elements = 0
# S elements = 0 # P elements = 0 # va device = 0
# vector_srcs = 10
****** Runtime Statistics (seconds) ******
analysis time # points tot. iter conv.iter
op point 0.41 1 142
transient 962.78 1201 802072 92923 rev= 2169
readin 0.01
errchk 0.01
setup 0.28
output 0.01
peak memory used 84.57 megabytes
total cpu time 963.49 seconds
total elapsed time 966.64 seconds
job started at 23:04:38 04/29/2015
job ended at 23:20:45 04/29/2015
lic: Release hspice token(s)
Appendix IV. HPSICE without Buffer Modifications
Using: /usr/bin/time -p /linux_apps/synopsys/v2.5/hspice/hspice/linux/hspice -i alu3.sp
****** HSPICE -- E-2010.12-SP1 32-BIT (Feb 23 2011) linux ******
Copyright (C) 2010 Synopsys, Inc. All Rights Reserved.
Unpublished-rights reserved under US copyright laws.
This program is protected by law and is subject to the
terms and conditions of the license agreement from Synopsys.
Use of this program is your acceptance to be bound by the
license agreement. HSPICE is the trademark of Synopsys, Inc.
Input File: alu3.sp
Command line options: -i alu3.sp
lic: No 'setenv LM_LICENSE_FILE' in current environment'
lic:
lic: FLEXlm: v10.8
lic: USER: hlt0001 HOSTNAME: eelnx165.eng.auburn.edu
lic: HOSTID: 00101897217b PID: 16285
lic: Using FLEXlm license file:
lic: [email protected]
lic: Checkout 1 hspice
lic: License/Maintenance for hspice will expire on 21-jul-2015/2014.09
lic: 1(in_use)/50(total) FLOATING license(s) on SERVER perseus.eng.auburn.edu
lic:
Init: read install configuration file: /linux_apps/synopsys/v2.5/hspice/hspice/meta.cfg
**info** (alu3.sp:15) DC voltage reset to initial transient source value in source 0:vclk new dc= 0.0000D+00
**warning**(nmos:m_i$218)Warning: Acde = 0.235521 may be too small in BSIM4 model with w=2e-06 l=4e-07.
**info** set option symb=1 internally to help for convergence.
*****************************************************************
****** option summary
******
runlvl = 3 bypass = 2
Opening plot unit= 15
file=alu3.pa0
**info** dc convergence failure,
resetting dcon option to 1 and retrying
**info** dc convergence successful
you can increase the efficiency of the
operating point calculation by setting dcon= 1
in the .option statement
****** HSPICE -- E-2010.12-SP1 32-BIT (Feb 23 2011) linux ******
******
* lvs netlist generated with icnet by 'hlt0001' on wed apr 29 2015 at 19:56:52
****** operating point information tnom= 25.000 temp= 25.000 *****
***** operating point status is voltage simulation time is 0.
node =voltage node =voltage node =voltage
+ 0:a[0] = 0. 0:a[1] = 0. 0:a[2] = 0.
+ 0:a[3] = 0. 0:b[0] = 0. 0:b[1] = 0.
+ 0:b[2] = 0. 0:b[3] = 0. 0:clk = 0.
+ 0:f[0] = 981.6060m 0:f[1] = 981.6060m 0:f[2] = 981.6072m
+ 0:f[3] = 3.5281m 0:s[0] = 0. 0:s[1] = 0.
+ 0:vdd = 1.0000 1:n$dummy_= 17.6697m 1:n$dummy_= 17.6697m
+ 1:n$dummy_= 17.6883m 1:n$dummy_= 965.9016m 1:nx0 = 873.1078m
+ 1:nx10 = 41.8102m 1:nx126 = 953.9994m 1:nx128 = 877.8285m
+ 1:nx133 = 903.0866m 1:nx135 = 22.9859m 1:nx140 = 953.9981m
+ 1:nx145 = 82.4681m 1:nx149 = 953.9983m 1:nx154 = 63.3974m
+ 1:nx158 = 954.0006m 1:nx32 = 920.6560m 1:nx34 = 42.6686m
+ 1:nx36 = 48.0944m 1:nx58 = 921.5952m 1:nx6 = 930.3478m
+ 1:nx60 = 67.2929m 1:nx62 = 916.4608m 1:nx8 = 69.0572m
+ 1:nx84 = 939.4111m 1:nx86 = 57.3802m 1:nx88 = 914.6115m
+ 2:n$1 = 996.7850m 2:n$212 = 29.2344m 2:n$213 = 16.8978m
+ 2:n$3 = 735.7145m 3:n$1 = 980.7912m 3:n$212 = 955.8328m
+ 3:n$213 = 2.7542m 3:n$3 = 23.8827m 4:n$1 = 230.8489m
+ 4:n$212 = 958.6329m 4:n$213 = 693.3123m 4:n$3 = 26.3030m
+ 5:n$1 = 919.8689m 5:n$212 = 967.7967m 5:n$213 = 17.0606m
+ 5:n$3 = 3.3650m 6:n$1 = 996.8416m 6:n$212 = 29.3222m
+ 6:n$213 = 16.9401m 6:n$3 = 735.7516m 7:n$1 = 980.8070m
+ 7:n$212 = 956.0076m 7:n$213 = 2.8707m 7:n$3 = 36.7413m
+ 8:n$1 = 950.0687m 8:n$212 = 969.7338m 8:n$213 = 4.4478m
+ 8:n$3 = 3.3988m 8:n$4 = 931.5238m 9:bclk = 3.1331m
+ 9:bclk- = 935.0043m 9:n$1069 = 983.4855m 9:n$1070 = 187.3166m
+ 9:n$1071 = 953.1726m 9:n$1072 = 8.2988m 9:n$1073 = 38.0333m
+ 9:n$1074 = 71.5951m 9:n$845 = 192.7886m 9:n$847 = 41.8670m
+ 9:n$848 = 970.7182m 9:n$849 = 951.5327m 9:n$851 = 23.5893m
+ 9:n$852 = 3.1399m 10:bclk = 5.9490m 10:bclk- = 941.1957m
+10:n$1069 = 983.5050m 10:n$1070 = 899.1962m 10:n$1071 = 10.4182m
+10:n$1072 = 9.6154m 10:n$1073 = 720.3513m 10:n$1074 = 828.3980m
+10:n$845 = 194.7086m 10:n$847 = 42.0271m 10:n$848 = 973.9694m
+10:n$849 = 951.5407m 10:n$851 = 23.7384m 10:n$852 = 3.1401m
+11:bclk = 21.9727m 11:bclk- = 962.1077m 11:n$1069 = 994.5922m
+11:n$1070 = 900.4290m 11:n$1071 = 10.4219m 11:n$1072 = 2.5549m
+11:n$1073 = 736.7861m 11:n$1074 = 829.6886m 11:n$845 = 936.2914m
+11:n$847 = 903.0590m 11:n$848 = 981.5628m 11:n$849 = 10.8089m
+11:n$851 = 740.6565m 11:n$852 = 24.0845m 12:bclk = 21.9562m
+12:bclk- = 962.1075m 12:n$1069 = 994.6229m 12:n$1070 = 900.4279m
+12:n$1071 = 10.4219m 12:n$1072 = 2.5522m 12:n$1073 = 736.7859m
+12:n$1074 = 829.6874m 12:n$845 = 936.0173m 12:n$847 = 902.8156m
+12:n$848 = 981.5627m 12:n$849 = 10.8075m 12:n$851 = 740.2692m
+12:n$852 = 24.0769m 13:n$10 = 246.0392m 13:n$4 = 34.3973m
+13:n$5 = 985.7791m 13:n$6 = 1.045040m 13:n$7 = 954.1487m
+14:n$10 = 225.3191m 14:n$4 = 4.045963m 14:n$5 = 993.1085m
+14:n$6 = 2.5564m 14:n$7 = 954.3407m 15:n$4 = 935.6732m
+15:n$8 = 28.0504m 15:n$9 = 4.4372m 18:n$7 = 2.2892m
+19:n$7 = 2.2892m 20:n$7 = 2.2892m 21:n$7 = 2.2892m
+22:n$1 = 996.9234m 22:n$212 = 29.4055m 22:n$213 = 16.9802m
+22:n$3 = 735.7840m 23:n$1 = 980.8183m 23:n$212 = 955.9104m
+23:n$213 = 2.9836m 23:n$3 = 31.2444m 24:n$1 = 943.3310m
+24:n$212 = 971.3121m 24:n$213 = 23.8630m 24:n$3 = 3.4247m
+25:n$1 = 996.7895m 25:n$212 = 29.2428m 25:n$213 = 16.9019m
+25:n$3 = 735.7182m 26:n$1 = 980.7930m 26:n$212 = 956.0111m
+26:n$213 = 2.7653m 26:n$3 = 35.7603m 27:n$1 = 944.9409m
+27:n$212 = 972.0424m 27:n$213 = 28.2400m 27:n$3 = 3.4411m
******
* lvs netlist generated with icnet by 'hlt0001' on wed apr 29 2015 at 19:56:52
****** transient analysis tnom= 25.000 temp= 25.000 *****
avg_current= 3.3691E-01 from= 0.0000E+00 to= 1.2000E-06
rms_current= 4.6810E-01 from= 0.0000E+00 to= 1.2000E-06
avg_pow= 3.3691E-01 from= 0.0000E+00 to= 1.2000E-06
rms_pow= 4.6810E-01 from= 0.0000E+00 to= 1.2000E-06
powavg= 3.3691E-01
powrms= 4.6810E-01
x
time current
vdd
0. -1.1714m
1.00000n 655.6682m
2.00000n -1.2606m
3.00000n 655.5241m
4.00000n -1.2909m
5.00000n 654.9913m
6.00000n -1.5347m
7.00000n 656.1865m
8.00000n -1.3267m
9.00000n 656.1687m
10.00000n -1.5987m
11.00000n 655.7515m
12.00000n -1.3343m
13.00000n 655.6221m
14.00000n -1.5053m
15.00000n 656.7555m
16.00000n -1.2516m
17.00000n 655.4306m
18.00000n -1.9147m
19.00000n 655.2952m
20.00000n -1.6063m
21.00000n 655.6795m
22.00000n -1.3842m
23.00000n 655.5338m
24.00000n -1.2929m
25.00000n 654.8334m
26.00000n -1.5525m
27.00000n 655.0286m
28.00000n -1.2990m
29.00000n 654.2925m
30.00000n -1.5035m
31.00000n 656.0927m
32.00000n -1.2470m
33.00000n 655.8116m
34.00000n -1.7742m
35.00000n 656.2148m
36.00000n -1.4233m
37.00000n 655.4938m
38.00000n -1.6173m
39.00000n 656.7101m
40.00000n -1.3995m
41.00000n 655.4449m
42.00000n -1.6155m
43.00000n 655.6061m
44.00000n -1.3589m
45.00000n 654.9036m
46.00000n -1.5523m
47.00000n 656.7152m
48.00000n -1.3345m
49.00000n 655.9595m
50.00000n -1.7226m
51.00000n 655.3562m
52.00000n -1.6281m
53.00000n 654.8934m
54.00000n -1.2651m
55.00000n 655.5647m
56.00000n -1.3232m
57.00000n 655.9828m
58.00000n -1.5689m
59.00000n 654.6700m
60.00000n -1.3977m
61.00000n 655.5611m
62.00000n -1.5377m
63.00000n 655.6789m
64.00000n -1.3035m
65.00000n 655.5236m
66.00000n -1.6252m
67.00000n 656.0494m
68.00000n -1.3436m
69.00000n 655.0006m
70.00000n -1.5417m
71.00000n 656.2104m
72.00000n -1.3274m
73.00000n 656.1721m
74.00000n -1.5997m
75.00000n 655.7506m
76.00000n -1.3353m
77.00000n 655.6227m
78.00000n -1.5055m
79.00000n 656.7558m
80.00000n -1.2516m
81.00000n 655.3789m
82.00000n -1.8684m
83.00000n 655.4112m
84.00000n -1.6071m
85.00000n 655.3465m
86.00000n -1.4053m
87.00000n 655.7486m
88.00000n -1.3617m
89.00000n 655.0475m
90.00000n -1.5469m
91.00000n 655.1922m
92.00000n -1.3230m
93.00000n 654.4827m
94.00000n -1.5471m
95.00000n 656.2985m
96.00000n -1.2904m
97.00000n 655.3697m
98.00000n -1.7550m
99.00000n 655.7981m
100.00000n -1.7779m
101.00000n 655.5771m
102.00000n -1.5511m
103.00000n 656.7264m
104.00000n -1.3851m
105.00000n 655.4534m
106.00000n -1.6028m
107.00000n 655.6205m
108.00000n -1.3489m
109.00000n 654.9161m
110.00000n -1.5411m
111.00000n 656.7276m
112.00000n -1.3222m
113.00000n 655.9718m
114.00000n -1.7107m
115.00000n 655.3667m
116.00000n -1.6161m
117.00000n 654.9050m
118.00000n -1.2536m
119.00000n 655.5763m
120.00000n -1.3117m
121.00000n 655.9945m
122.00000n -1.5575m
123.00000n 654.6921m
124.00000n -1.3859m
125.00000n 655.5721m
126.00000n -1.5263m
127.00000n 655.6904m
128.00000n -1.2920m
129.00000n 655.5203m
130.00000n -1.6853m
131.00000n 655.9586m
132.00000n -1.4709m
133.00000n 654.9879m
134.00000n -1.4002m
135.00000n 655.6975m
136.00000n -1.4113m
137.00000n 656.5475m
138.00000n -1.5340m
139.00000n 655.8676m
140.00000n -1.2662m
141.00000n 656.6363m
142.00000n -1.3930m
143.00000n 656.4088m
144.00000n -1.3266m
145.00000n 656.3228m
146.00000n -1.8488m
147.00000n 654.2765m
148.00000n -1.7281m
149.00000n 656.4730m
150.00000n -1.5323m
151.00000n 655.2980m
152.00000n -1.4397m
153.00000n 654.8519m
154.00000n -1.6415m
155.00000n 655.5012m
156.00000n -1.3664m
157.00000n 656.0963m
158.00000n -1.4350m
159.00000n 655.3133m
160.00000n -1.2805m
161.00000n 655.7646m
162.00000n -1.8963m
163.00000n 655.4801m
164.00000n -1.4077m
165.00000n 655.4059m
166.00000n -1.4519m
167.00000n 656.1578m
168.00000n -1.3359m
169.00000n 655.4564m
170.00000n -1.6025m
171.00000n 655.6179m
172.00000n -1.3477m
173.00000n 654.9161m
174.00000n -1.5400m
175.00000n 656.7272m
176.00000n -1.3222m
177.00000n 655.9718m
178.00000n -1.7106m
179.00000n 655.3669m
180.00000n -1.6180m
181.00000n 654.9511m
182.00000n -1.2529m
183.00000n 655.5768m
184.00000n -1.3117m
185.00000n 655.9944m
186.00000n -1.5578m
187.00000n 654.6639m
188.00000n -1.3862m
189.00000n 655.5726m
190.00000n -1.5263m
191.00000n 655.6902m
192.00000n -1.2919m
193.00000n 655.5207m
194.00000n -1.6853m
195.00000n 655.9584m
196.00000n -1.4710m
197.00000n 654.9880m
198.00000n -1.4001m
199.00000n 655.6975m
200.00000n -1.4113m
201.00000n 656.5475m
202.00000n -1.5339m
203.00000n 655.8676m
204.00000n -1.2662m
205.00000n 656.6361m
206.00000n -1.3929m
207.00000n 656.4077m
208.00000n -1.3265m
209.00000n 656.4208m
210.00000n -1.6630m
211.00000n 655.2291m
212.00000n -1.4362m
213.00000n 655.4927m
214.00000n -1.4462m
215.00000n 655.9016m
216.00000n -1.2928m
217.00000n 654.8202m
218.00000n -1.6279m
219.00000n 656.8359m
220.00000n -1.3156m
221.00000n 656.1671m
222.00000n -1.4103m
223.00000n 656.3666m
224.00000n -1.2426m
225.00000n 655.2137m
226.00000n -1.8540m
227.00000n 655.4077m
228.00000n -1.5790m
229.00000n 655.7995m
230.00000n -1.4798m
231.00000n 655.4512m
232.00000n -1.4518m
233.00000n 654.9812m
234.00000n -1.6300m
235.00000n 655.7595m
236.00000n -1.3803m
237.00000n 656.2284m
238.00000n -1.4545m
239.00000n 655.4196m
240.00000n -1.3756m
241.00000n 655.1768m
242.00000n -1.7197m
243.00000n 656.0441m
244.00000n -1.4835m
245.00000n 655.9171m
246.00000n -1.3966m
247.00000n 656.1803m
248.00000n -1.3283m
249.00000n 655.5130m
250.00000n -1.5871m
251.00000n 655.6785m
252.00000n -1.3363m
253.00000n 654.9285m
254.00000n -1.5288m
255.00000n 656.7397m
256.00000n -1.3099m
257.00000n 655.9841m
258.00000n -1.6986m
259.00000n 655.3773m
260.00000n -1.6060m
261.00000n 654.9628m
262.00000n -1.2414m
263.00000n 655.5883m
264.00000n -1.3002m
265.00000n 656.0061m
266.00000n -1.5460m
267.00000n 654.6757m
268.00000n -1.3746m
269.00000n 655.5835m
270.00000n -1.5148m
271.00000n 655.7017m
272.00000n -1.2806m
273.00000n 655.5176m
274.00000n -1.7510m
275.00000n 655.9169m
276.00000n -1.6372m
277.00000n 654.9654m
278.00000n -1.4611m
279.00000n 656.0394m
280.00000n -1.3158m
281.00000n 654.8826m
282.00000n -1.6203m
283.00000n 656.8377m
284.00000n -1.3172m
285.00000n 656.1674m
286.00000n -1.4098m
287.00000n 656.3666m
288.00000n -1.2427m
289.00000n 655.1978m
290.00000n -1.7610m
291.00000n 655.5584m
292.00000n -1.3963m
293.00000n 655.1451m
294.00000n -1.4844m
295.00000n 656.1222m
296.00000n -1.2425m
297.00000n 655.5842m
298.00000n -1.5735m
299.00000n 656.1669m
300.00000n -1.2469m
301.00000n 655.6603m
302.00000n -1.4406m
303.00000n 655.6405m
304.00000n -1.1813m
305.00000n 654.7751m
306.00000n -1.8823m
307.00000n 655.9460m
308.00000n -1.5895m
309.00000n 655.2290m
310.00000n -1.4891m
311.00000n 655.7639m
312.00000n -1.3111m
313.00000n 654.8470m
314.00000n -1.5932m
315.00000n 655.5628m
316.00000n -1.2947m
317.00000n 654.8600m
318.00000n -1.4091m
319.00000n 655.0398m
320.00000n -1.2438m
321.00000n 654.5832m
322.00000n -1.7313m
323.00000n 656.6709m
324.00000n -1.5462m
325.00000n 655.9101m
326.00000n -1.5426m
327.00000n 656.6636m
328.00000n -1.3412m
329.00000n 655.5011m
330.00000n -1.6033m
331.00000n 655.6182m
332.00000n -1.3469m
333.00000n 654.9158m
334.00000n -1.5401m
335.00000n 656.7275m
336.00000n -1.3222m
337.00000n 655.9718m
338.00000n -1.7106m
339.00000n 655.3667m
340.00000n -1.6169m
341.00000n 654.9050m
342.00000n -1.2536m
343.00000n 655.5763m
344.00000n -1.3117m
345.00000n 655.9943m
346.00000n -1.5574m
347.00000n 654.6815m
348.00000n -1.3860m
349.00000n 655.5721m
350.00000n -1.5263m
351.00000n 655.6904m
352.00000n -1.2920m
353.00000n 655.5207m
354.00000n -1.6853m
355.00000n 655.9815m
356.00000n -1.3760m
357.00000n 655.2948m
358.00000n -1.4084m
359.00000n 656.2008m
360.00000n -1.2399m
361.00000n 655.5987m
362.00000n -1.5765m
363.00000n 656.1689m
364.00000n -1.2490m
365.00000n 655.6602m
366.00000n -1.4408m
367.00000n 655.6407m
368.00000n -1.1815m
369.00000n 654.6720m
370.00000n -1.8277m
371.00000n 656.0118m
372.00000n -1.5898m
373.00000n 654.8830m
374.00000n -1.5091m
375.00000n 656.0412m
376.00000n -1.3741m
377.00000n 655.0135m
378.00000n -1.5835m
379.00000n 655.6847m
380.00000n -1.3224m
381.00000n 655.0824m
382.00000n -1.4055m
383.00000n 655.2513m
384.00000n -1.2608m
385.00000n 654.1827m
386.00000n -1.7193m
387.00000n 655.5519m
388.00000n -1.6688m
389.00000n 655.9090m
390.00000n -1.5285m
391.00000n 656.6207m
392.00000n -1.3696m
393.00000n 655.4672m
394.00000n -1.5900m
395.00000n 655.6308m
396.00000n -1.3374m
397.00000n 654.9283m
398.00000n -1.5289m
399.00000n 656.7399m
400.00000n -1.3100m
401.00000n 655.9840m
402.00000n -1.6987m
403.00000n 655.3771m
404.00000n -1.6048m
405.00000n 654.9166m
406.00000n -1.2421m
407.00000n 655.5878m
408.00000n -1.3002m
409.00000n 656.0060m
410.00000n -1.5460m
411.00000n 654.7034m
412.00000n -1.3744m
413.00000n 655.5836m
414.00000n -1.5148m
415.00000n 655.7019m
416.00000n -1.2805m
417.00000n 655.5171m
418.00000n -1.7430m
419.00000n 655.8890m
420.00000n -1.4976m
421.00000n 655.2308m
422.00000n -1.2676m
423.00000n 655.6775m
424.00000n -1.2990m
425.00000n 656.4489m
426.00000n -1.4797m
427.00000n 655.8731m
428.00000n -1.2989m
429.00000n 656.0628m
430.00000n -1.3568m
431.00000n 655.3925m
432.00000n -1.2045m
433.00000n 655.7857m
434.00000n -1.7362m
435.00000n 655.3895m
436.00000n -1.5210m
437.00000n 656.2536m
438.00000n -1.4949m
439.00000n 655.1347m
440.00000n -1.4238m
441.00000n 655.9105m
442.00000n -1.5800m
443.00000n 655.1707m
444.00000n -1.4294m
445.00000n 654.8551m
446.00000n -1.5709m
447.00000n 654.9232m
448.00000n -1.4030m
449.00000n 656.3712m
450.00000n -1.8649m
451.00000n 655.1765m
452.00000n -1.5477m
453.00000n 655.6708m
454.00000n -1.4162m
455.00000n 656.1697m
456.00000n -1.3243m
457.00000n 655.4686m
458.00000n -1.5907m
459.00000n 655.6299m
460.00000n -1.3355m
461.00000n 654.9282m
462.00000n -1.5279m
463.00000n 656.7395m
464.00000n -1.3100m
465.00000n 655.9841m
466.00000n -1.6985m
467.00000n 655.3774m
468.00000n -1.6067m
469.00000n 654.9627m
470.00000n -1.2413m
471.00000n 655.5882m
472.00000n -1.3002m
473.00000n 656.0059m
474.00000n -1.5462m
475.00000n 654.6819m
476.00000n -1.3747m
477.00000n 655.5841m
478.00000n -1.5147m
479.00000n 655.7017m
480.00000n -1.2805m
481.00000n 655.5177m
482.00000n -1.7432m
483.00000n 655.8887m
484.00000n -1.4972m
485.00000n 655.2432m
486.00000n -1.2678m
487.00000n 655.6774m
488.00000n -1.2989m
489.00000n 656.4536m
490.00000n -1.4797m
491.00000n 655.8732m
492.00000n -1.2989m
493.00000n 656.0628m
494.00000n -1.3568m
495.00000n 655.3925m
496.00000n -1.2045m
497.00000n 655.9398m
498.00000n -1.6081m
499.00000n 656.4072m
500.00000n -1.5149m
501.00000n 656.0994m
502.00000n -1.4084m
503.00000n 656.8672m
504.00000n -1.2916m
505.00000n 656.0592m
506.00000n -1.5465m
507.00000n 656.2577m
508.00000n -1.2877m
509.00000n 655.6237m
510.00000n -1.4887m
511.00000n 656.8406m
512.00000n -1.2726m
513.00000n 655.2726m
514.00000n -1.7503m
515.00000n 654.9603m
516.00000n -1.5846m
517.00000n 655.9168m
518.00000n -1.4677m
519.00000n 655.1595m
520.00000n -1.4627m
521.00000n 656.0564m
522.00000n -1.5994m
523.00000n 655.3923m
524.00000n -1.4878m
525.00000n 655.0123m
526.00000n -1.5501m
527.00000n 655.1645m
528.00000n -1.4110m
529.00000n 655.7717m
530.00000n -1.7356m
531.00000n 655.4358m
532.00000n -1.5746m
533.00000n 655.9158m
534.00000n -1.3948m
535.00000n 656.2097m
536.00000n -1.3154m
537.00000n 655.5251m
538.00000n -1.5751m
539.00000n 655.6905m
540.00000n -1.3241m
541.00000n 654.9406m
542.00000n -1.5167m
543.00000n 656.7519m
544.00000n -1.2977m
545.00000n 655.9963m
546.00000n -1.6866m
547.00000n 655.3878m
548.00000n -1.5946m
549.00000n 654.9743m
550.00000n -1.2299m
551.00000n 655.5997m
552.00000n -1.2887m
553.00000n 656.0160m
554.00000n -1.5375m
555.00000n 654.7153m
556.00000n -1.3628m
557.00000n 655.5949m
558.00000n -1.5033m
559.00000n 655.7135m
560.00000n -1.2690m
561.00000n 655.5145m
562.00000n -1.8067m
563.00000n 655.8468m
564.00000n -1.6641m
565.00000n 655.2280m
566.00000n -1.3507m
567.00000n 656.2370m
568.00000n -1.2689m
569.00000n 656.0373m
570.00000n -1.5401m
571.00000n 656.2558m
572.00000n -1.2863m
573.00000n 655.6233m
574.00000n -1.4889m
575.00000n 656.8406m
576.00000n -1.2749m
577.00000n 655.1362m
578.00000n -1.7208m
579.00000n 656.1207m
580.00000n -1.4501m
581.00000n 655.9027m
582.00000n -1.4933m
583.00000n 656.7056m
584.00000n -1.3054m
585.00000n 655.7110m
586.00000n -1.5782m
587.00000n 656.1599m
588.00000n -1.3186m
589.00000n 655.0292m
590.00000n -1.4961m
591.00000n 656.2580m
592.00000n -1.2772m
593.00000n 655.8833m
594.00000n -1.8891m
595.00000n 654.7735m
596.00000n -1.5644m
597.00000n 656.0107m
598.00000n -1.4095m
599.00000n 656.1685m
600.00000n -1.2877m
601.00000n 655.3654m
602.00000n -1.5629m
603.00000n 655.6012m
604.00000n -1.2988m
605.00000n 654.9266m
606.00000n -1.5077m
607.00000n 656.1384m
608.00000n -1.2817m
609.00000n 655.2972m
610.00000n -1.7569m
611.00000n 655.4831m
612.00000n -1.5215m
613.00000n 655.4785m
614.00000n -1.6091m
615.00000n 656.7663m
616.00000n -1.3893m
617.00000n 655.5066m
618.00000n -1.6032m
619.00000n 655.6184m
620.00000n -1.3465m
621.00000n 654.9159m
622.00000n -1.5400m
623.00000n 656.7275m
624.00000n -1.3222m
625.00000n 655.9717m
626.00000n -1.7104m
627.00000n 655.3665m
628.00000n -1.6168m
629.00000n 654.9047m
630.00000n -1.2535m
631.00000n 655.5761m
632.00000n -1.3117m
633.00000n 655.9930m
634.00000n -1.5605m
635.00000n 654.6923m
636.00000n -1.3860m
637.00000n 655.5719m
638.00000n -1.5263m
639.00000n 655.6905m
640.00000n -1.2920m
641.00000n 655.5205m
642.00000n -1.6889m
643.00000n 655.9907m
644.00000n -1.3944m
645.00000n 655.5712m
646.00000n -1.5303m
647.00000n 656.7426m
648.00000n -1.2941m
649.00000n 656.0936m
650.00000n -1.5612m
651.00000n 656.1564m
652.00000n -1.3242m
653.00000n 655.0294m
654.00000n -1.4966m
655.00000n 656.2582m
656.00000n -1.2772m
657.00000n 655.8221m
658.00000n -1.8363m
659.00000n 654.8433m
660.00000n -1.5795m
661.00000n 655.6444m
662.00000n -1.4028m
663.00000n 656.4223m
664.00000n -1.3557m
665.00000n 655.6196m
666.00000n -1.6193m
667.00000n 655.7098m
668.00000n -1.3284m
669.00000n 655.1460m
670.00000n -1.5175m
671.00000n 656.3410m
672.00000n -1.3376m
673.00000n 654.8760m
674.00000n -1.7227m
675.00000n 655.2558m
676.00000n -1.7265m
677.00000n 655.6077m
678.00000n -1.5394m
679.00000n 656.7473m
680.00000n -1.3718m
681.00000n 655.4657m
682.00000n -1.5906m
683.00000n 655.6328m
684.00000n -1.3366m
685.00000n 654.9284m
686.00000n -1.5288m
687.00000n 656.7399m
688.00000n -1.3099m
689.00000n 655.9839m
690.00000n -1.6984m
691.00000n 655.3769m
692.00000n -1.6048m
693.00000n 654.9163m
694.00000n -1.2420m
695.00000n 655.5876m
696.00000n -1.3002m
697.00000n 656.0045m
698.00000n -1.5490m
699.00000n 654.7038m
700.00000n -1.3744m
701.00000n 655.5835m
702.00000n -1.5148m
703.00000n 655.7020m
704.00000n -1.2805m
705.00000n 655.5176m
706.00000n -1.7467m
707.00000n 655.8990m
708.00000n -1.5233m
709.00000n 655.5600m
710.00000n -1.3924m
711.00000n 656.2085m
712.00000n -1.3815m
713.00000n 656.0123m
714.00000n -1.4996m
715.00000n 655.2680m
716.00000n -1.2516m
717.00000n 656.0836m
718.00000n -1.4015m
719.00000n 655.8188m
720.00000n -1.3006m
721.00000n 655.8127m
722.00000n -1.7597m
723.00000n 654.9178m
724.00000n -1.6755m
725.00000n 655.8812m
726.00000n -1.5191m
727.00000n 655.4353m
728.00000n -1.3879m
729.00000n 655.3795m
730.00000n -1.6402m
731.00000n 654.9592m
732.00000n -1.3463m
733.00000n 655.4694m
734.00000n -1.4262m
735.00000n 654.6760m
736.00000n -1.2586m
737.00000n 655.2478m
738.00000n -1.8748m
739.00000n 655.9678m
740.00000n -1.4558m
741.00000n 655.4960m
742.00000n -1.4426m
743.00000n 656.1707m
744.00000n -1.3241m
745.00000n 655.4657m
746.00000n -1.5904m
747.00000n 655.6301m
748.00000n -1.3354m
749.00000n 654.9284m
750.00000n -1.5277m
751.00000n 656.7395m
752.00000n -1.3099m
753.00000n 655.9839m
754.00000n -1.6983m
755.00000n 655.3772m
756.00000n -1.6066m
757.00000n 654.9624m
758.00000n -1.2413m
759.00000n 655.5882m
760.00000n -1.3002m
761.00000n 656.0059m
762.00000n -1.5464m
763.00000n 654.6754m
764.00000n -1.3741m
765.00000n 655.5435m
766.00000n -1.5151m
767.00000n 655.7017m
768.00000n -1.2806m
769.00000n 655.5182m
770.00000n -1.7469m
771.00000n 655.8985m
772.00000n -1.5229m
773.00000n 655.5597m
774.00000n -1.3923m
775.00000n 656.2089m
776.00000n -1.3893m
777.00000n 656.0569m
778.00000n -1.5004m
779.00000n 655.2639m
780.00000n -1.2521m
781.00000n 656.0201m
782.00000n -1.4017m
783.00000n 655.8661m
784.00000n -1.3007m
785.00000n 655.9111m
786.00000n -1.6041m
787.00000n 655.8392m
788.00000n -1.4318m
789.00000n 656.0710m
790.00000n -1.4469m
791.00000n 656.5243m
792.00000n -1.2966m
793.00000n 655.5352m
794.00000n -1.5727m
795.00000n 656.2220m
796.00000n -1.2886m
797.00000n 655.5609m
798.00000n -1.4005m
799.00000n 655.7553m
800.00000n -1.2282m
801.00000n 654.6118m
802.00000n -1.6705m
803.00000n 656.0488m
804.00000n -1.5274m
805.00000n 655.2554m
806.00000n -1.4326m
807.00000n 655.5276m
808.00000n -1.4440m
809.00000n 655.5361m
810.00000n -1.6254m
811.00000n 655.1622m
812.00000n -1.3618m
813.00000n 655.6369m
814.00000n -1.4100m
815.00000n 654.8147m
816.00000n -1.3650m
817.00000n 654.6538m
818.00000n -1.7806m
819.00000n 656.5993m
820.00000n -1.5411m
821.00000n 655.9804m
822.00000n -1.4063m
823.00000n 656.1918m
824.00000n -1.3177m
825.00000n 655.5245m
826.00000n -1.5747m
827.00000n 655.6908m
828.00000n -1.3241m
829.00000n 654.9408m
830.00000n -1.5165m
831.00000n 656.7519m
832.00000n -1.2976m
833.00000n 655.9962m
834.00000n -1.6864m
835.00000n 655.3876m
836.00000n -1.5946m
837.00000n 654.9740m
838.00000n -1.2298m
839.00000n 655.5999m
840.00000n -1.2888m
841.00000n 656.0175m
842.00000n -1.5349m
843.00000n 654.6870m
844.00000n -1.3628m
845.00000n 655.5951m
846.00000n -1.5033m
847.00000n 655.7133m
848.00000n -1.2690m
849.00000n 655.5145m
850.00000n -1.8104m
851.00000n 655.8576m
852.00000n -1.6873m
853.00000n 655.6049m
854.00000n -1.4493m
855.00000n 656.6426m
856.00000n -1.3032m
857.00000n 655.5571m
858.00000n -1.5676m
859.00000n 656.2228m
860.00000n -1.2890m
861.00000n 655.5609m
862.00000n -1.4007m
863.00000n 655.7553m
864.00000n -1.2282m
865.00000n 654.5943m
866.00000n -1.7066m
867.00000n 656.1313m
868.00000n -1.3889m
869.00000n 655.7324m
870.00000n -1.4321m
871.00000n 655.7423m
872.00000n -1.2219m
873.00000n 655.0199m
874.00000n -1.5431m
875.00000n 656.7471m
876.00000n -1.2507m
877.00000n 656.3499m
878.00000n -1.4470m
879.00000n 656.2438m
880.00000n -1.1915m
881.00000n 655.2850m
882.00000n -1.8189m
883.00000n 655.4572m
884.00000n -1.5952m
885.00000n 655.8802m
886.00000n -1.5323m
887.00000n 655.1844m
888.00000n -1.2918m
889.00000n 654.1802m
890.00000n -1.6303m
891.00000n 656.1428m
892.00000n -1.2943m
893.00000n 655.4864m
894.00000n -1.4138m
895.00000n 655.6692m
896.00000n -1.2498m
897.00000n 655.2326m
898.00000n -1.8348m
899.00000n 655.9869m
900.00000n -1.6127m
901.00000n 655.8905m
902.00000n -1.5197m
903.00000n 656.7218m
904.00000n -1.3263m
905.00000n 655.5127m
906.00000n -1.5909m
907.00000n 655.6305m
908.00000n -1.3345m
909.00000n 654.9281m
910.00000n -1.5278m
911.00000n 656.7398m
912.00000n -1.3099m
913.00000n 655.9840m
914.00000n -1.6983m
915.00000n 655.3770m
916.00000n -1.6055m
917.00000n 654.9163m
918.00000n -1.2420m
919.00000n 655.5876m
920.00000n -1.3002m
921.00000n 656.0045m
922.00000n -1.5490m
923.00000n 654.7038m
924.00000n -1.3744m
925.00000n 655.5834m
926.00000n -1.5148m
927.00000n 655.7020m
928.00000n -1.2805m
929.00000n 655.5176m
930.00000n -1.7468m
931.00000n 655.9232m
932.00000n -1.4344m
933.00000n 655.6193m
934.00000n -1.4569m
935.00000n 655.5648m
936.00000n -1.2246m
937.00000n 655.0288m
938.00000n -1.5454m
939.00000n 656.7449m
940.00000n -1.2538m
941.00000n 656.3005m
942.00000n -1.4468m
943.00000n 656.2421m
944.00000n -1.1916m
945.00000n 655.2229m
946.00000n -1.7679m
947.00000n 655.5685m
948.00000n -1.6103m
949.00000n 655.5310m
950.00000n -1.5350m
951.00000n 655.4145m
952.00000n -1.3116m
953.00000n 654.3421m
954.00000n -1.5982m
955.00000n 656.2487m
956.00000n -1.3510m
957.00000n 655.7032m
958.00000n -1.4758m
959.00000n 655.8255m
960.00000n -1.2675m
961.00000n 654.7865m
962.00000n -1.7970m
963.00000n 654.8727m
964.00000n -1.7344m
965.00000n 655.8215m
966.00000n -1.4963m
967.00000n 656.6286m
968.00000n -1.3577m
969.00000n 655.4795m
970.00000n -1.5778m
971.00000n 655.6430m
972.00000n -1.3252m
973.00000n 654.9406m
974.00000n -1.5166m
975.00000n 656.7522m
976.00000n -1.2977m
977.00000n 655.9962m
978.00000n -1.6864m
979.00000n 655.3874m
980.00000n -1.5935m
981.00000n 654.9279m
982.00000n -1.2305m
983.00000n 655.5991m
984.00000n -1.2888m
985.00000n 656.0160m
986.00000n -1.5375m
987.00000n 654.7153m
988.00000n -1.3629m
989.00000n 655.5949m
990.00000n -1.5033m
991.00000n 655.7135m
992.00000n -1.2690m
993.00000n 655.5144m
994.00000n -1.8021m
995.00000n 655.8313m
996.00000n -1.5590m
997.00000n 655.6500m
998.00000n -1.3033m
999.00000n 655.0870m
1.00000u -1.2900m
1.00100u 655.8728m
1.00200u -1.4805m
1.00300u 656.3806m
1.00400u -1.3126m
1.00500u 656.6558m
1.00600u -1.3564m
1.00700u 656.0419m
1.00800u -1.2089m
1.00900u 656.3498m
1.01000u -1.7489m
1.01100u 654.9390m
1.01200u -1.5225m
1.01300u 656.5236m
1.01400u -1.5313m
1.01500u 654.6928m
1.01600u -1.4272m
1.01700u 655.8503m
1.01800u -1.6055m
1.01900u 655.1027m
1.02000u -1.3498m
1.02100u 655.4336m
1.02200u -1.5714m
1.02300u 655.5332m
1.02400u -1.3404m
1.02500u 655.9900m
1.02600u -1.9088m
1.02700u 655.4819m
1.02800u -1.5581m
1.02900u 656.0457m
1.03000u -1.5017m
1.03100u 656.2107m
1.03200u -1.3132m
1.03300u 655.4729m
1.03400u -1.5789m
1.03500u 655.6449m
1.03600u -1.3222m
1.03700u 654.9404m
1.03800u -1.5156m
1.03900u 656.7518m
1.04000u -1.2976m
1.04100u 655.9962m
1.04200u -1.6863m
1.04300u 655.3877m
1.04400u -1.5953m
1.04500u 654.9740m
1.04600u -1.2297m
1.04700u 655.5996m
1.04800u -1.2887m
1.04900u 656.0160m
1.05000u -1.5375m
1.05100u 654.7154m
1.05200u -1.3629m
1.05300u 655.5925m
1.05400u -1.5033m
1.05500u 655.7135m
1.05600u -1.2690m
1.05700u 655.5152m
1.05800u -1.8025m
1.05900u 655.8305m
1.06000u -1.5575m
1.06100u 655.6493m
1.06200u -1.3029m
1.06300u 655.0872m
1.06400u -1.2899m
1.06500u 655.8915m
1.06600u -1.4806m
1.06700u 656.3807m
1.06800u -1.3126m
1.06900u 656.6558m
1.07000u -1.3564m
1.07100u 656.0419m
1.07200u -1.2089m
1.07300u 656.4687m
1.07400u -1.6117m
1.07500u 655.7718m
1.07600u -1.4734m
1.07700u 655.4624m
1.07800u -1.3994m
1.07900u 656.2339m
1.08000u -1.2666m
1.08100u 655.5358m
1.08200u -1.5243m
1.08300u 655.6971m
1.08400u -1.2713m
1.08500u 654.9951m
1.08600u -1.4625m
1.08700u 656.8060m
1.08800u -1.2442m
1.08900u 655.7684m
1.09000u -1.7893m
1.09100u 655.4425m
1.09200u -1.4747m
1.09300u 655.3439m
1.09400u -1.4507m
1.09500u 654.5246m
1.09600u -1.4230m
1.09700u 656.0396m
1.09800u -1.6324m
1.09900u 655.2373m
1.10000u -1.4116m
1.10100u 655.5808m
1.10200u -1.5577m
1.10300u 655.7298m
1.10400u -1.3534m
1.10500u 655.4791m
1.10600u -1.7969m
1.10700u 655.9438m
1.10800u -1.6385m
1.10900u 656.0408m
1.11000u -1.3892m
1.11100u 656.2186m
1.11200u -1.3056m
1.11300u 655.5366m
1.11400u -1.5631m
1.11500u 655.7028m
1.11600u -1.3117m
1.11700u 654.9529m
1.11800u -1.5044m
1.11900u 656.7642m
1.12000u -1.2854m
1.12100u 656.0085m
1.12200u -1.6744m
1.12300u 655.3981m
1.12400u -1.5833m
1.12500u 654.9857m
1.12600u -1.2182m
1.12700u 655.6113m
1.12800u -1.2773m
1.12900u 656.0289m
1.13000u -1.5233m
1.13100u 654.6985m
1.13200u -1.3511m
1.13300u 655.5756m
1.13400u -1.4918m
1.13500u 655.7252m
1.13600u -1.2575m
1.13700u 656.0391m
1.13800u -1.1527m
1.13900u 656.7125m
1.14000u -1.0171m
1.14100u 656.5520m
1.14200u -964.0831u
1.14300u 656.5538m
1.14400u -964.1555u
1.14500u 656.5539m
1.14600u -964.0696u
1.14700u 656.5538m
1.14800u -964.0931u
1.14900u 656.5535m
1.15000u -964.3130u
1.15100u 656.5536m
1.15200u -964.2155u
1.15300u 656.5536m
1.15400u -964.3032u
1.15500u 656.5536m
1.15600u -964.2713u
1.15700u 656.5536m
1.15800u -964.2505u
1.15900u 656.5536m
1.16000u -964.2813u
1.16100u 656.5536m
1.16200u -964.2840u
1.16300u 656.5536m
1.16400u -964.2849u
1.16500u 656.5536m
1.16600u -964.2712u
1.16700u 656.5536m
1.16800u -964.2446u
1.16900u 656.5536m
1.17000u -964.2680u
1.17100u 656.5536m
1.17200u -964.2969u
1.17300u 656.5536m
1.17400u -964.2859u
1.17500u 656.5536m
1.17600u -964.2405u
1.17700u 656.5535m
1.17800u -964.2362u
1.17900u 656.5535m
1.18000u -964.2400u
1.18100u 656.5535m
1.18200u -964.2378u
1.18300u 656.5535m
1.18400u -964.2767u
1.18500u 656.5535m
1.18600u -964.2826u
1.18700u 656.5535m
1.18800u -964.2742u
1.18900u 656.5535m
1.19000u -964.2861u
1.19100u 656.5535m
1.19200u -964.2420u
1.19300u 656.5535m
1.19400u -964.2898u
1.19500u 656.5535m
1.19600u -964.3002u
1.19700u 656.5535m
1.19800u -964.2990u
1.19900u 656.5535m
1.20000u -964.2905u
y
x
time power
total
0. 1.1714m
1.00000n 1.5813k
2.00000n 1.2397m
3.00000n 1.5825k
4.00000n 1.2624m
5.00000n 1.5810k
6.00000n 1.4606m
7.00000n 1.5818k
8.00000n 1.3058m
9.00000n 1.5820k
10.00000n 1.5830m
11.00000n 1.5816k
12.00000n 1.2611m
13.00000n 1.5826k
14.00000n 1.4282m
15.00000n 1.5834k
16.00000n 1.2424m
17.00000n 1.5826k
18.00000n 2.0302m
19.00000n 1.5822k
20.00000n 1.4849m
21.00000n 1.5823k
22.00000n 1.2301m
23.00000n 1.5821k
24.00000n 1.1807m
25.00000n 1.5818k
26.00000n 1.3791m
27.00000n 1.5809k
28.00000n 1.1813m
29.00000n 1.5813k
30.00000n 1.4166m
31.00000n 1.5827k
32.00000n 1.1341m
33.00000n 1.5817k
34.00000n 1.6606m
35.00000n 1.5807k
36.00000n 1.2537m
37.00000n 1.5823k
38.00000n 1.4715m
39.00000n 1.5832k
40.00000n 1.2143m
41.00000n 1.5825k
42.00000n 1.4034m
43.00000n 1.5824k
44.00000n 1.2200m
45.00000n 1.5818k
46.00000n 1.4392m
47.00000n 1.5832k
48.00000n 1.1712m
49.00000n 1.5818k
50.00000n 1.6430m
51.00000n 1.5824k
52.00000n 1.6705m
53.00000n 1.5818k
54.00000n 1.3363m
55.00000n 1.5812k
56.00000n 1.2908m
57.00000n 1.5828k
58.00000n 1.5522m
59.00000n 1.5814k
60.00000n 1.3911m
61.00000n 1.5813k
62.00000n 1.4663m
63.00000n 1.5823k
64.00000n 1.3400m
65.00000n 1.5815k
66.00000n 1.5924m
67.00000n 1.5818k
68.00000n 1.2688m
69.00000n 1.5810k
70.00000n 1.4613m
71.00000n 1.5818k
72.00000n 1.3051m
73.00000n 1.5820k
74.00000n 1.5832m
75.00000n 1.5816k
76.00000n 1.2613m
77.00000n 1.5826k
78.00000n 1.4282m
79.00000n 1.5834k
80.00000n 1.2424m
81.00000n 1.5823k
82.00000n 1.9465m
83.00000n 1.5815k
84.00000n 1.5747m
85.00000n 1.5813k
86.00000n 1.4122m
87.00000n 1.5823k
88.00000n 1.3185m
89.00000n 1.5819k
90.00000n 1.5216m
91.00000n 1.5811k
92.00000n 1.3139m
93.00000n 1.5810k
94.00000n 1.5520m
95.00000n 1.5819k
96.00000n 1.2632m
97.00000n 1.5815k
98.00000n 1.8370m
99.00000n 1.5828k
100.00000n 1.5834m
101.00000n 1.5823k
102.00000n 1.4310m
103.00000n 1.5825k
104.00000n 1.2293m
105.00000n 1.5825k
106.00000n 1.3913m
107.00000n 1.5823k
108.00000n 1.2098m
109.00000n 1.5818k
110.00000n 1.4268m
111.00000n 1.5832k
112.00000n 1.1590m
113.00000n 1.5818k
114.00000n 1.6306m
115.00000n 1.5824k
116.00000n 1.6594m
117.00000n 1.5818k
118.00000n 1.3248m
119.00000n 1.5812k
120.00000n 1.2793m
121.00000n 1.5828k
122.00000n 1.5407m
123.00000n 1.5813k
124.00000n 1.3796m
125.00000n 1.5813k
126.00000n 1.4548m
127.00000n 1.5823k
128.00000n 1.3285m
129.00000n 1.5815k
130.00000n 1.6658m
131.00000n 1.5818k
132.00000n 1.4050m
133.00000n 1.5809k
134.00000n 1.3511m
135.00000n 1.5814k
136.00000n 1.4323m
137.00000n 1.5833k
138.00000n 1.3829m
139.00000n 1.5816k
140.00000n 1.2950m
141.00000n 1.5831k
142.00000n 1.3179m
143.00000n 1.5832k
144.00000n 1.3634m
145.00000n 1.5822k
146.00000n 1.8552m
147.00000n 1.5806k
148.00000n 1.5833m
149.00000n 1.5822k
150.00000n 1.4509m
151.00000n 1.5812k
152.00000n 1.3316m
153.00000n 1.5809k
154.00000n 1.5720m
155.00000n 1.5813k
156.00000n 1.3106m
157.00000n 1.5818k
158.00000n 1.3604m
159.00000n 1.5823k
160.00000n 1.2789m
161.00000n 1.5817k
162.00000n 1.8071m
163.00000n 1.5813k
164.00000n 1.2911m
165.00000n 1.5813k
166.00000n 1.2810m
167.00000n 1.5827k
168.00000n 1.1971m
169.00000n 1.5822k
170.00000n 1.3909m
171.00000n 1.5824k
172.00000n 1.2093m
173.00000n 1.5818k
174.00000n 1.4269m
175.00000n 1.5832k
176.00000n 1.1590m
177.00000n 1.5818k
178.00000n 1.6308m
179.00000n 1.5824k
180.00000n 1.6599m
181.00000n 1.5808k
182.00000n 1.3246m
183.00000n 1.5812k
184.00000n 1.2793m
185.00000n 1.5828k
186.00000n 1.5406m
187.00000n 1.5819k
188.00000n 1.3796m
189.00000n 1.5813k
190.00000n 1.4548m
191.00000n 1.5823k
192.00000n 1.3285m
193.00000n 1.5815k
194.00000n 1.6660m
195.00000n 1.5818k
196.00000n 1.4048m
197.00000n 1.5809k
198.00000n 1.3511m
199.00000n 1.5814k
200.00000n 1.4323m
201.00000n 1.5833k
202.00000n 1.3829m
203.00000n 1.5816k
204.00000n 1.2950m
205.00000n 1.5831k
206.00000n 1.3179m
207.00000n 1.5832k
208.00000n 1.3635m
209.00000n 1.5823k
210.00000n 1.5020m
211.00000n 1.5811k
212.00000n 1.3056m
213.00000n 1.5813k
214.00000n 1.3024m
215.00000n 1.5826k
216.00000n 1.1582m
217.00000n 1.5815k
218.00000n 1.4191m
219.00000n 1.5822k
220.00000n 1.1441m
221.00000n 1.5827k
222.00000n 1.2565m
223.00000n 1.5829k
224.00000n 1.1034m
225.00000n 1.5823k
226.00000n 1.7749m
227.00000n 1.5812k
228.00000n 1.4645m
229.00000n 1.5827k
230.00000n 1.5016m
231.00000n 1.5824k
232.00000n 1.4377m
233.00000n 1.5818k
234.00000n 1.6668m
235.00000n 1.5815k
236.00000n 1.4290m
237.00000n 1.5829k
238.00000n 1.4633m
239.00000n 1.5819k
240.00000n 1.3900m
241.00000n 1.5813k
242.00000n 1.7263m
243.00000n 1.5826k
244.00000n 1.4224m
245.00000n 1.5817k
246.00000n 1.2003m
247.00000n 1.5827k
248.00000n 1.2332m
249.00000n 1.5814k
250.00000n 1.3785m
251.00000n 1.5814k
252.00000n 1.1984m
253.00000n 1.5818k
254.00000n 1.4145m
255.00000n 1.5832k
256.00000n 1.1468m
257.00000n 1.5818k
258.00000n 1.6185m
259.00000n 1.5824k
260.00000n 1.6488m
261.00000n 1.5808k
262.00000n 1.3131m
263.00000n 1.5812k
264.00000n 1.2677m
265.00000n 1.5828k
266.00000n 1.5291m
267.00000n 1.5819k
268.00000n 1.3682m
269.00000n 1.5813k
270.00000n 1.4433m
271.00000n 1.5823k
272.00000n 1.3170m
273.00000n 1.5815k
274.00000n 1.7407m
275.00000n 1.5818k
276.00000n 1.5418m
277.00000n 1.5808k
278.00000n 1.4375m
279.00000n 1.5817k
280.00000n 1.1930m
281.00000n 1.5808k
282.00000n 1.4168m
283.00000n 1.5822k
284.00000n 1.1468m
285.00000n 1.5827k
286.00000n 1.2564m
287.00000n 1.5829k
288.00000n 1.1035m
289.00000n 1.5813k
290.00000n 1.6729m
291.00000n 1.5813k
292.00000n 1.3567m
293.00000n 1.5811k
294.00000n 1.3988m
295.00000n 1.5829k
296.00000n 1.2135m
297.00000n 1.5814k
298.00000n 1.4756m
299.00000n 1.5830k
300.00000n 1.2128m
301.00000n 1.5822k
302.00000n 1.3512m
303.00000n 1.5813k
304.00000n 1.1756m
305.00000n 1.5810k
306.00000n 1.8890m
307.00000n 1.5819k
308.00000n 1.5399m
309.00000n 1.5816k
310.00000n 1.3849m
311.00000n 1.5815k
312.00000n 1.1981m
313.00000n 1.5808k
314.00000n 1.4203m
315.00000n 1.5813k
316.00000n 1.1876m
317.00000n 1.5819k
318.00000n 1.3105m
319.00000n 1.5821k
320.00000n 1.1463m
321.00000n 1.5817k
322.00000n 1.6403m
323.00000n 1.5822k
324.00000n 1.3285m
325.00000n 1.5827k
326.00000n 1.4079m
327.00000n 1.5832k
328.00000n 1.1948m
329.00000n 1.5814k
330.00000n 1.3909m
331.00000n 1.5824k
332.00000n 1.2080m
333.00000n 1.5818k
334.00000n 1.4270m
335.00000n 1.5832k
336.00000n 1.1590m
337.00000n 1.5818k
338.00000n 1.6309m
339.00000n 1.5824k
340.00000n 1.6594m
341.00000n 1.5818k
342.00000n 1.3248m
343.00000n 1.5812k
344.00000n 1.2793m
345.00000n 1.5828k
346.00000n 1.5407m
347.00000n 1.5814k
348.00000n 1.3796m
349.00000n 1.5813k
350.00000n 1.4548m
351.00000n 1.5823k
352.00000n 1.3285m
353.00000n 1.5815k
354.00000n 1.6649m
355.00000n 1.5818k
356.00000n 1.3506m
357.00000n 1.5812k
358.00000n 1.3638m
359.00000n 1.5818k
360.00000n 1.2142m
361.00000n 1.5815k
362.00000n 1.4755m
363.00000n 1.5829k
364.00000n 1.2128m
365.00000n 1.5822k
366.00000n 1.3512m
367.00000n 1.5813k
368.00000n 1.1756m
369.00000n 1.5819k
370.00000n 1.7998m
371.00000n 1.5819k
372.00000n 1.6353m
373.00000n 1.5810k
374.00000n 1.5647m
375.00000n 1.5818k
376.00000n 1.3369m
377.00000n 1.5819k
378.00000n 1.5523m
379.00000n 1.5822k
380.00000n 1.3178m
381.00000n 1.5810k
382.00000n 1.4547m
383.00000n 1.5811k
384.00000n 1.2770m
385.00000n 1.5805k
386.00000n 1.7291m
387.00000n 1.5814k
388.00000n 1.5166m
389.00000n 1.5817k
390.00000n 1.3693m
391.00000n 1.5831k
392.00000n 1.2120m
393.00000n 1.5823k
394.00000n 1.3774m
395.00000n 1.5823k
396.00000n 1.1984m
397.00000n 1.5818k
398.00000n 1.4145m
399.00000n 1.5832k
400.00000n 1.1469m
401.00000n 1.5818k
402.00000n 1.6185m
403.00000n 1.5824k
404.00000n 1.6483m
405.00000n 1.5818k
406.00000n 1.3133m
407.00000n 1.5812k
408.00000n 1.2678m
409.00000n 1.5828k
410.00000n 1.5292m
411.00000n 1.5813k
412.00000n 1.3681m
413.00000n 1.5813k
414.00000n 1.4433m
415.00000n 1.5823k
416.00000n 1.3170m
417.00000n 1.5815k
418.00000n 1.7368m
419.00000n 1.5818k
420.00000n 1.4905m
421.00000n 1.5823k
422.00000n 1.2509m
423.00000n 1.5814k
424.00000n 1.2994m
425.00000n 1.5821k
426.00000n 1.3814m
427.00000n 1.5813k
428.00000n 1.3456m
429.00000n 1.5818k
430.00000n 1.2847m
431.00000n 1.5812k
432.00000n 1.2413m
433.00000n 1.5828k
434.00000n 1.7764m
435.00000n 1.5825k
436.00000n 1.4482m
437.00000n 1.5830k
438.00000n 1.4643m
439.00000n 1.5811k
440.00000n 1.4233m
441.00000n 1.5818k
442.00000n 1.4918m
443.00000n 1.5821k
444.00000n 1.3340m
445.00000n 1.5808k
446.00000n 1.4931m
447.00000n 1.5819k
448.00000n 1.3873m
449.00000n 1.5818k
450.00000n 1.8273m
451.00000n 1.5812k
452.00000n 1.3896m
453.00000n 1.5815k
454.00000n 1.2705m
455.00000n 1.5827k
456.00000n 1.1837m
457.00000n 1.5822k
458.00000n 1.3787m
459.00000n 1.5824k
460.00000n 1.1970m
461.00000n 1.5818k
462.00000n 1.4147m
463.00000n 1.5832k
464.00000n 1.1468m
465.00000n 1.5818k
466.00000n 1.6187m
467.00000n 1.5824k
468.00000n 1.6488m
469.00000n 1.5808k
470.00000n 1.3131m
471.00000n 1.5812k
472.00000n 1.2677m
473.00000n 1.5828k
474.00000n 1.5292m
475.00000n 1.5819k
476.00000n 1.3681m
477.00000n 1.5813k
478.00000n 1.4433m
479.00000n 1.5823k
480.00000n 1.3170m
481.00000n 1.5815k
482.00000n 1.7374m
483.00000n 1.5818k
484.00000n 1.4898m
485.00000n 1.5820k
486.00000n 1.2514m
487.00000n 1.5814k
488.00000n 1.2995m
489.00000n 1.5821k
490.00000n 1.3814m
491.00000n 1.5813k
492.00000n 1.3456m
493.00000n 1.5818k
494.00000n 1.2847m
495.00000n 1.5812k
496.00000n 1.2413m
497.00000n 1.5818k
498.00000n 1.4637m
499.00000n 1.5816k
500.00000n 1.3757m
501.00000n 1.5818k
502.00000n 1.2179m
503.00000n 1.5833k
504.00000n 1.1476m
505.00000n 1.5827k
506.00000n 1.3840m
507.00000n 1.5829k
508.00000n 1.1451m
509.00000n 1.5823k
510.00000n 1.3569m
511.00000n 1.5832k
512.00000n 1.0979m
513.00000n 1.5822k
514.00000n 1.5894m
515.00000n 1.5797k
516.00000n 1.4618m
517.00000n 1.5827k
518.00000n 1.4712m
519.00000n 1.5820k
520.00000n 1.5378m
521.00000n 1.5829k
522.00000n 1.5910m
523.00000n 1.5813k
524.00000n 1.4538m
525.00000n 1.5810k
526.00000n 1.5919m
527.00000n 1.5810k
528.00000n 1.5006m
529.00000n 1.5818k
530.00000n 1.8645m
531.00000n 1.5814k
532.00000n 1.4991m
533.00000n 1.5817k
534.00000n 1.1873m
535.00000n 1.5823k
536.00000n 1.2200m
537.00000n 1.5814k
538.00000n 1.3664m
539.00000n 1.5814k
540.00000n 1.1861m
541.00000n 1.5818k
542.00000n 1.4023m
543.00000n 1.5832k
544.00000n 1.1345m
545.00000n 1.5818k
546.00000n 1.6064m
547.00000n 1.5824k
548.00000n 1.6377m
549.00000n 1.5808k
550.00000n 1.3016m
551.00000n 1.5812k
552.00000n 1.2562m
553.00000n 1.5828k
554.00000n 1.5178m
555.00000n 1.5813k
556.00000n 1.3566m
557.00000n 1.5813k
558.00000n 1.4318m
559.00000n 1.5823k
560.00000n 1.3055m
561.00000n 1.5815k
562.00000n 1.8106m
563.00000n 1.5818k
564.00000n 1.6314m
565.00000n 1.5821k
566.00000n 1.3375m
567.00000n 1.5820k
568.00000n 1.1599m
569.00000n 1.5827k
570.00000n 1.4082m
571.00000n 1.5828k
572.00000n 1.1465m
573.00000n 1.5822k
574.00000n 1.3569m
575.00000n 1.5832k
576.00000n 1.0982m
577.00000n 1.5813k
578.00000n 1.6379m
579.00000n 1.5829k
580.00000n 1.3996m
581.00000n 1.5827k
582.00000n 1.4491m
583.00000n 1.5831k
584.00000n 1.3061m
585.00000n 1.5827k
586.00000n 1.5695m
587.00000n 1.5817k
588.00000n 1.2385m
589.00000n 1.5821k
590.00000n 1.4279m
591.00000n 1.5818k
592.00000n 1.2727m
593.00000n 1.5819k
594.00000n 2.0424m
595.00000n 1.5810k
596.00000n 1.4906m
597.00000n 1.5817k
598.00000n 1.2331m
599.00000n 1.5830k
600.00000n 1.1826m
601.00000n 1.5822k
602.00000n 1.4513m
603.00000n 1.5814k
604.00000n 1.1804m
605.00000n 1.5818k
606.00000n 1.4214m
607.00000n 1.5828k
608.00000n 1.1399m
609.00000n 1.5813k
610.00000n 1.5637m
611.00000n 1.5812k
612.00000n 1.3374m
613.00000n 1.5823k
614.00000n 1.4593m
615.00000n 1.5823k
616.00000n 1.2015m
617.00000n 1.5814k
618.00000n 1.3911m
619.00000n 1.5824k
620.00000n 1.2077m
621.00000n 1.5818k
622.00000n 1.4269m
623.00000n 1.5832k
624.00000n 1.1589m
625.00000n 1.5818k
626.00000n 1.6308m
627.00000n 1.5824k
628.00000n 1.6592m
629.00000n 1.5818k
630.00000n 1.3248m
631.00000n 1.5812k
632.00000n 1.2793m
633.00000n 1.5828k
634.00000n 1.5408m
635.00000n 1.5813k
636.00000n 1.3796m
637.00000n 1.5813k
638.00000n 1.4548m
639.00000n 1.5823k
640.00000n 1.3285m
641.00000n 1.5815k
642.00000n 1.6679m
643.00000n 1.5818k
644.00000n 1.3412m
645.00000n 1.5814k
646.00000n 1.4656m
647.00000n 1.5827k
648.00000n 1.2793m
649.00000n 2.1165k
650.00000n 1.5640m
651.00000n 1.5818k
652.00000n 1.2393m
653.00000n 1.5821k
654.00000n 1.4279m
655.00000n 1.5818k
656.00000n 1.2727m
657.00000n 1.5819k
658.00000n 1.9518m
659.00000n 1.5810k
660.00000n 1.5825m
661.00000n 1.5815k
662.00000n 1.4144m
663.00000n 1.5823k
664.00000n 1.3228m
665.00000n 1.5815k
666.00000n 1.5954m
667.00000n 1.5827k
668.00000n 1.3197m
669.00000n 1.5810k
670.00000n 1.5531m
671.00000n 1.5819k
672.00000n 1.2734m
673.00000n 1.5808k
674.00000n 1.7414m
675.00000n 1.5821k
676.00000n 1.5329m
677.00000n 1.5815k
678.00000n 1.4193m
679.00000n 1.5824k
680.00000n 1.2161m
681.00000n 1.5825k
682.00000n 1.3791m
683.00000n 1.5823k
684.00000n 1.1975m
685.00000n 1.5818k
686.00000n 1.4145m
687.00000n 1.5832k
688.00000n 1.1467m
689.00000n 1.5818k
690.00000n 1.6184m
691.00000n 1.5824k
692.00000n 1.6482m
693.00000n 1.5818k
694.00000n 1.3133m
695.00000n 1.5812k
696.00000n 1.2678m
697.00000n 1.5828k
698.00000n 1.5293m
699.00000n 1.5813k
700.00000n 1.3681m
701.00000n 1.5813k
702.00000n 1.4433m
703.00000n 1.5823k
704.00000n 1.3170m
705.00000n 1.5815k
706.00000n 1.7398m
707.00000n 1.5818k
708.00000n 1.4812m
709.00000n 1.5814k
710.00000n 1.3550m
711.00000n 1.5828k
712.00000n 1.4113m
713.00000n 1.5829k
714.00000n 1.3832m
715.00000n 1.5811k
716.00000n 1.2946m
717.00000n 1.5815k
718.00000n 1.3187m
719.00000n 1.5826k
720.00000n 1.3364m
721.00000n 1.5827k
722.00000n 1.7890m
723.00000n 1.5811k
724.00000n 1.5534m
725.00000n 1.5817k
726.00000n 1.4524m
727.00000n 1.5828k
728.00000n 1.3336m
729.00000n 1.5813k
730.00000n 1.5756m
731.00000n 1.5808k
732.00000n 1.3096m
733.00000n 1.5811k
734.00000n 1.3615m
735.00000n 1.5818k
736.00000n 1.2794m
737.00000n 1.5812k
738.00000n 1.7779m
739.00000n 1.5817k
740.00000n 1.3449m
741.00000n 1.5813k
742.00000n 1.2698m
743.00000n 1.5827k
744.00000n 1.1841m
745.00000n 1.5823k
746.00000n 1.3786m
747.00000n 1.5824k
748.00000n 1.1969m
749.00000n 1.5818k
750.00000n 1.4146m
751.00000n 1.5832k
752.00000n 1.1467m
753.00000n 1.5818k
754.00000n 1.6186m
755.00000n 1.5824k
756.00000n 1.6486m
757.00000n 1.5808k
758.00000n 1.3131m
759.00000n 1.5812k
760.00000n 1.2678m
761.00000n 1.5828k
762.00000n 1.5291m
763.00000n 1.5819k
764.00000n 1.3681m
765.00000n 1.5821k
766.00000n 1.4434m
767.00000n 1.5823k
768.00000n 1.3170m
769.00000n 1.5815k
770.00000n 1.7404m
771.00000n 1.5818k
772.00000n 1.4805m
773.00000n 1.5814k
774.00000n 1.3550m
775.00000n 1.5828k
776.00000n 1.4113m
777.00000n 1.5819k
778.00000n 1.3835m
779.00000n 1.5811k
780.00000n 1.2949m
781.00000n 1.5827k
782.00000n 1.3186m
783.00000n 1.5815k
784.00000n 1.3367m
785.00000n 1.5829k
786.00000n 1.4647m
787.00000n 1.5812k
788.00000n 1.3159m
789.00000n 1.5828k
790.00000n 1.3069m
791.00000n 1.5830k
792.00000n 1.1615m
793.00000n 1.5813k
794.00000n 1.3584m
795.00000n 1.5827k
796.00000n 1.1441m
797.00000n 1.5822k
798.00000n 1.2560m
799.00000n 1.5824k
800.00000n 1.1033m
801.00000n 1.5819k
802.00000n 1.6851m
803.00000n 1.5818k
804.00000n 1.4282m
805.00000n 1.5812k
806.00000n 1.4984m
807.00000n 1.5829k
808.00000n 1.4389m
809.00000n 1.5814k
810.00000n 1.6667m
811.00000n 1.5818k
812.00000n 1.4299m
813.00000n 1.5814k
814.00000n 1.4638m
815.00000n 1.5808k
816.00000n 1.3906m
817.00000n 1.5809k
818.00000n 1.7631m
819.00000n 1.5822k
820.00000n 1.4868m
821.00000n 1.5818k
822.00000n 1.1898m
823.00000n 1.5827k
824.00000n 1.2232m
825.00000n 1.5814k
826.00000n 1.3662m
827.00000n 1.5814k
828.00000n 1.1862m
829.00000n 1.5818k
830.00000n 1.4022m
831.00000n 1.5832k
832.00000n 1.1345m
833.00000n 1.5818k
834.00000n 1.6063m
835.00000n 1.5824k
836.00000n 1.6376m
837.00000n 1.5808k
838.00000n 1.3016m
839.00000n 1.5812k
840.00000n 1.2562m
841.00000n 1.5828k
842.00000n 1.5176m
843.00000n 1.5819k
844.00000n 1.3566m
845.00000n 1.5813k
846.00000n 1.4318m
847.00000n 1.5823k
848.00000n 1.3055m
849.00000n 1.5815k
850.00000n 1.8137m
851.00000n 1.5818k
852.00000n 1.6227m
853.00000n 1.5804k
854.00000n 1.4439m
855.00000n 1.5823k
856.00000n 1.1997m
857.00000n 1.5814k
858.00000n 1.3582m
859.00000n 1.5828k
860.00000n 1.1450m
861.00000n 1.5822k
862.00000n 1.2559m
863.00000n 1.5824k
864.00000n 1.1034m
865.00000n 1.5808k
866.00000n 1.6256m
867.00000n 1.5817k
868.00000n 1.3613m
869.00000n 1.5826k
870.00000n 1.3698m
871.00000n 1.5827k
872.00000n 1.2151m
873.00000n 1.5819k
874.00000n 1.4733m
875.00000n 1.5832k
876.00000n 1.2183m
877.00000n 1.5820k
878.00000n 1.3563m
879.00000n 1.5828k
880.00000n 1.1807m
881.00000n 1.5814k
882.00000n 1.8967m
883.00000n 1.5825k
884.00000n 1.5544m
885.00000n 1.5817k
886.00000n 1.3476m
887.00000n 1.5811k
888.00000n 1.2010m
889.00000n 1.5803k
890.00000n 1.4832m
891.00000n 1.5818k
892.00000n 1.1918m
893.00000n 1.5821k
894.00000n 1.3214m
895.00000n 1.5824k
896.00000n 1.1504m
897.00000n 1.5813k
898.00000n 1.7570m
899.00000n 1.5817k
900.00000n 1.4065m
901.00000n 1.5826k
902.00000n 1.3992m
903.00000n 1.5822k
904.00000n 1.1820m
905.00000n 1.5814k
906.00000n 1.3786m
907.00000n 1.5824k
908.00000n 1.1957m
909.00000n 1.5818k
910.00000n 1.4147m
911.00000n 1.5832k
912.00000n 1.1467m
913.00000n 1.5818k
914.00000n 1.6187m
915.00000n 1.5824k
916.00000n 1.6481m
917.00000n 1.5818k
918.00000n 1.3133m
919.00000n 1.5812k
920.00000n 1.2678m
921.00000n 1.5828k
922.00000n 1.5293m
923.00000n 1.5813k
924.00000n 1.3681m
925.00000n 1.5813k
926.00000n 1.4433m
927.00000n 1.5823k
928.00000n 1.3170m
929.00000n 1.5815k
930.00000n 1.7392m
931.00000n 1.5818k
932.00000n 1.4297m
933.00000n 1.5822k
934.00000n 1.4145m
935.00000n 1.5823k
936.00000n 1.2144m
937.00000n 1.5819k
938.00000n 1.4733m
939.00000n 1.5833k
940.00000n 1.2182m
941.00000n 1.5831k
942.00000n 1.3563m
943.00000n 1.5828k
944.00000n 1.1807m
945.00000n 1.5814k
946.00000n 1.8012m
947.00000n 1.5816k
948.00000n 1.6493m
949.00000n 1.6692k
950.00000n 1.5305m
951.00000n 1.5828k
952.00000n 1.3365m
953.00000n 1.5815k
954.00000n 1.6167m
955.00000n 1.5835k
956.00000n 1.3248m
957.00000n 1.5814k
958.00000n 1.4609m
959.00000n 1.5826k
960.00000n 1.2820m
961.00000n 1.5810k
962.00000n 1.8396m
963.00000n 1.5809k
964.00000n 1.5877m
965.00000n 1.5827k
966.00000n 1.3674m
967.00000n 1.5832k
968.00000n 1.1985m
969.00000n 1.5823k
970.00000n 1.3651m
971.00000n 1.5823k
972.00000n 1.1861m
973.00000n 1.5818k
974.00000n 1.4022m
975.00000n 1.5832k
976.00000n 1.1346m
977.00000n 1.5818k
978.00000n 1.6063m
979.00000n 1.5824k
980.00000n 1.6370m
981.00000n 1.5818k
982.00000n 1.3018m
983.00000n 1.5812k
984.00000n 1.2562m
985.00000n 1.5828k
986.00000n 1.5178m
987.00000n 1.5813k
988.00000n 1.3566m
989.00000n 1.5813k
990.00000n 1.4318m
991.00000n 1.5823k
992.00000n 1.3055m
993.00000n 1.5815k
994.00000n 1.8094m
995.00000n 1.5818k
996.00000n 1.5732m
997.00000n 1.5815k
998.00000n 1.3045m
999.00000n 1.5809k
1.00000u 1.2998m
1.00100u 1.5829k
1.00200u 1.3926m
1.00300u 1.5830k
1.00400u 1.3537m
1.00500u 1.5832k
1.00600u 1.2909m
1.00700u 1.5817k
1.00800u 1.2463m
1.00900u 1.5822k
1.01000u 1.8370m
1.01100u 1.5820k
1.01200u 1.4765m
1.01300u 1.5830k
1.01400u 1.4512m
1.01500u 1.5789k
1.01600u 1.4295m
1.01700u 1.5829k
1.01800u 1.5540m
1.01900u 1.5820k
1.02000u 1.3418m
1.02100u 1.5819k
1.02200u 1.4918m
1.02300u 1.5823k
1.02400u 1.3537m
1.02500u 1.5829k
1.02600u 1.8660m
1.02700u 1.5824k
1.02800u 1.4001m
1.02900u 1.5817k
1.03000u 1.3815m
1.03100u 1.5828k
1.03200u 1.1702m
1.03300u 1.5825k
1.03400u 1.3684m
1.03500u 1.5824k
1.03600u 1.1836m
1.03700u 1.5818k
1.03800u 1.4025m
1.03900u 1.5832k
1.04000u 1.1344m
1.04100u 1.5818k
1.04200u 1.6065m
1.04300u 1.5824k
1.04400u 1.6375m
1.04500u 1.5808k
1.04600u 1.3016m
1.04700u 1.5812k
1.04800u 1.2562m
1.04900u 1.5828k
1.05000u 1.5178m
1.05100u 1.5813k
1.05200u 1.3566m
1.05300u 1.5813k
1.05400u 1.4318m
1.05500u 1.5823k
1.05600u 1.3055m
1.05700u 1.5815k
1.05800u 1.8103m
1.05900u 1.5818k
1.06000u 1.5723m
1.06100u 1.5815k
1.06200u 1.3037m
1.06300u 1.5809k
1.06400u 1.2999m
1.06500u 1.5823k
1.06600u 1.3926m
1.06700u 1.5830k
1.06800u 1.3537m
1.06900u 1.5832k
1.07000u 1.2909m
1.07100u 1.5817k
1.07200u 1.2463m
1.07300u 1.5822k
1.07400u 1.5373m
1.07500u 1.5825k
1.07600u 1.3742m
1.07700u 1.5813k
1.07800u 1.2180m
1.07900u 1.5828k
1.08000u 1.1485m
1.08100u 1.5822k
1.08200u 1.3247m
1.08300u 1.5823k
1.08400u 1.1448m
1.08500u 1.5818k
1.08600u 1.3613m
1.08700u 1.5832k
1.08800u 1.0934m
1.08900u 1.5829k
1.09000u 1.7289m
1.09100u 1.5814k
1.09200u 1.3681m
1.09300u 1.5823k
1.09400u 1.4708m
1.09500u 1.5816k
1.09600u 1.5398m
1.09700u 1.5829k
1.09800u 1.6576m
1.09900u 1.5812k
1.10000u 1.4584m
1.10100u 1.5822k
1.10200u 1.5977m
1.10300u 1.5822k
1.10400u 1.4587m
1.10500u 1.5811k
1.10600u 1.9096m
1.10700u 1.5819k
1.10800u 1.5508m
1.10900u 1.5818k
1.11000u 1.1762m
1.11100u 1.5824k
1.11200u 1.2069m
1.11300u 1.5814k
1.11400u 1.3542m
1.11500u 1.5814k
1.11600u 1.1737m
1.11700u 1.5818k
1.11800u 1.3900m
1.11900u 1.5832k
1.12000u 1.1222m
1.12100u 1.5818k
1.12200u 1.5942m
1.12300u 1.5824k
1.12400u 1.6264m
1.12500u 1.5808k
1.12600u 1.2901m
1.12700u 1.5812k
1.12800u 1.2447m
1.12900u 1.5828k
1.13000u 1.5061m
1.13100u 1.5819k
1.13200u 1.3451m
1.13300u 1.5821k
1.13400u 1.4203m
1.13500u 1.5823k
1.13600u 1.2940m
1.13700u 1.5828k
1.13800u 1.1677m
1.13900u 1.5833k
1.14000u 968.7658u
1.14100u 1.5830k
1.14200u 962.6200u
1.14300u 1.5830k
1.14400u 962.5967u
1.14500u 1.5830k
1.14600u 962.6003u
1.14700u 1.5830k
1.14800u 962.5900u
1.14900u 1.5830k
1.15000u 962.5839u
1.15100u 1.5830k
1.15200u 962.5810u
1.15300u 1.5830k
1.15400u 962.5790u
1.15500u 1.5830k
1.15600u 962.5772u
1.15700u 1.5830k
1.15800u 962.5757u
1.15900u 1.5830k
1.16000u 962.5745u
1.16100u 1.5830k
1.16200u 962.5736u
1.16300u 1.5830k
1.16400u 962.5730u
1.16500u 1.5830k
1.16600u 962.5730u
1.16700u 1.5830k
1.16800u 962.5733u
1.16900u 1.5830k
1.17000u 962.5743u
1.17100u 1.5830k
1.17200u 962.5756u
1.17300u 1.5830k
1.17400u 962.5771u
1.17500u 1.5830k
1.17600u 962.5785u
1.17700u 1.5830k
1.17800u 962.5794u
1.17900u 1.5830k
1.18000u 962.5799u
1.18100u 1.5830k
1.18200u 962.5803u
1.18300u 1.5830k
1.18400u 962.5804u
1.18500u 1.5830k
1.18600u 962.5806u
1.18700u 1.5830k
1.18800u 962.5806u
1.18900u 1.5830k
1.19000u 962.5807u
1.19100u 1.5830k
1.19200u 962.5808u
1.19300u 1.5830k
1.19400u 962.5807u
1.19500u 1.5830k
1.19600u 962.5807u
1.19700u 1.5830k
1.19800u 962.5807u
1.19900u 1.5830k
1.20000u 962.5808u
y
***** job concluded
****** HSPICE -- E-2010.12-SP1 32-BIT (Feb 23 2011) linux ******
******
* lvs netlist generated with icnet by 'hlt0001' on wed apr 29 2015 at 19:56:52
****** job statistics summary tnom= 25.000 temp= 25.000 *****
****** Machine Information ******
CPU:
model name : Intel(R) Xeon(R) CPU X5650 @ 2.67GHz
cpu MHz : 1862.000
OS:
Linux version 2.6.32-504.12.2.el6.x86_64 ([email protected]) (
gcc version 4.4.7 20120313 (Red Hat 4.4.7-11) (GCC) ) #1 SMP Wed Mar 11 22:03:1
4 UTC 2015
****** HSPICE Threads Information ******
Command Line Threads Count : 1
Available CPU Count : 24
Actual Model Evaluation(Load) Threads Count : 1
Actual Solver Threads Count : 1
****** Circuit Statistics ******
# nodes = 1337 # elements = 294
# resistors = 0 # capacitors = 0 # inductors = 0
# mutual_inds = 0 # vccs = 0 # vcvs = 0
# cccs = 0 # ccvs = 0 # volt_srcs = 2
# curr_srcs = 0 # diodes = 0 # bjts = 0
# jfets = 0 # mosfets = 292 # U elements = 0
# T elements = 0 # W elements = 0 # B elements = 0
# S elements = 0 # P elements = 0 # va device = 0
# vector_srcs = 10
****** Runtime Statistics (seconds) ******
analysis time # points tot. iter conv.iter
op point 0.15 1 105
transient 736.94 1201 798535 93062 rev= 2611
readin 0.02
errchk 0.00
setup 0.01
output 0.01
peak memory used 81.56 megabytes
total cpu time 737.13 seconds
total elapsed time 739.33 seconds
job started at 22:20:26 04/29/2015
job ended at 22:32:45 04/29/2015
lic: Release hspice token(s)