10.1.1.76.2329

Upload: naga-karthik

Post on 03-Apr-2018

213 views

Category:

Documents


0 download

TRANSCRIPT

  • 7/28/2019 10.1.1.76.2329

    1/7

    IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 27,NO 12,DECEMBER 1992 1927

    A 288-kb Fully Parallel Content Addressable MemoryUsing a Stacked-Capacitor Cell Structure

    Tadato Yamagata, Masaaki Mihara, Takeshi Hamamoto, Yasumitsu Murai,Toshifumi Kobayashi, Member, IEEE, Michihiro Yamada, and Hideyuki Ozaki

    AZNract-Thk paper describes a 288-kb (8K words X 36 b)fully parallel content addressable memory (CAM) LSI using acompact dynamic CAM cell with a stacked-capacitor structureand a novel hierarchical priority encoder. The stacked-capac-itor structure results in a very compact dynamic CAM cell (66pmz) which is operationally stable. The novel hierarchicalpriority encoder reduces the circuit area and power dissipa-tion. In addition, a new priority decision circuit is introduced.The chip size is 10.3 X 12.0 mmz using a 0.8-~m CMOS processtechnology. A typical search cycle time of 150 ns and a maxi-mum power dksipation of 1.1 W have been obtained using cir-cuit simulation. In fabricated CAM chips, we have verified theperformance of a search operation at a 170-ns cycle and haveachieved a typical read/write cycle time of 120 ns. This CAMLSI performs large-scale search operations very efficiently, andtherefore, has the possibility of broad applications to high-per-formance artificial intelligence machines and data-base sys-tems.

    I. lNTRODUCTIONDATA processing that involves many search opera-tions performed by software consumes enormoustime. This is a hindrance to high-speed data processing.A fully parallel content addressable memory (CAM) com-pares search data with storage data in a parallel fashion,and is extremely suitable for high-speed data searching.A search operation carried out by a fully parallel CAM isseveral hundred times faster than that performed by soft-ware [1]. Therefore, there have been many studies thathave addressed the applicability of CAMs to artificial in-telligence (AI) machines, data-base systems, and so on inwhich search operations are conducted frequently. For ex-ample, the studies on a Lisp machine [2], a Prolog ma-chine [3], [4], a data-base accelerator [1], [5], [6], [7],pattern inspection [8], address filtering for local area net-works [9], TLB for a RISC processor [10], and othershave been reported.Similarly, there have been many studies concerning

    high-density CAMs that use LSI technology, ever sincea CAM cell using MOS transistors was introduced in 1966Manuscript received January 31, 1992; revised July 14, 1992.T. Yamagata, M. Mihara, T. Hamamoto, T. Kobayashi, and H. Ozakiare with the LSI Laboratory, Mitsubishi Electric Corporation. 4-1 Mizu-hara, Itami, Hyogo 664, Japan.Y. Murai is with the LSI Design Center, Mitsubishi Electric EngineeringCompany Ltd., 4-61-5 Higashino, Itami, Hyogo 664, Japan.M. Yamada is with Kits-Itami Works, Mitsubishi Electric Corporation,4-1 Mizuhara. Itami, Hyogo 664, Japan.IEEE Log Number 9204136.

    [11]. It has been difficult to develop a large-bit-capacityCAM, because fully parallel CAMs require an EXCLU-SIVE-NOR circuit in each CAM cell for a match operation.Representative attempts to rectify this problem are a 1-kbCAM in 1983 [12], 4- and 8-kb CAMs in 1985 [13],[14], and a 20-kb CAM in 1989 [15]. However, CAMswith larger bit capacities are required for large-scale datasearching and for broadening CAM applications.In this paper, a compact dynamic CAM cell with astacked capacitor structure and a novel hierarchical prior-ity encoder are proposed for expanded large-scale inte-gration. Utilizing these new techniques, a 288-kb (8Kwords x 36 b) fully parallel CAM has been successfullydeveloped. In order to achieve high-performance datasearching, this CAM LSI was also designed to performvarious functions. In the following sections, the CAMcell, the novel priority encoder, the chip architecture, andthe functions and features of this CAM LSI are described[16].II. DYNAMIC CAM CELL WITH STACKED-CAPACITOR

    STRUCTUREConventional CAMs use a static CAM cell, whichkeeps storage data in a latch, to accomplish a stable op-eration [12][15]. Static CAM cells, however, take uplarge areas of the chip due to the large numbers of tran-

    sistors in the cell. Therefore, the static-type cell is notsuitable for high-density CAMs. To address this prob-lem, a dynamic CAM cell that stores data on the gate ca-pacitance of transistors has been proposed [17], [18]. Thedynamic-type cell provides a compact cell area due to thesmaller number of transistors required. However, there isstill a serious problem with the conventional dynamicCAM cells. In the dynamic cells, since a charge is storedon the gate capacitance of a transistor, the storage capac-itance decreases as the transistor size decreases. There-fore, in a compact CAM cell using a submicrometer pro-cess, it is difficult to attain a storage capacitance of morethan 30 fF, which is necessary to be immune from thealpha-particle-induced soft error as described in dynamicRAMs. This section presents an operationally stable,compact, dynamic CAM cell for large-scale integration.The proposed CAM cell is shown in Fig. 1. It consistsof five NMOS transistors and two stacked capacitors [19].Four of the transistors (&l,O,M,l, Mti, MW1)are used to

    0018-9200/92$03 .00 @ 1992 IEEE

  • 7/28/2019 10.1.1.76.2329

    2/7

    1928 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 27,NO. 12,DECEMBER 1

    Stack:d=Capacitor

    MsO

    (a)

    WL

    ML

    Storage Node

    1 1(b)

    Storage Node Cell PlateG~:~#;;) (2 :julator ~il; 3 y )

    &

    Cso Gate ( MsO)( 1 Poly )+ ~n+ ,*n+J n+b

    p - Well

    / p - Substrate )(c)

    Fig, 1. Dynamic CAM cell with stacked-capacitor stnscture. (a) Cell cir-cuit diagram (V.,, = V,.: supply voltage). (b) Layout of CAM cell. Cellsize is 8,8 X 7.5 pmz. (c) Cross-sectional view of CAM cell.store and access data, and one (Md) is used as a diode toisolate current paths during match operations. Charges arestored on stacked capacitors (C,o, C,l) and the ikl~oandM,, gates. The opposite electrodes of the C,. and C,l areconnected to a cell plate voltage VCP,which is equal tohalf VCCVCC:upply voltage). Two bit lines (Bit, Bit) aresupplied with data in write and search operations. Theword line (WL) allows write access to each cell in a word(36 b). The match line (ML) passes through a word toperform a logical AND of the results of each cells com-parison. The ML is also used to read cell data. The stor-age capacitor (C$o) i s stacked on the gate of the M,. andMw, as shown in Fig. 1(c). The gate electrodes of the M~oand MWOare fabricated with the first poly-Si layer. Thestacked capacitor is composed of the second poly-Si layer,the insulator film, and the third poly-Si layer. The secondpoly-Si layer (storage node) is also used to connect thedrain (or source) of the MWOo the gate of the &f$o.Simi-larly, the storage capacitor (C~l) is formed on the gate ofthe M,l and M.l. A SEM micrograph of the CAM cellafter storage node layer formation is shown in Fig. 2.

    Fig. 2. SEM micrograph of CAM cell after storage node formation.

    ,fp

    M.cMwO MwlCSO Csl LMsO MslMd0. WL WLML ML(a) (b)Fig. 3. Match operation of novel CAM cell: the case of (a) match andmismatch. Match result is transferred to match line ML.A write operation is performed by activating a selWL and then driving the bit lines according to the wr

    data. Utilizing this process, the data are written onstacked capacitors and the gates of M,. and M,,, viaMWOnd M.l.A match operation is achieved by precharging bothbit lines and the match lines to a high level, and then loaing the bit lines with search data. As shown in Fig. 3is assumed that high data are stored in a CAM cell. TBit, Bit, and ML are precharged to a high level beforhand. If a high potential and a low potential are suppli

    to the Bit and Bit, respectively, the ML retains the hilevel, and match is detected (Fig. 3(a)). On the othhand, if a low potential and a high potential are supplito the Bit and Bit, respectively, the ML is dischargedthe transistors M$o and Md, and the ML drops to a llevel. Therefore, mismatch is indicated (Fig. 3(b)).this way, match information is transferred to match lineA read operation is accomplished by discharging thelines, and then driving a selected ML to a high level.high data are stored in the cell, the Bit is charged viaMd and Mso from the ML,, and the Bit remains at a lolevel. Similarly, if low data are stored in the cell, theremains at a low level and the Bit is charged. In this wa

    the storage data in the cell are read out on the bit linesIn the novel CAM cells, since a stacked capacitoradopted as the storage capacitor, a storage capacitance90 fF is attained in a 66-lum2 CAM cell using a O.8-~CMOS process. This is sufficient for the high soft-errimmunity and provides stable performance of the oper

  • 7/28/2019 10.1.1.76.2329

    3/7

    YAMAGATA etal.: 288-kbFULLY PARALLEL CAM USING STACKED-CAPACITOR CELL 1929

    tions mentioned above. Furthermore, it suggests the pos-sibility of achieving a more compact CAM cell by devicescaling.

    III. NOVEL HIERARCHICAL PRIORITY ENCODERWhen a match occurs at several words in a search op-

    eration (multiple response), the CAM outputs the addressof the matched word with the highest priority. A priorityencoder (PE) circuit is utilized for multiple-response res-olution and match address generation. As a bit capacityof CAMs becomes larger, the number of words increasesrather than the bit length of words. Therefore, in a high-density CAM chip, the configuration must be such thatthe cell array is divided into several blocks. This createsa serious problem concerning the layout of the PE. Whenthe PE is incorporated in each block, the silicon area oc-cupied by the PE and the power dissipation of the PE areincreased in proportion to the number of divided blocks.We therefore propose a novel hierarchical PE architecturesuitable for high-density CAMs, as shown in Fig. 4. Inthe architecture, an OR circuit and a switching circuit areprovided in each block, and a main priority encoder(MPE) and a block priority encoder (BPE) are located inthe peripheral area of the CAM array. In a search opera-tion, each OR circuit generates a block-hit signal, whichshows whether matched words exist in the block or not.Then, the block-hit signal is connected to the BPE andscrutinized by the BPE. The BPE then generates an en-coded block address and block-select signals which indi-cate the block with the highest priority. Next, the switch-ing circuit of the selected block is activated, and the matchinformation of the selected block is transferred to theMPE. Finally, the MPE generates an encoded main ad-dress, which combines with the encoded block address toform a match address.In this architecture, the OR circuit and the switching cir-cuit, which occupy a very small silicon area and dissipate

    little power, are located in each block. Furthermore, onlyone BPE and MPE, which have large areas and dissipatemuch power, are placed in the peripheral area. Therefore,an increase in the silicon area and power dissipation inthe PE due to an increase in the number of divided blockscan be suppressed using this architecture.

    IV. CAM ARCHITECTUREA. Basic Architecture and OperationsA block diagram of a 288-kb CAM is shown in Fig. 5.The CAM LSI consists of an 8K word x 36-b memory

    cell array, an address decoder for address access opera-tions, a PE for search operations, registers, 110 buffers,and control circuits managed by instructions from exter-nal ports. Since the CAM utilizes dynamic-type CAMcells, a refresh operation is needed. Therefore, a refreshaddress counter is also prepared for the refresh operation.The PE has the hierarchical architecture previously de-scribed, the details of which will be discussed in the next

    Fig. 4. Novel hierarchical priority encoder. BPE indicates block with thehighest priority and MPE indicates word with the highest priority in theselected block.

    instruction

    Data or

    Match Adr. Reg.l I Adr,Reg. I lData Reg. 1 l Maak Reg.

    Array Interfacehv, 13 0 CAM Cel l Array%o + u+ & -Wit( 8kword x 36bit )4a k

    Fig, 5. Block diagram of 288-kb CAM.

    section. A match address register contains the match ad-dress received from the PE after a search operation. Anaddress register is provided to store an address for writeand read operations. A data register keeps search and writedata from external ports and read data from the memorycell array. The search and write data can be masked bymask control bits contained in a mask register, for maskedsearch operations and partial writing, respectively.The search, write, and read operations are performedin one cycle, between the CAM cell array and registers.One cycle is also required for data transmission betweenthe registers and the external ports. The search operationis carried out as follows: in the first cycle, 36-b researchdata are transferred from the external ports to the dataregister via data buses; in the second cycle, the searchdata are simultaneously compared with 8K words storedin the CAM cell array, and the match address is thentransferred to the match address register; and in the thirdcycle, the match address is output to the external ports viathe data buses. Therefore, content addressing is achievedin three cycles. Similarly, the write and read operation byan address access can be performed in three cycles. In therefresh cycle, an internal address generated by the refreshaddress counter is supplied to the address decoder via amultiplexer. All CAM cell data are refreshed by 512 re-fresh cycles.In addition, the CAM can perform logical ANDfORop-erations between previous and curent search results, and

  • 7/28/2019 10.1.1.76.2329

    4/7

    1930 IEEE JOuRNAL OF sOLID-sTATE CIRcUITS,VOL. 27,NO. 12,DECEMBER 1

    TABLE IINSTRUCTIONSOF 288-kb CAM

    Searchq search wordq OR searchq AND searchq LINK searchq NEXT searchq search empty word

    Write q write data to addressed wordq write data to matched word

    Read q read data from addressed wordq read data from matched word

    Empty * empty addressed wordq empty matched words in parallel

    36Bits

    13lockController

    *

    , : llnDrlDon*. . . . . . . . . . . . . . . . . . . . . . . . . . .

    ! i Iluunnnn!. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Fig. 6. CAM cell array architecture. CAM array is divided into 32 blocOne BPE and four MPEs are placed for novel PE architecture.

    q resetothersq refresh

    can store the results in match flags which are providedeach word and show whether the word is matched or not(AND/ORsearch). This function facilitates relational searchoperations such as less-than search [15]. The CAM canalso carry out a logical ANDoperation among search re-sults of two or more successive words for wide-bandsearching (LINKsearch) [15]. When a multiple-responseoccurs in a search operation, the CAM can output the ad-dress of the next most closely matched word after gener-ating the match address with the highest priority (NEXTsearch). By repeating this operation, the CAM can outputmatch addresses in order of priority. Furthermore, emptyflags are provided every word location to indicate whetherthe word is empty. The CAM LSI can empty desiredwords by address access, and also empty matched wordsat once. Moreover, the CAM can search for empty wordsand output the addresses of empty words. The main in-structions of the CAM LSI are summarized in Table I.The functions previously mentioned, in combination witha 288-kb memory cell array, contribute to the actualiza-tion of high-performance data processing.B. CAM Cell Array ArchitectureThe memory cell array architecture is shown in Fig. 6.The CAM array is divided into 32 blocks. Each block hasa CAM cell subarray of 256 words x 36 b, a word op-

    eration circuit, a bit operation circuit, and a block con-troller. The word operation circuit drives the WLS andMLs in write and read operations and latches search re-sult signals in every words match flags. The word oper-ation circuit also contains the ORcircuit and the switchingcircuit of the new priority encoder described in SectionHI. The bit operation circuit supplies the CAM cell sub-array with data from the array data buses during searchand wlite operations, and provides CAM cell data to thearray data buses during read operations. The block con-troller manages the word operation circuit and the bit op-eration. circuit. One BPE and four MPEs are placed for

    the novel hierarchical PE architecture, and each MPEconnected to eight CAM cell blocks, as shown in Fig.Moreover, data drivers and amplifiers that are connecteto the array data buses are povided as interfaces betwethe peripheral circuit and the CAM array.The block-hit signals generated in every block are se

    to the BPE in parallel, and the search result of the selectblock, from among 32, is transferred to one of the foMPEs by block-select signals from the BPE. The oththree MPEs are not activated. By utilizing this uniqhierarchical PE, the area the PE occupies is only 12%the CAM arrays total area. (A conventional PE occupi35%.)

    V, MULTIPLE-RESPONSE RESOLVERA multiple-response resolver (MRR) in the BPE is d

    signed using a binary tree structure [20], as illustratedFig. 7. In a basic selection circuit, HBO and L?B1 are hsignals from lower level circuits, and SBO and SB1select-signals sent to the lower-level circuits. HBA ilogical OR result of HBO and HB1, and is sent to an upplevel circuit. SBA is a select signal from the upper levcircuit and controls the activation of the basic selecticircuit. Here, it is assumed that SBA is activated (SBA1). If ffBo = 1,>> SBO is activated and SB1 isactivated, in spite of the value of HB1. SB1 is activatonly when HBO = O and HBl = l. When SBAnot activated (SBA = O), both SBO and SB 1 are nactivated. The hit signals (HBO, HB1) and select signa(SBO, SB1) in the lowest level circuits correspond toblock-hit signals from the {CAMcell blocks and the blocselect signals to the blocks, respectively. The HBA ofcircuit with the highest level indicates whether therematched words in the CAM LSI (shown as Hit in F7). As a result, the block with the highest priority matchword is selected by the block-select signals.Fig. 8 illustrates the configuration of a MRR inMPE. This MRR has a tree structure based on lookaheacircuits for high-speed operation. MOM255 are matsignals from 256 words in the CAM cell block selectby the BPE. Conventional four-input Iookahead priori

  • 7/28/2019 10.1.1.76.2329

    5/7

    YAMAGATA C?al.: 288-kbFULLY PARALLEL CAM USING STACKED-CAPACITOR CELL 1931Basic selection circuit

    CAM Cel l

    /

    . . . . . . . . . . . . . . . . . . > . . . .In

    l q .4Js q q q -tf~Fig. 7. Multiple-response resolver in BPE. The circuit is designed usinga binary tree structure.

    /MO; I \

    M2 ;7

    3*

    qqq

    I till?-,l ;

    I NewPrioritv

    From{

    :256, .,-. A- !,,.,,,,,,,,,,,; TIHM!MA

    ] SM; MA eMo.. .

    ,J& Decisi&rCircuitti!bMo J k

    ..,,.,,,,.~ q M&A~A8 -inputs 1 HMAe...q .,.,,..3} To Encoder/................

    Fig. 8. Multiple-response resolver in MPE. The MRR has a tree structurebased on lookahead circuits for high-speed operation.

    HMO SMOHMI SM1

    HM2 SM2

    HM3 SM3

    HM4 SM4

    ttM5 SM5

    HM6 SM6

    HM7 , 1 SM7~ HMA SMAFig. 9. New priority decision circuit. The circuit scheme b[ings small cir-cuit area because an OR circuit to generate HMA is merged in the lookaheadcircuit.

    decision circuits are used for first level of the tree struc-ture, and new eight-input lookahead circuits are adoptedfor the second and third levels. Fig. 9 shows the newpriority decision circuit. HMO-lW17 are hit signals fromlower level circuits, and SMO-SM7 are select signals sentto the lower level circuits. SMA is a select signal from anupper level circuit and controls the activation of SMOSM7. Here, it is assumed that SMA is activated (SMA =1) .IfEZMOisl, SM1SM7 with lower priority areinhibited from outputting and only SMO is activated.

    6 l----85E-95 I I 1!;4$3 . 7 lBit Hit ;1- Bit PA22 : Addrees~1

    o - H-,,,.,,,., * K!., ~o 20 40 60 80 100 120

    TIME (ns)Fig. 10. Simulation result of search operation. PA is activating signal ofPE.

    Fig. 11. Photomicrograph of 288-kb CAM.

    When HMO is O and HM1 is l, only SM1 is acti-vated. In this way, the outputs are decided based on thehit signal with the highest priority. Furthermore, an ORcircuit used to generate a hit-signal HMA, which is trans-ferred to an upper level circuit, is merged in the look-ahead circuit. The number of transistors is very smallcompared with that of a circuit utilizing conventional logicgates. Therefore, the new circuit contributes to circuit areareduction.

    VI. CIRCUITSIMULATIONFig. 10 shows the simulation result of a search opera-tion at 5 V of power supply. The generation time of amatch address is 85 ns, from the time the search data isloaded on the bit lines. The encoding time of this new PEis 40 ns, and the typical cycle time is 150 ns. The maxi-mum power dissipated in the PE is 52.2 mW at 6.7-MHzand 5-V operation, which is 20 times less than that ofconventional PE architecture. The maximum power dis-sipation of the chip is 1.1 W at 6.7-MHz and 5-V opera-tion.

  • 7/28/2019 10.1.1.76.2329

    6/7

    1932 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 27,NO. 12,DECEMBER 1

    sFE

    Match ResultoutputMatchAddress

    Fig. 12. Measured waveforms in search operation at V

  • 7/28/2019 10.1.1.76.2329

    7/7

    YAMAGATAet a l. : 288-kbFULLY PARALLEL CAM USING STACKED-CAPACITOR CELL 1933sociative memory LSI forartiticial intelligence machines, D?EJ?.J.Solid-Stare Circuits, vol. 24, pp. 1014-1020, Aug. 1989,[16] T. Yamagata, M. Mihara, T. Hamamoto, T. Kobayashi, and M. Ya-mada, A 288-kbit fully parallel content addressable memory usingstacked capacitor cell structure, inl%-oc. CICC, May 1991, p. 10-3.[17] J. L. Mundy, J. F. Burgess, R. E. Joynson, and C. Neugebauer,Low-cost associative memory, IEEEJ. Solid-State Circuits, vol.SC-7, pp.364-369,0ct. 1972.[18] J. P. Wade and C. G. Sodini, Dynamic cross-coupied bitline con-tent addressable memory cell for high density arrays, in IEDM Tech.Dig., Dec. 1985, pp. 284-287.[19] M. Koyanagi, H. Sunami, and N. Hashimoto, Novelhighdensity,stacked capacitor MOSRAM,.lapan. J. Appl. F?rys. ,vol. 18, suppL18-l, pp. 35-42, 1979.[20] T. Kohonen, Conrent-Addressable Memories. New York: Springer-Verlag, 1980.

    Tadato Yamagata was born in Osaka, Japan, onNovember 27, 1957. He received the B.S. andM.S. degrees inelectric engineering from OsakaUniversi ty, Osaka, Japan, in 1981 and 1983, re-spectiveiy.Hejoined tbe LSI Laboratory, Mitsubishi Elec-tric Corporation, Itami, Japan, in April 1983.Since then he has engaged in the design of MOSdynamic RAMs, content addressable memories,and MOS static RAMs.Mr. Yamagata is a member of the Institute ofElectronics, Information and Communication Engineers of Japan.

    Masaaki Mlhara was born in Hyogo, Japan, onApril 13, 1961. He received the B.S. and M.S.degrees in electronic engineering from the OsakaCity University, Osaka, Japan, in 1984and 1983,respectively.In 1986he joined the LSI Research and Devel-opment Laboratory, Mitsubishi Electric Corpora-tion, Hyogo, Japan.

    Takeshi Hamamoto was born in Hyogo, Japan,on November 6, 1963. He received the B.S. de-grees in engineering physics from Kyoto Univer-sity, Kyoto, Japan, in 1986.He joined the LSI Laboratory, Mitsubishi Elec-tric Corporation, Itami, Japan, in April 1987.Since then he has engaged in the design of ASICmemories and MOS dynamic RAMs.

    Yasumitsu Murai was born in Osaka, Japan, onJanuary 27, 1967. He received the B.S. degree inelectrical engineering from Kinki Universi ty,Osaka, Japan, in 1990.He joined the LSI Design Center MitsubishiElectric Engineering Company Limited, Itami,Hyogo, Japan, in 1990. Since then he has beenengaged in the design of MOS memory.

    Toshifumi Kobayashi (M88) was born in Oka-yama, Japan, on August 14, 1955. He receivedthe B.S. and M.S. degrees in electronic engineer-ing from Okayama University, Okayama, Japan,in 1978 and 1980, respectively.In 1980 he joined the LSI Research and Devel-opment Laboratory, Mitsubishi Electric Corpora-tion, Itami, Hyogo, Japan. From 1981to 1989hewas engaged in the design of MOS dynamicRAMs and ASIC memories. Since 1990 he hasbeen involved in the development of amrlicationsystems for 32-b microprocessors. His current resea~ch interes;s- includehigh-performance memory systems, computer architecture, and real-timeoperating systems.Mr. Kobayashi is a member of the Institute of Electronics, Informationand Communication Engineers of Japan.

    Michihiro Yamada was born in Japan on January10, 1950. He received the B.S. degree in appliedphysics from the University of Tokyo, Tokyo, Ja-pan, in 1972, and the Ph.D. degree from OsakaUniversity, Osaka, Japan, in 1984.In 1972 he joined the Central Research Labo-ratories, Mitsubishi Electric Corporation, Ama-gasaki, Japan. In 1973he started research and de-velopment on charge-coupled devices (CCDS). In1976 he transfemed to Mitsubishis LSI Researchand DeVelODIIIentLaboratory.Itami. Jar)an. wherehe has been involved in the development of CCD m&noriesandMOS dy-namic memories. In 1991 he transferred to Mitsubishis Kits-Itami Works,Itami, Japan, where he is currently working on production of MOS dy-namic memories.Dr. Yamada is a member of the Institute of Electronics, Information andCommunication Engineers of Japan.

    Hldeyuki Ozaki was born in Hyogo, Japan, onSeptember 23, 1951. He received the B.S. andM.S. degrees in electrical engineering from KyotoUniversi ty, Kyoto, Japan, in 1975 and 1977, re-spectively, and the Dr. degree in electronic engi-neering from Osaka University, Suita, Japan, in1988.In 1977 he joined the LSI Laboratory, Mitsu-bishi Electric Corporation, Hyogo, Japan. Sincethen he has been engaged in the design of MOSmemories, especially MOS dynamic RAMs.Dr. Ozaki is a member of the Insti tute of Electronics, Information andCommunication Engineera of Japan.