1-digitalna tehnika-predavanja 2011-2012

Upload: alen-dipalo

Post on 17-Jul-2015

1.533 views

Category:

Documents


19 download

TRANSCRIPT

DIGITALNA ELEKTRONIKA Satnica: 2+2 ECTS: 4 LITERATURA U. Peruko,V.Glavini: Digitalni sustavi, kolska knjiga, Zagreb, 2005 Marko upi: Digitalna elektronika i digitalna logika, zbirka rijeenih zadataka, Kigen d.o.o. Zagreb, 2006Preporuena dopunska literatura U. Peruko: Digitalna elektronika, kolska knjiga, Zagreb, 1996. J. upan, M. Tkali, M. Kunti: Logiko projektiranje digitalnih sustava, kolska knjiga, Zagreb, 1994 E.J. McLuskey: Logical Design Principles, Prentice-Hall, Englewood Cliffs, 1986.Sadraj predmeta: 1.Brojevni sustavi i kodovi Brojevni sustavi (decimalni, binarni, heksadecimalni, itd.).Pretvorba brojeva iz jednog u drugi brojevni sustav. Operacije s binarnim brojevima. Pregled karakteristinih binarnih kodova. 2.Logiki sklopovi Logika sudova. Temeljna pravila Booleove algebre. I, ILI, NE, NI, NILI sklopovi. Sloene logike operacije. Minterm i maksterm. Metode minimizacije (K-tablice, Quineova metoda). 3.Izvedba logikih sklopova u poluvodikoj tehnici TTL tehnika. CMOS tehnika. 4.Sloeni kombinacijski moduli Zbrajala. Digitalni komparator. Paritetni sklop. Koder i dekoder. Multipleksor i demultipleksor. 5.Bistabil Nain rada i tipovi bistabila. Izvedba bistabila logikim integriranim sklopovima. 6. Registri i brojila Izvedba i primjena registara. Izvedba brojila. Asinkrona i sinkrona brojila. Dekadska brojila. Primjena brojila. Integrirana brojila 7. D/A i A/D pretvorba UVOD Digitalni sustavi i obrada podataka Pregled tema Podaci i informacija Predstavljanje binarnih brojeva elektriim veliinama Prijenos podataka Problemi elektronike implementacije Pretvorba analognih veliina Osnovna struktura digitalnog sustava Podaci i informacija opaanje razliitih pojava - obiljeja mjerljiva obiljeja - veliine kontinuirane diskretne (vremenski/prostorno) izmjerena vrijednost neke veliine - podatak proces kojim se skup podataka pretvara u informaciju- obrada podataka informacija - moe se prikazati u analognom ilidigitalnom obliku Analogni prikaz podataka mjerena veliina izraava se (drugom) odgovarajuom veliinom razmak izmeu dva zareza (na tapu) elektrina veliina analogna fizikalnoj veliini

Ut senzorsenzor...........obradapodaci informacijaDigitalni prikaz podataka veliina izraena brojem i oznakom standardne jedinice niz impulsattu(t)Pretvorba analognih veliina digitalni sustav u stvarnom svijetu fizikalne veliine: kontinuirane u prostoru (po amplitudi) i vremenu analogne veliine, digitalne veliine Uzorkovanje mjerni pretvornik prikazuje mjerenu veliinuanalognom kontinuiranom elektrinom veliinom(napon) informacija o ponaanju kontinuirane veliine moe se dobiti uzimanjem uzoraka amplitude napona ujednakim vremenskim razmacima - uzorkovanjem (engl. sampling) -diskretizacija po vremenu Shannonov teorem uzorkovanja (1949.) informacija e biti ouvana ako se uzorci uzimaju udiskretnim intervalimat tako da je t =1/(2 fg) fg je gornja granina frekvencija spektra valnog oblika iz kojeg se uzimaju uzorci vremenski diskretan analogni prikaz Kvantizacija da bi se iz analognog oblika dobio digitalni oblik,veliina mora proi analogno/digitalnu pretvorbu(ADC) proces kvantizacije diskretizacija po amplitudi pogreka kvantizacije - uzima se najblii cjelobrojniviekratnik kvanta prikaz broja kvanata u,V Ux3 U Binarni prikaz podataka za prikaz podataka brojevima - proizvoljni brojevnisustav ostvarivanje u tehnikom sustavu - na prikladan nainpredoiti svaku znamenku posebnim fizikim stanjem razliita stanja se moraju jasno prepoznavati imeusobno razlikovati najjednostavnije i najefikasnije - realizacija 2 stanja binarni sustav kao osnova svih digitalnih elektronikih sustava binarna znamenka (0 ili 1) naziva se bit(engl. BInary digiT) u digitalnim sustavima podaci se prikazuju pomougrupe bitova grupa od 8 bitovaoktet (engl. byte) grupa od 4 bitakvartet (engl. nibble) osnovna grupa bitovarije (engl. word) tipino 8, 16, 32, 64, ... bita Blokovi za pohranu na magnetskim medijima koriste se veegrupe rijei - blokovi vrijeme pristupa u usporedbi s vremenom itanjapodataka uinkovitost Ostvarenje binarnog zapisa binarne znamenke: 0 i 1 fiziko predoivanje: mehanika sklopka papirna traka magnetski medij tranzistorska sklopka nositelj informacije: pozitivni i negativni impulsi nizovi impulsa Predoivanje binarnih veliina mehanika sklopka buene kartice, magnetske jezgre 0 1III primjer tranzistorske sklopke TRC+UCCPrikaz naponskim razinama realizacija elektronikim sklopovima - najprikladnije jeznaenje 0 i 1 pridijeliti naponskim razinama (npr. 0 Vbinarna 0, +5 Vbinarna 1) problemi tehnike izvedbe (tolerancije, optereenja,otpornost na smetnje) naponska podruja umjesto razina 1UVUN0Prijenos podataka prijenos informacija (podataka) - primanje i slanje binarna informacija (rije, blok podataka) moe seprenositi paralelno ili serijski Serijski prijenos po jednom vodiu - bitovi slijede u vremenskom nizuu jednakim razmacima razluiti trenutke oitanja vrijednosti pojedinog bita sinkronizacijski (taktni) impulsi CP (Clock Pulse) DCPParalelni prijenos bitovi jedne rijei prenose se paralelno (istovremeno) rijei se prenose slijedno (serijski) bitovi unutar grupe se prenose paralelno, grupe kaocjeline prenose se serijski prijenos podataka po sabirnicama digitalnog sustava Problemi elektronike implementacije tok podataka u digitalnom sustavu - niz pravokutnihnaponskih impulsa u realnim uvjetima elektriki impulsi kojima seostvaruju digitalni podaci nisu idealno pravokutni - impulsna elektronika djelovanje parazitnih kapacitivnosti RuCpUVrijeme kanjenja na ulaz digitalnog sklopa dovode se elektriki impulsi(signali) koji uzrokuju elektrinu promjenu na izlazu pri prolazu kroz sklopovlje impulsima je potrebnoneko vrijeme vrijeme kanjenja sklopa td vrijeme od polovice promjene ulaznog napona dopolovice promjene izlaznog napona vaan parametar - pri prolazu kroz vie sklopovavrijeme kanjenja sa akumulira, to moe dovesti dopogreaka u radu sustava dinamika analiza / statika analiza sklopovlja Prednosti digitalnog prikaza i obrade prikazivanje podataka diskretnim elektrikim signalima (impulsima) informacija nije sadrana u amplitudi nego uprisutnosti/neprisutnosti impulsa manja podlonost smetnjama, vea pouzdanost objedinjeni prikaz i obrada numerikih i nenumerikih (simbolinih) veliina tonost ovisi o broju bitova (brojnih mjesta kojimprikazujemo podatke) Osnovna struktura digitalnog sustava funkcije digitalnog sustava: obrada podataka obavljanje aritmetikih i logikih operacija donoenje odluka u opem sluaju - 5 podsustava: ulazna jedinica izlazna jedinica memorija aritmetiko-logika jedinica upravljaka jedinica ulazna jedinicamemorijaizlazna jedinicaaritmetiko--logika jedinicaupravljaka jedinica Aritmetiko-logika jedinica obavlja aritmetike i logike operacije i donosi odluke u skladu s programom. Memorija pamti ulazne podatke i instrukcije,meurezultate i krajnje rezultate te omoguuje njihov dohvat kada je to potrebno. Upravljaka jedinica generira odgovarajue elektrine signale koji upravljaju radom svih ostalih jedinica. Izlazna jedinica preuzima podatke iz memorije i alje ih korisniku Brojevni sustavi i kodoviTipovi i prikaz podataka prikaz podataka u digitalnom obliku ~ niz bitova, bitovni vektor znaenja bitovnog vektora: broj znak/simbol specijalni znakovi:upravljaki, instrukcije, Tipovi i prikaz podataka bitovni vektor ~ "tipiziran": pripada nekom tipu podataka (engl. data type) nametanje discipline manipuliranja s podacima osnovni tipovi podataka: brojevi: prirodni, cijeli, realni, znak/simbol: pojedine abecede (~ znakovni kodovi) specijalni znakovi ~ posebno znaenje: logike varijable znaenje bitovnog vektora~ utvreno interpretacijom, kontekstomTipovi i prikaz podataka zapis podataka (~ zapis bitovnog vektora):utvreni oblik = format organizacija niza bitova (grupe bitova ~ polja) znaenje pojedinih bitova/grupa bitova najjednostavniji zapis: prirodni binarni brojevi vrijednost bita u broju = pozicija bita u binarnomvektoru posve openito:pridruivanje znaenja binarnom vektoru = kod broj neto drugo (~ simbol)Pozicijski brojevni sustavi pozicija znamenke odreuje njenu teinu faktor kojim se znamenka mnoi teina - potencija baze brojevnog sustava baza sustava moe openito biti bilo koji cijeli broj

dekadski sustav: 5 4 3 2 1 0395 749 3 10 9 10 5 10 7 10 4 10 9 10 = + + + + + Prikaz n-znamenkastih cijelih brojeva B: baza ili korijen brojevnog sustava ai: koeficijent uz i-tu potenciju (teinu);ai = {0, 1, ., B-1}, i = 0, 1, ., n-1 znamenke 1 2 1 01 2 1 0...n nB n nN a B a B a B a B = + + + +10niB iiN a B==Prikaz razlomljenih brojeva isti princip, potencije baze koje odgovarajuznamenkama iza zareza - negativne kod pretvorbe - posebno pretvoriti cjelobrojni aposebno razlomljeni dio broja 1 2 ( 1)1 2 ( 1)...m mB m mn a B a B a B a B = + + + +1iB ii mn a B== Mijeani ili racionalni brojevi prikaz s fiksnim zarezom [fixed-point notation] 1 niB ii mN a B== B BN N n = +Neki brojevni sustavi Baza BBrojevni sustavZnamenke brojevnog sustava 2binarni0,1 3ternarni0,1,2 8oktalni0,1,2,3,4,5,6,7 10dekadski0,1,2,3,4,5,6,7,8,9 16heksadekadski0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F binarniternarnioktalnidekadskiheksadekadski 00000 11111 102222 1110333 10011444 10112555 11020666 11121777 1000221088 10011001199 10101011210A 10111021311B 11001101412C 11011111513D 11101121614E 11111201715F Pretvorba brojeva u razliitim sustavima pretvorba cijelog dekadskog broja u neki drugi sustav sukcesivno dijeljenje bazom tog sustava ostaci dijeljenja s bazom - znamenke ostatak prvog dijeljenja - najmanje znaajna znamenka Pretvorba iz dekadskog u binarni 37:2 =18ostatak1najmanje vana znamenka 18:2 =9ostatak09:2 =4ostatak14:2 =2ostatak02:2 =1ostatak01:2 =0ostatak1najznaajnija znamenka (dijeli se u cjelobrojnomsustavu pa se 1 ne moepodijeliti s 2) 3710 = 1001012 Pretvorba iz dekadskog u ternarni 38:3 =12ostatak2najmanje vana znamenka 12:3 =4ostatak04:3 =1ostatak11:3 =0ostatak1najznaajnija znamenka (dijeli se u cjelobrojnomsustavu pa se 1 ne moepodijeliti s 3) 3810 = 11023 Pretvorba iz dekadskog u oktalni 39:8 =4ostatak7najmanje vana znamenka 4:8 =0ostatak4najznaajnija znamenka (dijeli se u cjelobrojnomsustavu pa se 4 ne moepodijeliti s 8) 3910 = 478 Pretvorba iz dekadskog u heksadekadski 284:16 =17ostatak12 (C)najmanje vana znamenka 17:16 =1ostatak11:16 =0ostatak1najznaajnija znamenka (dijeli se u cjelobrojnomsustavu pa se 1 ne moepodijeliti s 16) 28410 = 11C16 Pretvorba necijelog dekadskog broja pretvorba razlomljenog dijela dekadskog broja usustav s nekom drugom bazom - uzastopnimmnoenjem s bazom sustava Primjer Pretvoriti dekadski broj 0,625 u binarni sustav0.625 2 =1.25 = 1+0.25 1 najznaajnija znamenka0.25 2 =0.5 = 0+0.5 0 0.5 2 =1.0 = 1+0 10.62510 = 0.1012

Pretvorba u dekadski sustav izravno - odrediti dekadski zapis svake potencije bazeizvornog sustava, pomnoiti vrijednost svakeznamenke s odgovarajuom teinom, sumirati Primjer Pretvoriti binarni broj 10010,101 u dekadski sustav. 10010,1012 = 1*24 + 0*23 + 0*22 + 1*21 + 0*20 + 1*2-1 + 0*2-2 + 1*2-3 =1*16 + 1*2 + 1*0,5 + 1*0,125 = 18,625 10010,1012 = 18,62510 Rekurzivno mnoenje i pribrajanje umjesto raunanja potencija baze i mnoenjem saznamenkama i pribrajanja - posmak za 1 mjesto ipribrajanje, za svaku znamenku Primjer Metodom rekurzivnog mnoenja i pribrajanja pretvoritibroj 10011101 u dekadski sustav. (((1*2*2*2 + 1)*2 + 1)*2 + 1)*2*2 + 1 =((9*2 + 1)*2 + 1)*2*2 + 1 = (19*2 + 1)*2*2 + 1 = 39*2*2 + 1 = 157 postupak vrijedi za cijele brojeve razlomljeni dio: rekurzivno dijeljenje i pribrajanje Usporedba brojevnih sustava Poveanjem baze sustava smanjuje se broj brojnihmjesta Baza sustava Broj1210 21100 3110 814 1012 >=16C Optimalna baza brojevnog sustava prikladnost brojevnog sustava za fiziku realizaciju neodgovara njegovoj prikladnosti za ovjekovu upotrebu prikaz znamenki elektronikim sklopom: toliko razliitih diskretnih stanja koliko imaznamenki n-znamenkasti broj u sustavu s bazom B N: broj moguih n-znamenkastih brojeva u brojevnomsustavu s bazom B: "kapacitet" n pozicija v:ukupni broj razliitih diskretnih stanja B-1B-20B razliitih diskretnih stanjapozicija (teina)Bn-1Bn-2B1B0n brojnih mjesta Moe se prikazati brojeva: N=Bn Broj razliitih diskretnih stanja: v=Bn Iz toga slijedi: v=lnN (B/lnB) -deriviranjem i izjednaenjem s nulom dobije se uvjet za minimum -rjeenje: B=e=2.71 -budui da B moe biti samo cijeli broj, najblie su 3 i 2 BB/lnB 22,89 ee 32,73 42,89 53,11 63,35 73,60 83,85 94,10 104,34 v(B)2 3 4 5 6 7 8 9 10 11 B0,50,951,51v(2) "najekonominija" baza: B = 3ternarni brojevni sustav: najblii teorijskom minimumu e (2,718) binarni brojevni sustav: laka realizacija: tehniki bolji, a samo 5% loiji od ternarnogOktalni i heksadekadski sustav pozicijski brojevni sustavi, baza 8 odnosno 16 baza je potencija broja 2 jednostavna pretvorba u binarnisustav vea baza manji broj znamenaka za zapis broja oktalni sustav - znamenke 0-7 predstavljaju se s 3 bita0000 1001 2010 3011 4100 5101 6110 7111 Oktalni sustav Primjer: Pretvoriti broj 1011110110011002 u oktalni sustav. 101 111 011 001 100 57314 1011110110011002 = 573148 Primjer: Pretvoriti broj 7654328 u binarni sustav. 765432 111 110101 100011010 7654328 = 1111101011000110102 Heksadekadski sustav baza sustava 16, znamenke 0 - "15", tj. 0-9, A, B,..., F svaka znamenka predstavljena s 4 bita jednostavna pretvorba, vrlo rairen brojevni sustavkao saeti zapis binarnog 2 heksa znamenke ~ 1 oktet00000A1010 10001B1011 ...C1100 70111D1101 81000E1110 91001F1111 Heksadekadski sustav Primjer Pretvoriti broj 010111100011100111002 u heksadekadski sustav. 0101 11100011 1001 1100 5E39 C 010111100011100111002 = 5E39C16 Primjer Pretvoriti broj 76A4C216 u binarni sustav. 76A 4C 2 0111 01101010010011000010 76A4C216 = 0111011010100100110000102

Binarna aritmetika binarna aritmetika ~aritmetike operacije u binarnom sustavu(zbrajanje, odbijanje, mnoenje, ...) specifinosti u odnosu na dekadsku aritmetiku binarno zbrajanje~ osnovna operacija u digitalnim sustavima (raunalima) binarno zbrajanje najjednostavnije~ zbrajanje dviju binarnih znamenki: - pojava prijenosa (engl. carry) na viu bitovnu poziciju oznake:S : suma, zbroj ; C : prijenos

suma mod 2 : operator+0 0 11+ 0 + 1 + 01+ 11 0 0 1ab0101 1010 1C: prijenosS: sumarezultat: 210 = 102 binarno zbrajanje dvaju binarnih brojeva : openito n-bitni binarni brojevi prijenos pribrojiti vioj bitovnoj poziciji~ zbrajanje triju binarnih znamenki378+271. 395 :S101111010+1 :C2. 305 :S+1 :C405++++S1C1S2C2S3C41101110110000111 110101010111000101011110010101 binarno zbrajanje dvaju binarnih brojeva : n-bitni binarni brojevi ~ openito promatrati i-ti bit Ci = ? posebna tablica zbrajanja: 1 =i i i iC B A SAiBiCi-1SiCi 00000 00110 01010 01101 10010 10101 11001 11111 Binarna aritmetika binarno odbijanje dvaju binarnih znamenki : diferencija = minuend suptrahend -kada je suptrahend vei od minuenda ,oduzimanje se ne moe obaviti ako se prethodno ne posudi,tj uzme posudba s vieg rednog mjesta Minuend:0110 Suptrahend:-0-0-1-1 Diferencija0100_|1 Prikaz brojeva u modulu digitalni sustavi (raunala): pohranjivanje brojeva u registrima ogranieni broj mjesta~ n-znamenkasti brojevi broj moguih n-znamenkastih brojevakod baze B:Bn = m : modul ~ broj stanja registra,"kapacitet" registra od n mjestaW = Bn 1 : najvei n-znamenkasti broj Dn-1Dn-2Dn-3D2D1D0 Prikaz brojeva u modulu prikaz n-znamenkastih brojeva: ogranienje na brojeve < m = Bn grafiki prikaz ~"brojna krunica" uoiti: a = kBn + b,b < Bn = m, k = 0, 1, 2, b = a (mod m) 0Bn-1Bn1232Bn+1 2Bn+22Bn+3Bn+2 Bn+1Bn+32Bna = kBn+b~ b prikaz n-znamenkastih brojeva: interpretacija relacijeb = a (mod m)"b je ostatak dijeljenja broja a s modulom m"Primjeri : 23 mod 17 = 635 mod 16 = 3 0Bn-1Bn1232Bn+1 2Bn+22Bn+3Bn+2 Bn+1Bn+32Bna = kBn+b~ bModulo-aritmetika npr. za m = 10: -openito: -zapis: radi jasnoe obino se pie (primjer): ... 19 21 9 11 1 1 umjesto jednakosti, relacija kongruencije, -relacija biti kongruentan modulo m je relacija ekvivalencije a=a+k10,k=...,-2,-1,0,1,2,... ) 10 (mod 15 5 Komplementi brojeva komplementi brojeva: u odnosu na modul brojevnog sustava m = Bn (u odnosu na broj mjesta n za prikaz brojeva u registru) u odnosu na najvei n-znamenkasti broj W = Bn 1 znaaj komplementa brojeva: pojednostavljivanje obavljanja aritmetikih operacija npr. koritenje istog sklopovljaza obavljanje zbrajanja i odbijanjasvaki broj a, 0 SD > 0 2. M < SD < 0 Oduzimanje B-komplementom sluaj 1: M > SD > 0 rezultat je vei od najveeg prikazivog broja, W dolazi do preljeva u registru je rezultat kojem nedostaje najvia znamenka njena teina: Bn u registru je dakle preljev naruava jednakost, ali ne i kongruenciju! sadraj registra je upravo traeni rezultat: BnBS M B S M + +D B B D B S Mn n nB= + = + ) ( ) (Oduzimanje B-komplementom primjer: B=2, n=8 (8-bitno binarno raunalo) izraunati 32, dakle M=3, S=2 javlja se preljev pa sklopovlje daje: 255 1 256 1 2 18= = = =nB W254 2 256 = = = S B SnBW S MB) = + = + 257 254 31 257 8-bitni registri: A=3, B=2 A=00000011 B=00000010 2-komplement od B: 11111110 00000011 +11111110 --------------- 100000001 -traeni rezultat Deveta znamenka (1) ne stane u registar predstavlja preljev U registru je ton rezultat Sloenost posla:2 zbrajanja+1 inverzija Oduzimanje B-komplementom sluaj 2: M < SD < 0 Nema preljeva, sklopovlje dobiva oduzimanje Bn od rezultata: uzeti mu komplement itome staviti predznak minusBS M + izraunati 23, dakle M=2, S=3 Nema preljeva, sklopovlje dobiva 255 253 3 256 = = = S B SnBW S MBs = + = + 255 253 2255 = D1 256 255 255 = = =nB D) 1 ( ) 255 (2 = = D 8-bitni registri: A=2, B=3 A=00000010 B=00000011 2-komplement od B: 11111101 00000010 +11111101 --------------- 11111111 -novi sadraj registra, 2-komplement: Sloenost posla:3 x zbrajanje + 2 xinverzija 00000001 ) 11111111 (2 = algoritam oduzimanja B-komplementom: pribrojiti minuendu komplement suptrahenda ako se pojavi preljev, to je rezultat ako nema preljeva, jo jednom komplementirati te promijeniti predznak Operacije nad brojevima s predznakom zapis brojeva s predznakom: veliina broja ~ iznos predznak ~ jo jedan bit: najznaajniji (najlijeviji) bit tipino: prikaz negativnih brojeva: predznak i veliina predznak i 2-komplement predznak i 1-komplement Prikaz brojeva s predznakom prikaz brojeva predznakom i veliinom : odvojeno manipuliranje predznaka i veliine relativno sloeno izvoenje raunskih operacija problem "negativne nule" Prikaz brojeva s predznakom prikaz brojeva predznakom i 2-komplementom : pozitivni brojevi: predznak i veliina negativni brojevi: predznak i 2-komplement komplementiranje predznaka i veliine zajedno nema problema "negativne nule" ~ nula je jedinstvena ! Primjer prikaza brojeva s predznakom Dekadski broj Sustav s predznakomi veliinom Sustav s2-komplementom Sustav s1-komplementom -8-1000- -7111110011000 -6111010101001 -5110110111010 -4110011001011 -3101111011100 -2101011101101 -1100111111110 00000 ili 10000000000 ili 1111 1000100010001 2001000100010 3001100110011 4010001000100 5010101010101 6011001100110 7011101110111 Usporedba 1 i 2 komplementa prikaz predznakom i 2- komplementom praktiniji! nema "negativne nule" asimetrini raspon pozitivnih i negativnih brojeva - nula je "pozitivna" Zbrajanje u 2-komplementu pojava aritmetikkog preljeva (engl. arithmetic overflow): pribrojnici istog predznaka (+ ili ), a predznak rezultata se razlikuje ( ili +) suma premauje broj mjesta veliine (n-1) potreba detekcije aritmetikog preljeva Oduzimanje u 2-komplementu od suptrahenda napraviti 2-komplement~ promjena predznaka! 2-komplement suptrahenda pribrojiti minuendu Mnoenje binarno mnoenje~ prema pravilima za dekadsko mnoenje: multiplikand multiplikator mogunosti ostvarivanja binarnog mnoenja: uzastopna zbrajanja parcijalna mnoenja s 2 (~ "posmak") i zbrajanje Dijeljenje binarno dijeljenje~ svodi se na uzastopno oduzimanje Binarno kodiranje znamenki i simbola princip kodiranja binarnim rijeima: izraavanje simbola/znakova u binarnom obliku,radi dalje obrade digitalnim sklopom~ binarno kodiranje kod : grupa simbola kojoj se dogovorno daje znaenje kodna rije : niz bitova kojem se pridaje neko znaenje abeceda : skup svih simbola prikazanih kodnim rijeima znakovi : elementi abecede 000001010011100101110111kodne rijei{n bitaPrincip binarnog kodiranjaabeceda K simbolaN binarnih rijeidekodiranjekodiranjeBinarno kodiranje znamenki i simbola princip kodiranja binarnim rijeima: broj simbola = broj razliitih prikaza broj bitova kodnih rijei n bitova: N = 2n moguih kombinacija dekadski kodovi ~ binarni prikaz dekadskih znamenki velik broj moguih kodiranja odabrati kodove s povoljnim svojstvima! svojstva dekadskih kodova: aditivnost~ veza izmeu kodne rijeii prikazane dekadske znamenke samokomplementarnost(engl. self-complementing)~ veza kodnih rijei po parovima Dekadski kodovi teinski kod: zbroj teina = vrijednost prikazane znamenke N :dekadski ekvivalentwi :i-ta teinaai:koeficijent za i-tu teinuD :konstanta pomaka

10nii iD w a N kod 8421,BCD (engl. Binary Coded Decimal prvih 10 binarnih brojeva teine: 8, 4, 2, 1 neupotrijebljene kombinacije:od 1010 do 11111 Kd 8421 (BCD) d8421 00000 10001 20010 30011 40100 50101 60110 70111 81000 91001 1010 1011 1100 1101 1110 1111 Dekadski kodovi kod 2421 (Aikenov kod teinski kod ~ teine: 2, 4, 2, 1 samokomplementirajui kod: 0-9, 1-8, 2-7, 3-6, 4-5 prvih i zadnjih pet4-bitnih brojeva -ostalo - neupotrijebljene kombinacijeKd 2421 d2421 00000 10001 20010 30011 40100 0101 0110 0111 1000 1001 1010 51011 61100 71101 81110 91111 kod XS-3 (Stibitzov kod) kod 8421,s "prekoraenjem" (ekscesom) od 3 uz D = 3~ teinski kod ne postoji 0000:detekcije prekida kod prijenosa simetrina tablica koda~ samokomplementirajui kod! Kd eksces 3 0000 0001 0010 00011 10100 20101 30110 40111 51000 61001 71010 81011 91100 1101 1110 1111 bikvinarni kod teinski 7-bitni kod (2+5=7) kodne rijei s dvije 1: otkrivanje pogreki ne ako je pogreka samokompenzirajua velika zalihost ~ 10 od 128moguih kombinacija Bikvinarni kd (kd 504321) d5043210 00100001 10100010 20100100 30101000 40110000 51000001 61000010 71000100 81001000 91010000 Grayev kod kod s minimalnom promjenom susjedne kodne rijei~ razlika u samo 1 bitu ograniavanje pogreki pri slijednoj promjeninpr. direktno oitavanje poloajaGrayev kod d 00000 10001 20011 30010 40110 50111 60101 70100 81100 91101 101111 111110 121010 131011 141001 151000 svojstva Grayevog koda: susjedne kodne rijei ~ razlika u samo jednom bitu ("jedinina distanca") izgradnja koda: zrcaljenje u jednombitovnom mjestu reflektirani kod neteinski kod binarni, ali i "dekadski" Znakovni kodovi prikaz skupa znakova: prikaz slova i znamenki: "grafiki"~ "alfa-numeriki" znakovi, interpunkcije, simboli, ... upravljaki znakovi standardizirani znakovni kodovi:npr. 7-bitni (128 kombinacija) ASCII:ISO IS 646, ITU-T/CCITT No. 5Znakovni kodovi kod ASCII (engl. American Standard Code for Information Interchange): : 20H, CR : 08H, LF : 0AH 0 9: 30-39H A - Z : 41-5AH a z : 61-7AH npr.A = 100 0001 = 41H a = 110 0001 = 61H *= 010 1010 = 1AH Znakovni kod ASCII (ISO-7) bitovi b3b2b1b0 bitovi b6b5b4 000001010011100101110111 0000NULDLESP0@P p 0001SOHDC1!1AQaq 0010STXDC2"2BRbr 0011ETXDC3#3CScs 0100EOTDC4$4DTdt 0101ENQNAK%5EUeu 0110ACKSYN&6FVfv 0111BELETB 7GWgw 1000BSCAN(8HXhx 1001HTEM)9IYiy 1010LFSUB*:JZjz 1011VTESC+;K[k{ 1100FFFS,N^n~ 1111SIUS/?O_oDEL 100111110101101000001100101110011110101110 OVAKO. Kodovi za zatitu podataka prijenos podataka~ utjecaj smetnji: mogua pojava pogreke pogreka~ neeljena promjena jednog/vie bitova u kodnoj rijei jednostruka pogreka~ promjena vrijednosti jednog bita(01 ili 10) viestruka pogreka ~ vie bitova rezultat~ neispravna, ali i ispravna kodna rije ! dobivena kodna rije ispravna ~ nemogue otkriti da je dolo do pogreke!!!Kodovi za zatitu podataka princip otkrivanja (i ispravljanja) pogreaka -razlika kodnih rijei u 1 bita distanca kodnih rijei (R. W. Hamming)- "udaljenost" dviju kodnih rijei: najmanji broj bitova u kojima se dvije kodne rijei razlikuju broj bitova koje treba promijeniti da se jedna kodna rije pretvori u drugu-tada pogreka ostaje neotkrivena !!!d = 4 1000101 uzorci bitova 1110011 nisu iz koda

d=4Kodovi za zatitu podataka minimalna distanca koda dmin

-najmanji razmak izmeu dvije kodne rijei npr. kod 8421: dmin = 1 bikvinarni kod: dmin = 2 Grayev kod: dmin = d = 1 kod prua zatitu od t pogreaka t=dmin-1 dmin >=(t+1) Primjer: dmin = 2 -omoguuje otkrivanje jednostruke pogrekeKodovi za zatitu podataka kodovi s dmin > 1 - postoji zalihost (redundancija), R: snaga zatite, viak informacijen :duljina kodne rijeik= t + 1za otkrivanje t pogreaka s mogunou ispravljanja pogreaka~ ECC (engl. Error Correcting Codes):dmin >=2t + 1 za ispravljanje t pogreakaKodovi za zatitu podataka geometrijski prikaz kodnih rijei/koda~ kubusi u n-dimenzijskom prostoru 0-kubus ~ toka 1-kubus ~ duina 2-kubus ~ kvadrat 3-kubus ~ kocka n-kubus ~ "hiperkocka" 0-kubus1-kubus2-kubus0 110 1100 013-kubus 4-kubus001000110000 00010110011101000101010 011000 001110 111100 10110101011100010011110 111111001101Kodovi za zatitu podataka geometrijski prikaz kodnih rijei/koda -kubus3-kubus 1. za 2n uzoraka: dmin = 1 2. za {100, 011}: dmin = 3 otkriva 2 pogreke: 010, 111, 001 110, 101, 000 ispravlja 1 pogreku: 110, 101, 000 001, 111, 010 101011010001110111000100Kodovi za zatitu podataka paritet ~ najjednostavniji nain zatite dodati paritetni bit~ tipino osmi bit rijei iz ASCII koda:p b6b5b4 b3b2b1b0 nova kodna rije mora imati paran/neparan broj jedinica ~ paran/neparan paritet "vertikalna" (okomita) paritetna zatita(engl. Vertical Redundancy Check, VRC) ~ otkrivanje neparnih pogreka Primjeri zatite ASCII-rijei parnim i neparnim paritetom ZNAK PARITET PARNINEPARNI A100 00010 100 00011 100 0001 a110 00011 110 00010 110 0001 *010 10101 010 10100 010 1010 Kodovi za zatitu podataka viestruko ispitivanje pariteta : zahtjev: poveati mo zatite! vei broj paritetnih ispitivanja~ "nezavisna" (ortogonalna) vei broj zatitnih bitova~ vea zalihost vie mogunosti: dvodimenzijski kod Hammingov kodKodovi za zatitu podataka dvodimenzijski kod ~ 2D matrica informacijskih bitova"pravokutni" kod uzduna i poprena paritetna zatita: kodna rije - paritetni bit cijelom bloku kodnih rijei - paritetnarije, BCC (engl. Block Check Character) ispravljanje jednostruke pogreke Kodovi za zatitu podataka Hammingovi kodovi: sustavni mehanizam za izgradnjuniza kodova za ispravljanje pogreaka ~ R.W. Hamming, 1950. princip: ~ viestruko (nezavisno) paritetno ispitivanje bolja efikasnost kodiranja ~ manja zalihost

esto se koristi za ispravljanje jednostruke pogreke~ tipina primjena: memorijski sklopovi Hammingovi kodovi : nezavisna paritetna ispitivanja- ne mogu se dobiti kombinacijom preostalih princip izgradnje kodne rijei: "nezavisna" (ortogonalna) ispitivanja svaki zatitni bit "pokriva" (= titi) drugi podskup bitova podatka svaki bit podatka zatien s vie zatitnihbitova "nezavisni" (ortogonalni) smjetaj zatitnih bitova Hammingovi kodovi: odnos zatitnih i informacijskih bitova :2r>= k + r + 1 ,n = k + rr: broj zatitnih bitovak: broj informacijskih bitovan: duljina kodne rijei Hammingovi kodovi: zatitni bitovi su na mjestima 2i( 1, 2, 4, 8, ) ostali bitovi su informacijski primjer: kod (11,7) ukupno 11 bitova, od ega 7 nose podatke korisno za zatitu ASCII-znakova zatitni bitovi raunaju paritet poevi od sebe: Pozicija 1: provjera 1 bita, preskae 1 bit, provjera 1, ... Pozicija 2: prov. 2 bita, pres. 2 bita, prov. 2 bita, ... Pozicija 4: prov. 4 bita, pres. 4 bita, prov. 4 bita, ... ... raspored odgovornosti bitova: 1234567891011 P1:P1P2P3P4 P2:P1P2P3P4 P3:P1P2P3P4 P4:P1P2P3P4 izgradnja Hammingovog koda za ispravljanjejednostruke pogreke : zatitni bitovi na mjesta koja se ne mogu dobitikombinacijama drugih zatitnih bitova: 2i

zatitni bitovi "pokrivaju" svoju poziciju~ sve pozicije iji redni broj sadri 2i Hammingov kod za ispravljanje jednostruke pogreke: sindrom~ uzorak zatitnih bitovakoji ukazuje na mjesto pojave pogrekePrimjer:sindrom = 2 ~ drugi bit kodne rijei je pogrean! sindrom = 0~ nema pogreke -koritenje (11,7) Hammingovog koda zadana je 7-bitna rije 0110101 -u tablici d predstavlja bitove poruke, apparitetne bitove p1p2d1p3d2d3d4p4d5d6d7 Poruka (bez p. b.)0 110 101 p11 0 0 1 1 p2 00 1 001 p3 0110 p4 0101 Poruka (sa p. b.)10001100101 Nova kodna rije sa paritetnim bitovima glasi 10001100101. Uzmimo da se zadnji bit u kodnoj rijei promijeni iz 1 u 0. Naa nova kodna rije izgleda ovako 10001100100. Sada ispitujemo na kojem principu Hammingov kod radi. Na mjestu gdje ispitivanje paritetnih bitova nijeispravno upisujemo 1, a tamo gdje je sve u redu upisujemo 0. Iz PBse vidi na kojem je bitu nastala pogreka : (11 bit) p1p2d1p3d2d3d4p4d5d6d7PCPB Poruka (sa p. b.)10001100100 p11 0 1 0 1 0F1 p2 00 1 000F1 p3 0110T0 p4 0100F1 Potreban broj paritetnih bita u odnosu na broj informacijskih bita ipmin 12 2-43 5-114 12-265 27-576 58-1207 Osnove digitalne logike logika sudova Booleova algebra kanonski oblik Booleovih funkcija skupine osnovnih logikih funkcija univerzalne funkcije nepotpuno specificirane funkcije Osnove digitalne logike digitalni sustav~ sve funkcije temeljene namalom skupu "osnovnih logikih funkcija" sklopovi koji ostvaruju osnovne logike funkcije~ osnovni logiki sklopovi :obrauju "logike varijable" elektronike izvedbe osnovnih logikih sklopova: "Elektrike veliine koje odgovaraju logikim varijablamaodravaju se unutar unaprijed definiranih i fiksnih granica (na ulazu i na izlazu)." Osnove digitalne logike "logike varijable", "osnovne logike funkcije" ~ terminologija logike sudova logika sudova, propozicijska logika (engl. propositional logic)~"kombiniranje" elementarnih sudovaradi dobivanja novih sloenih sudova,bez obzira na suvislost samih sudova Osnove digitalne logike sudovi (tvrdnje, iskazi): jednostavne reenice istiniti ili neistiniti Primjer: sud A: "Nema ulja (u motoru)."sud B: "Temperatura (motora) je previsoka." Osnove digitalne logike osnovni logiki veznici:~ "kombinatori" I, ILI vrijednost sloenog suda~ istinit ili neistinit Primjer: f = A ILI B = "Nema ulja (u motoru)."ILI "Temperatura (motora) je previsoka."f = A I B= "Nema ulja (u motoru)."I "Temperatura (motora) je previsoka."Logiki kombinatori izvedba kombinatora I (mehaniki) kontakt:A B f izvedba relejima:struja = pobuda releja UG+A BUG+ABZa svaki prekida mogu se formirati sudovi koje je praktino oznaiti jednim slovom: Prekidaa A je ukljuen = A Prekidaa B je ukljuen = B arulja svjetli = f Sloeni logiki sud za situaciju na slici: Logiki sud: Ako (A=tono)i (B=tono) onda (f=tono) inae (f=netono) A B fT T TTTTTT TT T TA B f0 0 00 1 01 0 01 1 1a) b)Interpretacija kombiniranja algoritamski: ako (A istinit) i (B istinit) onda f istinit inae f neistinit "logiki produkt"~ konjunkcija "raunarska" notacija: simbolika logika: teorija skupova: B A f B A f B A f Logiki kombinatori izvedba kombinatora ILI (mehaniki) kontakt:A B f izvedba relejima:struja = pobuda relejaUG+ABUG+AB Logiki sud: Ako (A=tono)ili (B=tono) onda (f=tono) inae (f=netono) A B fT T TTT TTTTT T TA B f0 0 00 1 11 0 11 1 1Interpretacija kombiniranja algoritamski ako (A istinit) ili (B istinit) (ili oba!) onda f istinit inae f neistinit "logika suma"~ disjunkcija "raunarska" notacija: simbolika logika: teorija skupova: B A f B A f B A f Tablice istinitosti (kombinacija) tablica kombinacija, tablica istinitosti (engl. truth table) ~ prikaz djelovanja kombinatora:konani broj moguih kombinacijavrijednosti istinitosti elementarnih sudova oznake: T ~ istina, ~ neistina definiraju odnos ulaza i izlaza digitalnog sustava funkcija ILI funkcija I (inkluzivna disjunkcija)Logika negacija logika funkcija NE, komplement, inverzija nije kombinator sloeni sud od jedne logike varijable algoritamski ako (A istinit) onda f neistinit inae f istinit funkcija NE logiki izraz (negacija) "raunarska" notacija: simbolika logika: teorija skupova: A f A f CA f RA U+-fLogika negacija Logika funkcija NE, koplement, inverzija Tablica kombinacija logike negacije A f A fTTTTA f1 00 1a) b)Elektronika izvedba logikih sklopova Logiki I-sklop izveden s pomou tranzistora T1AT2BUCCfElektronika izvedba logikih sklopova Diodni I-sklop a)izvedba b)ovisnost izlaza o ulazima RAf BfU0U0U0tttNNNNVNVV VN NV+U0D1D2uAuBuAuBElektronika izvedba logikih sklopova Odnosi ulaza i izlaza diodnog I-sklopa a)tablica ulazno-izlaznih razina b)tablica logikih kombinacija A B fN N NN V NV N NV V VA B f0 0 00 1 01 0 01 1 1a) b)Elektronika izvedba logikih sklopova Grafiki simboli za I-sklop a)karakteristini simbol b)IEC standard ABf = AB f = ABABa) b)Elektronika izvedba logikih sklopova Diodni ILI-sklop a)izvedba b)ulazno-izlazna funkcija Af BftttNNNNVNVV VVuAuBRa) b)Elektronika izvedba logikih sklopova Odnosi ulaza i izlaza diodnog ILI-sklopa a)tablica ulazno-izlaznih razina b)tablica logikih kombinacija A B fN N NN V VV N VV V VA B f0 0 00 1 11 0 11 1 1Elektronika izvedba logikih sklopova Grafiki simboli za ILI-sklop a)karakteristini simbol b)IEC standard c)ee upotrebljavani simbol ABf = A+B 1f = A+BAB+Invertor s bipolarnim tranzistorom Odnosi ulaza i izlaza invertora a)tablica ulazno-izlaznih razina b)tablica logikih kombinacijaTRC+UCCRBAfuuuiA fN VV NA f0 11 0Elektronika izvedba logikih sklopova Grafiki simboli za invertor a)opi simbol za onvertor b)karakteristini simbol c)jo jedan esto upotrbljavani karakteristini simbol d)IEC-standard e)modificirani IEC-standard s oznakom pojaala kao kvalificirajuim simbolom Aa)b)c)1e) d)Booleova algebra osnovni matematiki aparat koritenu analizi i projektiranju digitalnih sklopova: G. Boole:formalizam za prouavanje "zakona prosuivanja":"An Investigation of the Laws of Thought", 1854 C. E. Shannon:primjena Booleove algebre:"A Symbolic Analysis of Relay and Switching Circuits", 1938 efikasna primijena za analizu relejnih elektromehanikih sklopova Booleova algebra izgradnja konzistentnog matematikog sustava naaksiomatski nain algebra se definra postavljanjem skupa tvrdnji formalna definicija: konani skup objekata: K dvije binarne operacije: +, skup osnovnih postulata (aksioma)~ aksiomatizacija Booleova algebra aksiomatizacija s dobrim svojstvima: E. V. Huntington:"Sets of Independent Postulates for the Algebra ofLogic", 1904: zadatak reduciranje Booleove algebre na minimalni brojpostulata konzistentnost:niti jedan postulat iz skupa ne proturjee nekomdrugom iz istog skupa nezavisnost:niti jedan se postulat ne da dokazati pomou ostalih skup {K,+, , } je Booleova algebra ako vrijede ... Huntingtonovi postulati P1: Postoji skup K objekata ili elemenatapodlonih relaciji ekvivalencije, oznakom "=",koja zadovoljava princip supstitucije. ekvivalencija:

refleksivnost: simetrinost: tranzitivnost: ) )( ( a a K a = e ) _ _ _ _ )( , ( b a je kada uvijek a b K b a = = e ) _ _ _ _ )( , , ( c a implicira c b i b a K c b a = = = e Huntingtonovi postulati P2: Definiraju se dva operatora kombiniranja "+" i "" koji su zatvoreni s obzirom na K P3: Za operatore kombiniranja postoji neutralni element Huntingtonovi postulati P4: Vrijedi zakon komutacije:

P5: Vrijedi zakon distribucije: P6: Postoji inverzni element "komplement": P7: Skup K sadri barem dva razliita elementa ) )( , ( a b b a K b a + = + e ) )( , ( a b b a K b a = e )) )( ( ) ( )( , , ( c b b a c b a K c b a + + = + e )) )( ( ) ( )( , , ( c b b a c b a K c b a = + + e )) 0 ( ); 1 ( ) )( ( = = + e - e a a a a K a K a) , _ _ ( b a K b a barem = e -Huntingtonovi postulati "operabilni" postulati~ direktno koritenje u manipulacijama logikih izraza P3 (neutralni element) P4 (komutativnost) P5 (distributivnost) P6 (inverzni element)Huntingtonovi postulati inverzni element (komplement) ~ interpretacija kao rezultat operacije komplementiranja interpretacija "+" i "" u uobiajenom smislu aritmetikih operatora? ~ P5a i P6 ne vrijede! dualnost (metateorem o dualnosti): "Zamjenom operatora i neutralnih elemenata u nekom postulatu dobiva se njegov par, ako takav postoji."Huntingtonovi postulati prioriteti operatora: komplement konjunkcija inkluzivna disjunkcija zagrade mijenjaju redoslijed obavljanja operacija preporua se uporaba radi izbjegavanja krivihinterpretacijaTeoremi Booleove algebre A.1. (Aksiom 1.)Aksiom o neutralnim elementima Postoje neutralni elementi 0 i 1 s obzirom na operacije +i tako da vrijedi: a) A + 0 = A b) A 1 = A A.2.Aksiom o komplementu Za svaki element A iz skupa , postoji u istom skupu element tako da vrijedi: Element naziva se komplement elementa A , ( ) S A S e , ( ) A A S eAa)1b)0A AAA+ = =Teoremi Booleove algebre A.3.Zakon komutacije Operatori su komutativni: a) A + B = B + A b) A B = B A Ovaj aksiom vrijedi openito za bilo koji broj varijabla. A.4.Zakon distribucije Operatori su distributivni jedan preko drugoga: a) A (B + C) = A B + A C b) A + B C = (A + B) (A + C) Uoimo da drugi i etvrti b) aksiom ne vrijede u obinoj algebri. Teoremi Booleove algebre T.1.Zakon dominacije Dokaz: a)1 1b)0 0AA+ = =1 ( 1) 1( 1) ( )1 1A AA A AA AA A+ = + = + += + = +=Teoremi Booleove algebre T.2.Zakon idempotencije Dokaz: T.3.Zakon involucije a) b) A A AAA A+ = =( ) 1( ) ( ) 0 A A A AA A A AA AAAA+ = + = + += + = +=A A =Teoremi Booleove algebre T.4.Zakon apsorpcije Dokaz:

a) b)( )A AB AA A B A+ = + =1(1 )1 A AB A ABA BAA+ = += += =Teoremi Booleove algebre T.5.Zakon asocijacije Dokaz:a) ( ) ( )b) ( ) ( )A B C A B CAB C A BC+ + = + + = | || || | | || || | | | { }( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( )A B C A B C A B CA B CA A B C B CA A B C B CA A B CB A B CCA B C+ + = + + + += + + + + + += + + + += + + + + + += + +Teoremi Booleove algebre T.6.De Morganov zakona) b) A B ABAB A B+ = = +( ) 1i( ) 0 A B AB A B AB + + = + =( ) ( ) ( )( ) 1 ( ) ( ) 1 1A B AB A AB BA A A B BA B BA B BA+ + = + += + + += + += + += +=( )0 00 00A B AB AAB BABB A+ + = += + = +=Teoremi Booleove algebre T.7.Generalizirani De Morganov zakon Dokaz:a)... ...b)...A B C ABCABC A B C+ + + = = + + + ( )( ) A B C A XAXA B CA BCABC+ + = += = += = Teoremi Booleove algebre T.8.Zakon simplifikacije Dokaz:a) b) ( ) ( )AB AB AA B A B A+ =+ + =( ) 1

AB AB A B BAA+ = += =a) b) A B ABAB A B+ = = +Dvolana Booleova algebra Na osnovu teorema i aksioma mogu se konstruirati razliite Booleove algebre, prema tome kako se odabere skup S. Najjednostavnija je dvolana (ima samo dva lana) S=S2={0,1} Vano:0 i 1 imaju logika, a ne numerika znaenja. Tablica operacija + i u dvolanoj Booleovoj algebri: Druge Booleove algebre Algebra skupova: skup S ine svi podskupovi univerzalnog skupa U S={A,B,C,...} Umjesto + i operatori su presjek i unija U Aksiomi, odnosno postulati mogu se napisati ovako: P.1. a)A U = A b)A U = A Neutralni element u operaciji unije jest prazan skup , a neutralni element u presjeku jest univerzalan skup U P.2. a)A U = U b)A = P.2. a)A U B = B U A a)A B = B A P.4. a)A (B U C) = (A B) U (A C) b)A U (B C) = (A U B) (A U C) Ako se prazan skup oznai s 0, a univerzalni skup sa 1, te operatori s + i , onda su postulati od P.1. do P.4. i vizualno potpuno jednaki aksiomima od A.1. do A.4. Vennovi dijagrami Odnosi izmeu skupova mogu se prikladno prikazati pomou Vennova dijagrama Vennovi dijagrami: a)inverzija b)funkcija ILI (A U B = A + B) c)funkcija I (A B = A B). A A B A BU = 1A U B = A + B A B = A BKanonski oblik logikih funkcija -iz poznatog logikog izaza moe se konstruirati tablica kombinacija -dva razliita logika izraza ili funkcije mogu biti ekvivalentni -iz tablice kombinacija napisati logiki izraz -primjer: AB A f + =B A B A f + = ABm M00000 01011 1 0 111 11000 B A B A B A f + = B AB A m=0B A m=1B A m=2AB m=3B A M + =0B A M + =1B A M + =2B A M + =3A f =-konstruiranje izraza u logikoj algebri za funkciju zadanu tablicom: 1.polazi se od uvjeta da vrijednost funkcije bude 1 2. polazi se od uvjeta da vrijednost funkcije bude 0 Vrijednost funkcije 1 -iz tablice: f=1 za A=0 i B=1te za A=1 i B=0 -umjesto da se pie da je vrijednost varijable jednaka 0, moe se napisati da je njezin komplement jednak 1, pa se gornji uvjet moe pisati: f=1 zate -moe se pisati: -f=1 ako bilo koji od ta dva uvjeta zadovoljen: 1 =A 1 =B1 = B 1 = A1 =B A1 =B A + = B A B A f tablica kombinacija funkcije ISKLJUIVO ILI s prikazom odgovarajuih minterma i maksterma ABm M00000 01011 1 0 111 11000 B A B A B A f + = B AB A m=0B A m=1B A m=2AB m=3B A M + =0B A M + =1B A M + =2B A M + =3 Oblik logike funkcije koji sadri sve minterme, odnosno standardne produktne lanove za koje je funkcija jednaka 1 zove se kanonski oblik Booleove funkcije Standardni disjunktni oblik logike funkcije mintermi povezani logikom ILI funkcijom, odnosno disjunkcijom Oblik logike funkcije koji sadri sve maksterme, odnosno standardne suma-lanove za koje je funkcija jednaka 0 jest drugi standardni oblik Booleove funkcije Standardni konjunktivni oblik logike funkcije makstermi povezani logikom I funkcijom. ==10rii im v f) (10i iris v f + =H=Standardna tablica kombinacija funkcije od tri varijable f=m0+m1+m2 AB C mM 0 00 0 0 10 10 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 ) ( ABCf1) 0 , 0 , 0 ( 0= =f v1) 1 , 0 , 0 ( 1= =f v0) 0 , 1 , 0 ( 2= =f v1) 1 , 1 , 0 ( 3= =f v0) 0 , 0 , 1 ( 4= =f v0) 1 , 0 , 1 ( 5= =f v0) 0 , 1 , 1 ( 6= =f v0) 1 , 1 , 1 ( 7= =f vC B A m=0C B A m=1ABC m=7C B A m=2BC A m=3C B A m=4C B A m=5C AB m=6C B A M + + =0C B A M + + =7C B A M + + =1C B A M + + =2C B A M + + =3C B A M + + =4C B A M + + =5C B A M + + =6Tablica kombinacija funkcije C B A y + =ABC

mi 000 101

001 111 010 101

011 101 100 000

101011

110 000

111 000

C B A m=0AC B C B A y + =C B A m=1C B A m=2BC A m=3C B A m=5Pretvorba nekanonskog oblika funkcija u kanonski oblik nekanonski oblici Booleovih funkcija:pretvorba u kanonski oblik produkt suma :-lan koji nedostaje (X) dodati proirivanjem mnoenjem produkta s 1 ( ) suma produkata:-lan koji nedostaje (X)dodatiproirivanjempribrajanjem sume s 0 ( )

1 = + X X0 = X X komplementarna funkcija : ~ funkcija kojoj su vrijednosti komplementarne onimaizvorne funkcije (01, 10) 3 1 0M M M f =7 6 5 4 2m m m m m f + + + + ===10rii im v f) (10[+ =ri iM v f3 1 0) )( )( () )( )( () ( ) ( ) (M M MC B A C B A C B AC B A C B A C B ABC A C B A C B ABC A C B A C B A f=+ + + + + + =+ + + ==+ + =Ako je funkcija zadana Booleovim izrazom, njezin se komplement openito moe dobiti i tako da se primjeni De Morganov teorem onoliko puta koliko je potrebno. Ako se uzme ista funkcija kao u gornjem primjeru, slijedi: -komplement funkcije se moe dobiti upotrebom dualne funkcije -dualna funkcija dobiva se tako da se zamjene operatori i, ako postoje u izrazu, konstante primjena komplementarne funkcije: -za pojednostavljivanje Booleovih izraza - 1M mi =Proizlazi da je komplement minterma jednak odgovarajuem makstermu i obrnuto: dualna funkcija: ~funkcija koja se dobiva zamjenom operatora(+,) i konstanti (0, 1) izvorne funkcije primjena teorema o dualnosti -za funkciju f: -dualna funkcija je ) 1 , 0 , , , ,..., , , ( + = C B A f f) 0 , 1 , , , ,..., , , ( + = C B A f fDFunkcije jedne i dvije varijable kombinacije varijabli -uzeti u obzir sve mogue kombinacije vrijednosti 0 i 1koje varijable mogu poprimiti: broj kombinacija: r = 2n svakoj kombinaciji mogue pridruiti dvije vrijednosti:0 ili 1 Broj funkcija od n varijabli=n22 Broj funkcija naglo raste: n2n 22n

1 242 416 3 82564 16 64 K= 65 5365 32 4G= 4 294 967 296

Af0 f1 f2f3 000 1 1 1 0 1 01AB f0 f1 f2 f3 f4 f5 f6 f7 f8 f9 f10 f11 f12 f13 f14 f15 00 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 10 1 00 001 1 1 1 0 00 0 1 1 1 11 00 011 0 0 1 1 00 110 0 1 11 10 101 0 1 0 101 0 1 0 101 Tablini prikaz funkcija jedne varijable Tablini prikaz funkcija dviju varijabli FunkcijaSimbol za operator Naziv PrimjedbeNula Binarna konstantaI- funkcijaInhibicjaB inhibira A identitetPrijenos varijableinhibicija A inhibira Bidentitet Iskljuivo ILIIli A ili B, ali ne obojeILI - funkcija Ili A ili B ili obojeNILI NE - ILIekvivalencija komplement NE - Bimplikacija Ako B, onda Akomplement implikacija Ako A, onda BNE- I NE - Ijedan Binarna konstanta00 = fAB f =1B A f =2A f =12A f =3B A f =4B f =5B A B A f + =6B A f + =7B A f + =8B A AB f + =9B f =10B A f + =11A B f + =13AB f =14115 = fB A-B A/A B/B AB A+B A+B ABA B AB AB A|a) b) c)&Inhibicija:a) karakteristini simbolb) tablica kombinacijac) IEC - simbolAB000 010 101 110 B AB AAB AB=1a) b)c) d) e)B AB AB A B A B A = +ISKLJUIVO ILI: a) Shema realizacije sklopovima I, ILI, NE b) Tablica kombinacija c) IEC simbol d) esto upotrebljavani simbol e) Simbol karakteristinog oblika AB

000 011 101 110 B A

a)b)NILI - funkcija:a) karakteristini simbolb) IEC - simbolABB A+1 >

a)b)&NI - funkcija:a) karakteristini simbolb) IEC - simbolABABSkupine osnovnih (primitivnih funkcija) -od prikazanih funkcija dviju varijabli moe se formirati vie skupova osnovnih funkcija, npr: 1.I,ILI,NE(osnovni skup) 2.I,NE- moe se realiziratiILI 3.ILI,NE - moe se realiziratiI 4.INHIBICIJA i konstanta 1 -za komplement: na ulaz funkcije prikljuiti ulaznu varijablu na inhibicijski ulaz, na na drugi 1:

-za I dva inhibicijska sklopa: varijable A i B na ulaz prvog, na inhibicijski ulaz drugog dovede se ulaz iz prvog, a na drugi ulaz varijabla prikljuena na neinhibicijski ulaz prvog sklopa B A B A B A + = + =AB B A B A = = +A A = 1AB AB A A B A A B A A = + = + = ) ( ) (A B5.NI (univerzalna funkcija-pomou nje se mogu realizirati sve funkcije): NE na oba ulaza ista varijabla: I sa dva NI sklopa: ILI sa tri NI sklopa: 6.NILI (univerzalna funkcija) komplement ILI I AB AB =A AA =B A B A + =A A A = +B A B A + = +AB B A B A = = +Funkcije tri i vie variabli - Neke funkcije dviju varijabli se mogu proiriti na po volji velik broj varijabli. - Da bi se to moglo uinti funkcija mora biti komutativna I asocijativna - (osnovne funkcije I,ILI i ISKLJUIVO ILI i ekvivalencija) 2k+1 MOD 2a) b) c) d)............Sklop ISKLJUIVO ILI s vie ulaza:a) IEC - simbolb) karakteristini simbolc) i d) ee upotrebljavani simboliABC 0000 0011 0101 0110 1001 1010 1100 1111 Kombinacija funkcije ISKLJUIVO ILI od tri varijable C B A =...Logiki identitet IEC - simbol A B ...a) b) c)= mStandardne IEC oznake za:a) funkciju pragab) majoritet (glasanje)c) samo mPrimjer nekih kompleksnih funkcijam >2n>Obino se crta ovako:XNEI ILIOsnovne funkcije NE, ILI i I s vie varijabli izvedene s pomou funkcija NI ili NILI s vie varijabliObino se crta ovako:n nX X X X X + + + = ... ...2 1 1n nX X X X + + = + + ... ...1 1n nX X X X X = + + ... ...2 1 1n nX X X X X X = ... ...2 1 2 1X X X X = ...X X X X = + + + ...Univerzalne funkcije X1X1X1X1XnXnXnXnXPretvaranje funkcije u NI oblik primjer: C B A AB f + =ACBC B A AB f + =C B AABC B A AB f + =ACBI - sklopI - sklopILI - sklopfACBC B A AB f + =C B A AB f + =ABC B AC B A AB C B A AB C B A AB f + = + = = ) ( ) ( ) )( (Pretvaranje sklopa izvedenog sa sklopovima I, ILI i NE u sklop s NI sklopovima metodom supstitucije: Sklop izveden s I, ILI i NE, Sklopovi I, ILI i NE supstituirani odgovarajuom kombinacijom NI sklopova, Konani sklop nakon ponitavanja uzastopnih invertora -algebarska metoda pretvorbe: ) ( ) ( C B A C B A C B A f = + = + =ACBACBa)b)f) ( ) ( C B A C B A f = + =Primjer: D C AB f + = ) (ACBDD C AB f + = ) (C AB+ABCACBDI ILI NE IfNEABa)ABABb)c)URPozitivna i negativna logika - pozitivna i negativna logika: - I sklop u pozitivnoj logici - ILI sklop u negativnoj logici AB f =B A f + =f - ulazno-izlazni odnosi: - tablica elektrikih ulazno-izlaznih razina - tablica kombinacija za pozitivnu logiku - tablica kombinacija za negativnu logiku Pozitivna logika Negativna logika N=niska razina N=0 N=1 V=visoka razina V=1 V=0 ABf ABf ABf NNN 000 111 NVN 010 101 VNN 100 011 VVV 111 000

- pozitivna i negativna logika: - ILI sklop u pozitivnoj logici - I sklop u negativnoj logici ABRa)b)c)ABABB A f + =AB f =f - ulazno-izlazni odnosi: - tablica elektrikih ulazno-izlaznih razina - tablica kombinacija za pozitivnu logiku - tablica kombinacija za negativnu logiku Pozitivna logika Negativna logika N=0 N=1 V=1 V=0 ABf ABf ABf NNN 000 111 NVN 010 101 VNN 100 011 VVV 111 000 - primjer odnosa naponskih razina - kod pozitivne logike - kod negativne logike tu ut101010101010}}}}}}Vremenski hazard -logika funkcija koju obavlja kombinacijski sklop ispravna samo u elektriki stacionarnom stanju - statiki 0-hazard- prijelaz iz 1 u 0 - statiki 1-hazard- prijelaz iz 0 u 1 - dinamiki hazard- pojavajednog ili vie neispravnih impulsa pri prijelazu iz jednog logikogstanja u drugo - obzirom na uzrok: - funkcijski zbog kanjenja signala- I-sklop pri prijelaz 01 u 10 I obratno - logiki ovisno o izvedbi slopa

-primjer:statiki hazard-logiki sklop-prijelaz iz 0 u 1 tttA0 = = A A fAA1 Dt2 DtAAf1 Dt2 Dt1 Dt111000hazard 0tttfA1 DtDAtABf1 Dt1 Dt111000BDAt-primjer: dinamiki hazard pri prijelazu iz 1 u 0 tflogiki hazard : rezultat logike implementacije funkcije - minimizacija Booleovog izraza! statiki logiki hazard : - tipina pojava kad dva logika signala koji imaju suprotne vrijednosti () poprimaju istu vrijednost za vrijeme prijelaznog stanja -moe se:-dodati redundantni lan (produkt/sumu) -izbjei oitanje signala za prijelazne pojave (dodati impulse sinkronizacije) - usporavanje rada sustava! Minimum Booleove funkcije Cilj minimizacije -jedna Booleova funkcija se moe prikazati na vie naina -primjer: B A AB B A f ) (B A A A B B B A B A AB B A B A B A AB B A f ) ( ) (B AABB AABfABf Primjer minimizacije algebarskom metodom: funkcija -tablica kombinacija ABCf 0000 0011 0101 0110 1000 1011 1100 1111 C B A C B A C B A ABC f Minimizacija K - tablicama -cilj: to vei broj 1 u zaokruenju - I sklop s manjim brojem ulaza to manji broj zaokruenja - manji broj I sklopova = manji broj ulaza u ILI sklop MintermAB m000 m110 m201 m311 MintermABC m0000 m1001 m2010 m3011 m4100 m5101 m6110 m7111 MintermABCD m00000 m10001 m20010 m30011 m40100 m50101 m60110 m70111 m81000 m91001 m101010 m111011 m121100 m131101 m141110 m151111 -dobivena suma je minimalna -ukljuuje tri produkt-lana od kojih je trei minterm C B A C B A C B A ABC f C B A C B A AC C B A C B A B B AC f ) (C B A C B AC C B A C B A C B A C B A ABC f -primjer: -suma primarnih implikanata ne mora biti minimalna suma -u funkciji: suma svih primarnih implikanata -minimalna suma ABCf 0000 0011 0101 0110 1000 1011 1100 1111 ABC BC A C B A C B A f B A BC C AC C B A A A BC B B C AABC BC A BC A C B A C B A C B A f ) ( ) ( ) (BC C A A A BC B B C A ABC BC A C B A C B A f ) ( ) ( Teoremi o primarnim implikantima Primarni je implikant funkcije f produkt-lan P koji implicira funkciju f. Ako se iz njega odstrani bilo koja varijabla, rezultirajui produkt-lan vie ne implicira funkciju. Kompletna suma funkcije f je zbroj svih primarnih implikanata Minimalna suma funkcije f suma je primarnih implikanata. Minimalna suma funkcije f ne mora biti i kompletna suma. Algebarska metoda minimizacije Transformacija funkcije zamjenom jednog njenog oblika (izraza) drugim, uzastopnom primjenom postulata i teorema Booleove algebre Algebarski pristup minimizaciji logikih funkcija se zasniva na primjenama raznih zakona pojednostavljenja i zamjene sloenih podformula jednostavnijim, logiki ekvivalentnim, formulama. ne postoji sustavan postupak koji vodi do minimuma Algebarska metoda minimizacije funkcija izraena u algebarskom obliku moe se minimizirati tako da se transformira iz jednog oblika u drugi uzastopnom primjenom osnovnih aksioma i teorema primjer: zadana funkcija: ) )( ( C B C A A C B f postupak minimizacije: -minimalna suma: ) )( ( C B C A A C B f -prikazana algebarska metoda minimizacije sastoji se u transformaciji logikog izraza primjenom aksioma I teorema -ne postoji sustavni postupak koji bi doveo do minimalnog rezultata -sve ovisi o znanju, iskustvu i intuiciji projektanta -prikazana metoda za jednostavnije primjere Minimizacija K - tablicama -postupak minimizacije za funkcije u obliku sume produkata : -"zaokruivanje" uzoraka 2i susjednih polja s 1 -"eliminiranje" i varijabli -par polja eliminira jednu varijablu (simplifikacija) Karnaughove tablice -grafiki prikaz funkcije izveden iz tablice kombinacijaprimjer:geneza K-tablice s dvije varijable-standardni izgled tablice kombinacija -standardna tablica nacrtana sa svim parametrima unutar pravokutnika -tablica kombinacija s minimalnom distancom -K-tablica dobivena iz tablice s minimalnom distancom Minterm ABC m0000 m1001 m2010 m3011 m4100 m5101 m6110 m7111 Minterm ABCD m00000 m10001 m20010 m30011 m40100 m50101 m60110 m70111 m81000 m91001 m101010 m111011 m121100 m131101 m141110 m151111 Minimizacija K - tablicama Minterm ABCD m00000 m10001 m20010 m30011 m40100 m50101 m60110 m70111 m81000 m91001 m101010 m111011 m121100 m131101 m141110 m151111 Minimizacija K - tablicama postupak minimizacije nepotpuno specificirane funkcije u obliku sume produkata: nuno je pokriti sve 1, ali ne i sve X X se interpretira kao 1 (X = 1) samo ako se time moe proiriti zaokruenje vee zaokruenje - jednostavniji Booleov izraz = jednostavniji sklop! Minimizacija K - tablicama Minimizacija K tablicama upotreba maksterma minimizacija funkcije u obliku produkta maksterma isti postupak, samo se zaokruuju 0 rezultat je produkt suma "itanje" zaokruenja 0 kao sume produkata - komplement funkcije Minimizacija K - tablicama INTEGRIRANI LOGIKI SKLOPOVI -potreba za izradom integriranih sklopova je velika zbog jednostavnosti daljnjeg koritenja samog sklopa -integrirani sklop se moe promatrati kao crnu kutiju tj. ono to se dogaa u sklopu ne promatra se, bitno: kako izlaz ovisi o ulazu -kad se zna to integrirani sklop radi uvelike se ubrzava proces projektiranja digitalnih sustava -od razvoja novih digitalnih sustava vrijeme projektiranja znatno utjee na cijenu samoga proizvoda pa su samim time Integrirani logiki skolpovi jo vaniji -ukoliko se u procesu projektiranja standardiziraju naponski nivoi i struje kroz sklopove, pouzdanost sklopa se uvelike poveava Inte -u dananje vrijeme Integrirani logiki sklopovi proizvode se na bazi silicija -u posljednje vrijeme se pokuava nai bolji materijal za izradu sklopova -GaAs, galij-arsenid se pokazao bolji od Si -njegova proizvodnja i obrada jo uvijek skuplja -integrirani sklopovi se ostvaruju bipolarnoj i MOSFET tehnologiji -predstavnik bipolarne tehnologije: TTL -zbog manjeg utroka povrine na ploici silicija te manjeg utroka snage vie se koristi tehnologija MOSFET -prvi integrirani MOSFET logiki sklopovi bili su CMOS -bipolarna i MOSFET tehnologija mogu se promatrati u odnosu na razliite kriterije: brzina rada sklopova, stupnju integracije, utroak snage, disipacija snage -bipolarna tehnologijatemelji se na bipolarnim tranzistorima-MOSFET tehnologijatemelji se na MOSFET tranzistorima -bipolarni tranzistori su strujno upravljani elektroniki elementi -zauzimaju veu povrinu na Si ploici, te se stoga teko postie vii stupanj integracije -bipolarni sklopovi su bri od MOSFET sklopova -skupina integriranih logikih sklopova ECLizvedena u bipolarnoj tehnologiji - najbratranzistori u tehnologiji MOSFET naponski su upravljanji elektroniki elementi, pa je kod njih disipacija mnogo manja -tranzistor zauzima jako malu povrinu na ploici (15% povrine bipolarnog tranzistora) pa je stoga stupanj integracije puno vei -MOSFET sklopovi su znatno sporiji -zbog mogunosti velike integracije CMOS tehnologija je u konanici prevladala -skupinom CMOS rijeeni su problemi sporijeg rada te se ta tehnologija koristi za sve standardne sklopove vrlo visoke sloenosti kao to su mikroprocesori imemorije -nedostatak tih sklopova je osjetljivost na statiki elektricitet, koji moe dovesti do unitenja sklopa probojem upravljake elektrodePakiranje integriranih sklopova ako se gleda integrirani sklop(ip) odozgo, tako da udubina na kuitu bude gore prvi pin na lijevo je 1 te tako sve do kraja te strane prvi pin na drugoj strani odozdo je zadnji sa prijanje strane uvean za 1 -nasuprot prvome je zadnji pin -vano za svaku skupinu integriranih sklopova: koncept realizacije univerzalnih funkcija - dva osnovna principa ostvarivanja univerzalnih funkcija: 1) kompozicija osnovnih funkcija 2) direktno, kombiniranjem tranzistora -univerzalna funkcija se obino gradi kompozicijom funkcija NE i I, ime se dobiva funkcija NI -skupine koje primjenjuju ovakav koncept ostvarenja univerzalne funkcije mahom su bipolarne, i obuhvaaju DTL, HTL te TTL Direktno ostvarivanje univerzalnih funkcija -univerzalna funkcija se dobiva direktno kombiniranjem bipolarnih tranzistora ili MOSFET-a -skupine koje primjenjuju ovaj koncept obuhvaaju od bipolarnih RTL(najstarija skupina integriranih log. sklopova), I2L, ECL(najbra skupina),od MOSFET-a NMOS(PMOS)te CMOS(skupina koja danas prevladava) Realizacija direktnim povezivanjem izlaza -u skladu s tenjom za utedom broja sklopova prilikom ostvarivanja sloenih struktura razvijena je tehnika njihova kombiniranja fizikim povezivanjem izlaza, jo se naziva spojeni I -ovim se smanjuje broj potrebnih sklopova, tedi se prostor na ploici, tedi se veliina izvora napajanja te disipacija snage -spojeni I -ekonomska prednost -nedostaci: koji su vezani za pogoranje elektrinih i dinamikih osobina -za vrijednosti otpora u izlazu manje od 100, povezivanje u spojeni I je zabranjeno zbog mogueg unitenja izlaznog stupnja sklopova INTEGRIRANI LOGIKI SKLOPOVI naponska podruja, optereenje izlaza -smetnja superponirana na ulazima slijedeeg stupnja -prijenosna karakteristika: idealna, stvarna -izmjenine smetnje: -osnovni parametar koji opisuje dinamiko ponaanje integriranih logikih sklopova je vrijeme kanjenja -to je vrijeme koje protekne od promjene signala na ulazu do one na izlazu sklopa -vrijeme pada, vrijeme porasta, -faktor grananja na izlazu za bipolarne skupine broj ulaza istovrsnih sklopova koje je mogue spojiti na izlaz logikog sklopa, a da sklopovi sigurno rade u predvienom reimu -faktor grananja na izlazu za MOSFET skupine -broj ulaza istovrsnih sklopova koje je mogue spojiti na izlaz logikog sklopa, a da se previe ne pokvare dinamika svojstva -faktor grananja na ulazu za MOSFET skupine-broj ulaza logikog sklopa -serijski spoj izlaznih tranzistora -paralelni spoj izlaznih tranzistora (NILI) -bolje -faktor grananja na ulazu za bipolarne skupine -optereenje jedininom strujom -optereenje strujom koja nije jedinina -integrirani logiki sklopovi mogu se svrstati u nekoliko skupinakoje su nastale tijekom njihovog razvoja -sklopovi unutar jedne skupinestandardizirani su i prilagoeni za meusobno spajanje -za sklopove pojedine skupine karakteristini su: - temeljni sklop - napon napajanja - ulazni i izlazni naponi i struje - utroak snage - brzina rada -skupine logikih sklopova koje se danas najvie upotrebaljvaju su TTLiCMOS skupina -glavne komponenteTTLsklopova su bipolarni tranzistori -kod CMOS skupine primjenjuju se komplementarni tranzistori s efektom polja s izoliranom upravljakom elektrodom (MOSFET) -meu ostalim ne tako estim skupinama su i NMOS, ECL,RTL, DTL, HTL,... RTL (Resistor-Transistor Logic)spoj -RTL skupina je povijesno prva skupina integriranih logikih sklopova -temeljni sklop je zasnovan na paralelnom spajanju tranzistora, to daje funkciju NILI -zbog ogranienja broja ulaza poela se koristiti tehnika spajanja izlaza Temeljni sklop skupine DTL -kod ove skupine ulazna I-mrea direktno pobuuje izlaznu tranzistorsku sklopku -da bi se osiguralo zapiranje izlaznog tranzistora za sluaj kad je barem jedan ulaz na niskoj razini, potrebno je ugraditi diodu D1 -radi sigurnosti unutarnji izlaz ulazne diode I-mree povezuje se na izlazni tranzistor dvjema diodama D1 i D2TTL (transistor-transistor logic )skupina -TTL skupina zamjenila je prethodnu DTL skupinu radi postizanja boljih dinamikih svojstava kod prijelaza iz niske u visoku razinu na izlazu sklopa -poboljava iskljuivanjeizlaznog tranzistora i ubrzava nabijanje parazitnih kapacitivnosti na izlazu sklopa sa dva mehanizma -standardna izvedba temeljnog sklopa skupine TTL podskupine 74 -za standardnu izvedbu temeljnog sklopa TTL prijenosna karakteristika ima karakteristini oblik s koljenom u zabranjenom podruju, to je posljedica trostupanjske strukture sklopa -prijenosna karakteristika koja ima oblik obrnutog slova S, koji je uobiajen za invertirane sklopove -skupina TTL-spojeni I za izlazni stupanj oblika totemskog stupa -spojeni I sa sklopovima sa slobodnim kolektorom -logika shema -sklop TTL sa slobodnim kolektorom -sklop sa tri stanja na izlazu -logiki simbol -povezivanje na sabirnicu -vrijednosti karakteristinih parametara skupine TTL CMOS (Complementary MetalOxideSemiconductor) skupina -u CMOS skupini primjenjuju sep-kanalni i n-kanalni MOSFET zajedno -rad sklopova ove skupine temelji se na sklopki s komplementarnim MOSFET-ima -tranzistori su meusobno spojeni tako da p-kanalni MOSFET ima spojen uvod na napon napajanja, a n-kanalni na zajedniku toku -upravljake elektrode spojene su zajedno i ine ulaz, a zajedno spojeni odvodi ine izlaz -skupina CMOS ima prednost u odnosu naTTL skupinu zbog toga to zauzima vrlo malu povrinu ipa uz vrlo mali utroak energije i to sam o zbog kratkotrajnih strujnih impulsa prilikom promjene stanja sklopa -slika prikazuje CMOS invertersa MOSFET tranzistorima: MOSFET logika -temeljni sklop NILI i NICMOS sklop NILI -CMOS-sklop NI -spojeni I u skupini CMOS -karakteristine vrijednosti skupine CMOS -povezivanje sklopova CMOS i TTL -oba sklopa su na istom napajanju -CMOS sklop ima vii napon napajanja -primjena odvojnog sklopa sa slobodnim kolektorom ECL (Emitter Coupled Logic )-ECL je najbra logika u skupini integriranih logikih sklopova -to je bipolarna skupina ciljano razvijena za implementacije vrlo brzih digitalnih sklopova i sustava -temeljni sklop skupine ECL: -logiki simbol: Usporedba skupina-u tablici su usporedno prikazane tipine vrijednosti osnovnih parametara pojedinih skupina integriranih logikih sklopova -kao parametar za usporedbu: upotrijebljen produkt kanjenja i snage (td*P) mjera dobrote integriranog sklopa dimenzija [ns] [mW] = [pJ] -to je vrijednost toga produkta manja, to je sklop u stanju postii isto kanjenje uz manju snagu, odnosno uz istu snagu manje kanjenje, pa je stoga sklop efikasniji -poto taj produkt donekle ovisi i o optereenju, iz tablice je vidljivo da su CMOS i TTL sklopovi najefikasniji -danas se najvie upotrebljavaju sklopovi skupine CMOS, koji omoguuju veliku gustou pakiranja te osiguravaju malu potronju i sve veu brzinu rada Standardni kombinacijski moduli kombinacijski modulidekoderdemultipleksor multipleksor prioritetni koder pretvornik kodakomparator Kombinacijski moduli izlazi = f(ulazi) ostvarivanje sloenije (od I, ILI, NE) funkcije tipine izvedbe: MSI i LSI ipovi/dijelovi ipa Kombinacijski moduli openita podjela kombinacijskih modula: specijalni: ciljano projektirani za zadani sustav optimalna izvedba standardni: "ope namjene" (engl. general purpose) proizvodnja u velikim serijama- niska cijena iroko koritene funkcije univerzalni- ostvarivanje proizvoljne Booleove funkcije Kombinacijski moduli ostvarivanje sloenijih kombinacijskih funkcija: dekoderi i pretvornici koda sklopovi za odabir podataka koderi komparatori aritmetiki moduli Dekoder- kombinacijski sklop s vie izlaza; za svaki izlaz postoji samo jedna binarna kodna rije za koju e biti u 1 funkcija dekodiranja - identificiranje kodne rijei nekog koda dekoder -aktivan samo jedan izlaz,onaj koji "odgovara" narinutoj kodnoj rijei tipina oznaka: /2

2n-12n-210An-1An-2A1A0n ulaza 2n izlazaZi =1za i = An-1 ... A00za i An-1 ... A0(E = 1)(E = 0) podjela dekodera: binarni dekoderi: n = 2, 3, 4, ... ulaza"1-od-2n" izlaza usmjeravanje informacije na jedan od izlaza dekadski dekoderi: n = 4 ulaza"1-od-10" izlaza dekodiranje binarnih kodova za prikaz dekadskih znamenki npr. BCD, XS-3 Binarni dekoder Primjer: binarni dekoder 2/4 upravljanje sklopom- ulaz za omoguavanje E (engl. enable)E0D AB =1D AB =2D AB =3D AB =A BEABD0D1D2D3 0XX0000 1001000 1010100 1100010 1110001 ABDEK2/4Ea1a0D0D1D2D3 dekoderi s veim (n > 16) brojem izlaza: izvedba jednim modulom nepraktina - presloeni MSI modul praktinije kaskadiranje - dekodersko stablo (engl. decoder tree): openita metoda vrijedi za proizvoljno sloni modul;npr. izvedba dekodera sklopovima I Primjer: dekoder 4/16 kao dekodersko stablo A3A2A1A0 0

0

00 01 10 11 0

1

00 01 10 11 1

0

00 01 10 11 11 00 01 10 11 10D11D12D13DA3A2Ea1a00123Ea1a00123Ea1a00123Ea1a00123Ea1a001230123456789101112131415A0A1Ostvarivanje funkcija dekoderom izlazi dekodera= potpuno dekodirane kodne rijei~ mintermi ostvarivanje logikih funkcija dekoderom: funkcija u kanonskom disjunktivnom obliku "pokupiti" (funkcija ILI) izlaze koji odgovarajumintermima zastupljenim u definiciji funkcije 1 0 2 101 0 2 101 0 2 101 0 2 1000 001 110 211 3A AA AA AA A = = = =Ostvarivanje funkcija dekoderom: ( , , ) (0, 2, 3, 5, 6, 7) Primjer f A B C m =f(A, B, C) dekoder 3/8 A A2A1A001234567BCde Morganf(A,B,C)ABDEKa1a001230D AB =1D AB =2D AB =3D AB =f AB AB = +Dekadski dekoder dekodiranje koda s N < 2n - "nepotpuno" dekodiranje broj izlaza < 2broj ulaza tipini sluaj- dekodiranje binarno kodiranih dekadskih znamenki BCD XS-3 2421 itd. Primjer: BCD-dekadski dekoder 7442 oznake: D = najvia teina (23) izlazi invertirani: zgodno kod kombiniranja sklopova kombinacije 0 i 1 koji nisu kodne rijei: ne prepoznaju se! sklop se moe minimizirati DCBA0123456789-K-tablica za minimizaciju BCD-dekadskog dekodera 0 X5 X00 01 11 100001ABCDCAB3 72 X1110D49 1X X6 X8DEK4/10a3a2a1a00123456789DCBADemultipleksor demultipleksor: ulaz za omoguavanje dekodera - funkcija ulaza za podatke "usmjeravanje"/"raspodjela" ulaza na odabrani izlaz- "demultipleksiranje"-demutipleksor-logiki sklop koji prima podatak na jednom ulazu i raspodjeljuje ga na jedan od najvie 2n moguih izlaza gdje je n broj bitova na upravljakom ulazu dekodera A1A0E0123upravljanje (adresa)A1A0"0" "1" "2" "3"0 0 E 0 0 00 1 0 E 0 01 0 0 0 E 01 1 0 0 0 E-demultipleksor izveden pomou dekodera DEKa0 a1ED0D1D2D3ulazB A Multipleksor -multipleksor je sklop koji podatak prisutan na jednom od ulaza bira, odnosno selektira izmeu informacija prisutnih na drugim ulazima I alje ga na jedan izlaz -zove se i selektor podataka -funkcija multipleksora je ekvivalentna funkciji koju obavlja neka viepoloajna sklopka -upravljakim ili selektirajuim ulazima a0 do an-1 bira se jedan od ulaza Ii i alje na izlaz Z MUX2n/1an-1 ----- a0I0I1I2n-1Zupravljanje multipleksor: odabir podataka - "multipleksiranje" funkcija upravljane preklopke :upravljanje (adresa)EAn-1 ... A0I0I1ZZi =Iiza i = An-1 ... A00za E = 0(E = 1)21nI22nIPrimjer: multipleksor 4/1 adrese se dekodirajuizlazi iz dekodera koincidiraju s ulazima Ii -proputaju samo jedan od ulaza na izlazni ILI sklop EA1 A0I0I1I2I3Z0123 A1 A0ZI0I1I2I3A1A0EA1A0Z 0XX0 100I0 101I1 110I2 111I3 izvedba funkcije multipleksiranja na sabirnikoj liniji: izlazni ILI"upravljani spojeni ILI"~ samo jedan sklop definira vrijednost V/N sklopovi s tri stanja upravljani izlazima iz dekodera (upravljani) odvojni sklop EA1 A0I0I1I2I3Z0123 A1 A0ZI0I1I2I3A1A0ADf(A) = ADAf 0XZ 100 111 odvojni sklop (upravljani) izlaz s tri stanja visoko (V) nisko (N) stanje visoke impedancije (Z) -obje izlazne sklopke iskljuene :nema pritezanja ni prema V, a niti prema N +Uf = VupravljanjeR1'R1'~R2R2+Uf = NupravljanjeR1'R1'~R2R2+Uf = ZupravljanjeR1'R1'~R2R2ADf(A) = A funkcija multipleksiranja:- viestruko iskoritenje spojnih puteva - prijenos razliitih podataka istim fizikim spojnim putem- vie logikih kanala preko jedne fizike linije - vremenska podjela (vremenski multipleks) upravljanje (sinkronizacija)An-1 A0I0I1I2n-2I2n-1ZAn-1 A0O0O1O2n-2O2n-1EAn-1 A0}sinkronizacija 2n "kanala"MUX DEMUXMUX: predajnikDEMUX: prijemnik izvedbe multipleksora -primjer: MSI modul; npr. 74153 (dvostruki etveroulazni) zajednike adrese A1, A0 izdvojeni ulazi za omoguavanje: E0,E1 I3 I2 I1 I0 ZA1A0EZ1A1A0I13I12I11I101EI3 I2 I1 I0 ZA1A0EZ0I03I02I01I000E multipleksori s veim (n > 16) brojem ulaza: izvedba jednim modulom nepraktina - presloeni MSI modul praktinije kaskadiranje - multipleksorsko stablo (engl. multiplexer tree) izgradnja multipleksorskog stabla: podjela tablice definicije funkcija u podtablice- ulazi u MUX vie razine varijable viih teina na MUX "vie razine" Primjer:multipleksor 16/1kao multipleksorsko stablo I3 I2 I1 I0 ZA1A0EA1A0I15I14I13I12I3 I2 I1 I0 ZA1A0EI11I10I9I8I3 I2 I1 I0 ZA1A0EI7I6I5I4I3 I2 I1 I0 ZA1A0EI3I2I1I0A3A2I3 I2 I1 I0 ZA1A0Emultipleksor vie razinemultipleksori vie razineOstvarivanje funkcija multipleksorom ostvarivanje logikih funkcija multipleksorom: funkcija multipleksiranja: mi: minterm predstavlja adresu definicija funkcije od n varijabli u kanonskom disjunktivnom obliku : pridruivanje:2 10ni iiZ I m== 2 11 00( ,..., )nn i iif x x a m== 1 0, ( ,..., )i i i i nA x I a Z f x x = = =Primjer: ostvarivanje funkcije tri varijable - simulacija rada permanentne memorije (ROM) - neefikasno I7 I6 I5 I4 I3 I2 I1 I0 ZA2A1A0f(A,B,C)ABC01( , , ) (0, 2, 3, 5, 6, 7) f A B C m =( )i im I - rjeenje trivijalnim rezidualnim funkcijama- efikasnije rjeenje:- za MUX s n-1 adresa i 2n-1 informacijskih ulaza - na ulaze MUX dovoditi funkcije varijable najmanje teine - 2n-1 informacijskih ulaza - 2n-1 funkcija ostatka, rezidualnih funkcija -rezidualne funkcije od jedne varijable - trivijalne rezidualne funkcije 1 0( ,..., )nf x x :( , , ) (0, 2, 3, 5, 6, 7) Primjer f A B C m =A1A0ADRESIRANI f ABCULAZ 000 I0 1 0010 010 I1 1 1 0111 100 I2 0 C 1011 110 I3 1 1 1111 C A1 A0I0I1I2I3ZA B C 1f(A,B,C)Prioritetni koder funkcija kodiranja - generiranje binarne kodne rijei nekog koda- koder: aktivan samo jedan ulaz (npr. Ii = 1)2n ulaza n izlaza tipina oznaka: 2

/ I2n-1 I2n-2 I1I0An-1An-2A1A0Prioritetni koder -rjeava problem vie aktivnih ulaza tako da djeluje ulaz najvieg prioriteta - za sluaj da su svi ulazi u 0 ima posebni izlaz y I3 I2I1I0A1A0yPretvornik koda pretvornik koda (engl. code converter): pretvorba kodnih rijei dvaju razliitih kodova isti princip kao kod dekodera i kodera: dekoder - kodna rije 1 aktivni izlaz koder- 1 aktivni ulaz kodna rije razliiti tipovi MSI modula + mogunost kaskadiranja (2-dimenzijske strukture) Pretvornik koda Primjer: pretvornik BCD koda u 7-segmentni vrlo rairena primjena - prikaz BCD znamenki element za prikaz - 7-segmentni prikaz (engl. 7-segment display)abcdefg tablica pretvorbe BCD u 7-segmentni kodD3D2D1D0 23222120abcdefg 8421 000001111110 100010110000 200101101101 300111111001 401000110011 501011011011 601101011111 701111110000 810001111111 910011111011 abcdefgKomparator- komparator - sklop za usporedbu dva n-bitna broja (npr. A i B) obino cijeli brojevi bez predznaka mogunosti: A = B A > B A < B -MSI modul- 4-bitni+ mogunost kaskadiranja A2n-1 B2n-1 A1B1A0B0A > BA = BA < B izgradnja komparatora (jedna mogunost): usporedba po bitovima- sklop EX-NILI izlaz A = B- I funkcija usporedbi po bitovima izlaz A > B - dominira prvi bit sa svojstvom Ai > Bi (poev od bita najvie teine) izlaz A < B- not ((Ai > Bi) or (A = B))PERMANENTNA MEMORIJA Osnovna struktura i primjena -osnovna struktura sklopa permanentne memorije: sklop od dekodera i kodera DEKODER KODER2nizlazb bitaadresan bita-simbol permanentne memorije Vrijeme pristupa-od zahtjeva za itanjem do pojave sadraja na izlazu (za dananje permanentne memorije oko 100ns) ROM2n/ba0an-1D0Db-1-osnovna logika shema permanentne memorije 8X4 s upisanim podacima kao primjerom D00 1 2 3 4 5 6 7D1D2D3a0a2a1dekoderkoder-permanentna memorija-simboliki pojednostavljena shema -neke uobiajene oznake i simboli D3a0D2D1D0a1a2stalni spojprogramirljivi spojpojaalo s invertiranim i neinvertiranim izlazimasklop s vie ulaza sa stalnim ili programirljivim spojevima-tablini prikaz permanentne memorije Ostvarivanje logikih funkcija -u gornjem primjeru-4 funkcije f0=(0,1,3,5) f1=(1,4,6,7) f2=(0,3,4,7) f3=(2,3,5,6) rijea2a1a0D3D2D1D0 00000101 10010011 20101000 30111101 41000110 51011001 61101010 71110110 Razvoj sklopovskih izvedbi Neprogramirljive permanentne memorije ROM Programirljive permanentne memorije PROM Izbrisive programirljive permanentne memorije EPROM Elektriki izbrisive programirljive permanentne memorije EEPROM Neprogramirljive permanentne memorije ROM -izvedba kodera diodnom matricom D1210iz dekodera-koder permanentne memorije izveden s MOSFET-imaD1rije 0rije 1D2rije 2D0+UDD+UDD +UDDProgramirljive permanentne memorije PROM Osigura pregori ako se dovede jaa struja (5ma). 1. rijeUCCb0UCCb12. rijetopljivi "osigurai"Izbrisive programirljive permanentne memorije EPROM -osnovna elija EPROM-a MOSFET s lebdeom elektrodom -upis-na G2 napon 20V vie milisekundi -brisanje-kroz prozori za prolaz ultraljubiastog zraenja od kvarcnog stakla-desetak min. polikristal silicijaG1 - lebdea elektrodaSiO2G2S Dp - supstratn+n+Elektriki izbrisivi programirljivi ROM (EEPROM) -irina izolatora smanjena na manje od 0.02m -osnovna elija EEPROM-a: -upis-na G2 napon 20V -brisanje-na odvod napon 20V -vrijeme itanja: 150 ns -vrijeme upisa: 1ms -svojstva nekih permanentnih memorija KapacitetOrganizacija Vrijeme pristupa[ns] Potronja [mW] FunkcijaTehnologija 4 M512 K x 890120ROMCMOS 128 M16 M x 8100110ROMCMOS 16 K2 K x 835650PROMbipolarna 256 K32 K x 865150PROMCMOS 4 M256 K x 169036EPROMCMOS 1 M128 K x 820050EEPROMCMOS Programirljive logike napravePLD-programirljive logike naprave (Programmable Logic Devices) -cijela klasa sklopova kod kojih se i matrica I sklopova moe programirati, pa je potreban broj sklopovaza realizaciju funkcija znatno smanjen, a sloenost funkcija poveana -koncepcija: -programirljiva logika naprava -openiti prikaz: logike varijableblokovi logikih sklopovaprogramirljive sklopke za povezivanjeProgramirljivo logiko polje (PLA) PLA-programirljivo logiko polje (Programmable Logic Array) -ista logika struktura kao kod permanentnih memorija -struktura programirljivog logikog polja x0xn-1x1dekoderkodernizlazni stupanj0y1y0y1y1 by 1 by Primjer:-izvedba funkcija s pomou PLA Z3BZ1Z0CDA123f ABD AC ACDf ACD ABD ABDf AD ACD ABD= + += + += + +-PLA izveden s NILImatricama-izvedba dviju funkcija AUDDUDDUDDBCUDDUDDS1S2S3f1f21 1 3 1 3( )( ) f S S S S A C A B C = + = = + + +2 2 3( )( ) f S S A C A B C = + = + + +-simboliki pojednostavljen prikaz: f3Bf1CAUDDS1S2S3UDDIzvan tvornice programirljivo logiko polje (FPLA) (Field Programmable Logic Array) -logika polja koja korisnik moe programirati na licu mjesta (izvan tvornice) -EEPROM elije s MOS tranzistorima u PAL matrici UG=BAUDDUDDS1S2Poluprogramirljivo logiko polje -PAL (Programable Array Logic) -sastoji se od I i ILI sklopova, programira se samo I matrica -jednostavnija -jeftinija u proizvodnji -bra -manje fleksibilna od PLA BCAf1f2-poluprogramirljivo logiko polje s mogunou ponovne uporabe izlaznog signala BCAf1f212 1f ABC ABf f A BC= += +-primjer: makroelija na izlazu PAL-a -na izlazu makroelije-multipleksor 2/1 i odvojni sklop s tri stanja -do sada prikazane programirljive naprave su relativno jednostavne strukture-jednostavne programirljive naprave-SPLD-(Simple PLD) f1D Qizlaz s 3 stanjaprema 1- matriciSloeni PLD -CPLD-sloene programirljive logike naprave (Complex Programmable Logic Devices) -osnovna struktura sloene programirljive logike naprave CPLD blok tipaPALulazi/izlaziprospojna matricablok tipaPALulazi/izlaziblok tipaPALulazi/izlaziblok tipaPALulazi/izlazi-primjer: dio strukture jednog bloka tipa PALDQblok tipa PAL0/1DQ0/1prospojna matricaProgramirljivo polje logikih sklopova (FPGA) -za izvoenje jo veih sustava -FPGA-programirljiva polja logikih sklopova (Field Programmable Gate Array) -primjer: osnovna struktura: ulazi/izlaziulazi/izlaziulazi/izlaziulazi/izlaziLB LBLB LBpovezivanje vodoravnih i okomitih vodovapovezivanje logikih blokova-pregledna tablica logike funkcije LUT (look-up-table) -sklop -tablica kombinacija -logika funkcija programira se tako da se upiu odgovarajue vrijednosti funkcije u bistabile -ulazni sklop s 4 bistabila -ulazne varijable X0 i X1 upravljaju dvoulaznim multipleksorima QB0B0B1QB1QB2B2B3QB3m2m1fX1X0X0X1f 00B0 01B1 10B2 11B3 Aritmetiki sklopovi -znaajna funkcija digitalnog sustava: obrada podataka-obavljanje aritmetikih i logikih operacija - glavni podsustav: procesor: - obavljanje operacija- cijeli brojevi, mijeani (racionalni) brojevi - glavni registri - upravljaka jedinica - algoritmi digitalne aritmetike radni dio procesora: - aritmetiko-logika jedinica, ALU (engl. Arithmetic-Logic Unit): osnovna izvedba ~ operacije nad cijelim brojevima graa ALU: binarno zbrajalo ~ zbrajanje, oduzimanje, mnoenje, dijeljenje jedinica za logike operacije ~ I, ILI, NE, EX-ILI sklop za posmak ~ mnoenje, dijeljenje Binarno zbrajalo osnovni algoritam binarnog zbrajanja: zbrajanje dva bita aibi0101 1010 1AiBiSiCi 0000 0110 1010 1101 2021 AiBiSiCi 0000 0110 1010 1101 i i i i i i ii i iS A B AB A BC A B= + = = Poluzbrajalo sklopovska izvedba zbrajanja dva bita- poluzbrajalo (engl. half-adder) SiCiAiBiAiBiCiSiAiBiCiSiH i i i i ii ii i iS A B ABA BC A B= += = -poluzbrajalo izvedeno s NI sklopovima AiBii iABi i i i iA B A A B = i i i i iA B B A B = i i i iA B A B = i i i ii i i i i iA B A BA B A B A B == + = Binarno zbrajalo zbrajanje s prijenosom-zbrajanje tri bita 1 1 1 11 11 1111 1 1 11( ) ( )( ) ( )( ) ( ) i i i i i i i i i i i i ii i i i i i i i i ii i i i i ii i ii i ii i i i i i i i i i i i ii i i i i i iS A BC AB C A B C ABCA B AB C AB A B CA B C A B CA B CA B CC ABC A BC AB C ABCAB A B C AB = + + += + + + = + = = = + + += + +1( )i i i i iA B C AB= +1 1 1 11 1 1 1i i i i i i i i i i i i ii i i i i i i i i i i i iS A BC AB C A B C ABCC ABC A BC AB C ABC = + + += + + +AiBiCi-1SiCi 00000 00110 01010 01101 10010 10101 11001 11111 Potpuno zbrajalo sklopovska izvedba zbrajanja tri bita: potpuno zbrajalo (engl. full-adder)-kaskadiranje dva poluzbrajala AiBiCiSiHAiBiCiSiHCiSiAiBiCi-1C'C''S'AiBiCiSiFCi-111'''' ''' 'i ii ii ii iS A BC A BS S CC S C CC C= = = = += +Binarno zbrajalo - potpuno zbrajalo- izvedba samo sklopovima NI s 2 ulaza AiBiSiCiCi-1Binarno zbrajalo zbrajanje viebitnih brojeva -najprije se zbroje dva broja -rezultat se zbroji s treim -postupak se ponavlja za sve znamenke -sklopovski:potrebno rijeiti samo zbrajanje dvaju brojeva -zbrajanje se moe provesti serijski ili paralelno Paralelno zbrajanje binarnih brojeva (brojilo s propagirajuim prijenosom) AiBiCiSiFCi-1C3B3A3S3C2AiBiCiSiFCi-1B2A2S2C1AiBiSiFCi-1B1A1S1C0AiBiSiHB0A0S0CiCiF F F HBinarno zbrajalo viebitno paralelno zbrajalo: MSI modul (obino 4-bitni) mogunost kaskadiranja- ostvarivanje n-bitnih (n > 4) zbrajala tipini primjer: 7483 (TTL, serija 74)C4B1A1C0B3A3B0A0B2A201233 2 1 03 2 1 03 2 1 0A A A A AB B B B BS=== Binarno zbrajalo viebitno paralelno zbrajalo - serijsko rasprostiranje prijenosa: - usporavanje rada za raunanje Si potreban Ci-1 , i = 1, , n-1 najvie vremena treba za raunanje Sn-1, Cn-1

AiBiCiSiF Ci-1c3b3a3s3AiBiCiSiF Ci-1b2a2s2AiBiSiF Ci-1b1a1s1AiBiSiFb0a0s00 CiCitdIC3tdIS3333 1 4d d dS C Sd dC Ct t tt t= + = rjeenje problema serijskog rasprostiranja prijenosa~ izdvojeno generiranje prijenosa, CLA (engl. carry look-ahead) Gi : generirajui lan (engl. generate) Gi = 1 Ci = 1 bez obzira na Ci-1.(Ai Bi) ~ Gi "generira" prijenos Pi : propagirajui lan (engl. propagate)Pi = 1 Ci-1 = 1 Ci = 1 bez obzira na Gi ~ Pi "propagira" prijenos s prethodnog bita AiSiBiCi-1CiGiPi izdvojeno generiranje prijenosa: za i-ti bit u n-bitnom paralelnom zbrajalu: prvih nekoliko prijenosa: npr. za 4-bita razvoj za i-ti bit: 0 0 11 1 0 12 2 1 2 0 2 13 3 2 3 1 3 2 0 3 2 1jer je0 C G CC G G PC G G P G P PC G G P G P P G P P P= == + = + + = + + + 1 i i i iC G PC= + 1 2 1 0 1 2 1... ...i i i i i i i i iC G G P G PP G PP P P = + + + + ostvarivanje izdvojenog generiranja prijenosa sklopomdrugog reda (tipa ILI-I) Ci: kanjenje pri generiranju Ci: 2td uraunati td za generiranje Gi i Pi: jo 2td

sveukupno kanjenje: 4td

Binarno zbrajalo MSI sklop za izdvojeno generiranje prijenosa- tipino 4-bitni moduli: tehnoloki problemi u ostvarenju I sklopova s (pre)velikim brojem ulaza!- kaskadiranje izdvojenog generiranja prijenosa za manji broj bitova broj bitova zbrajalapoveava kanjenje kanjenje ne raste toliko brzo kao kod zbrajala sa serijskim prijenosom MSI sklop za izdvojeno generiranje prijenosa(engl. carry look-ahead generator, CLA generator): Ci-4Ci-3Ci-2Ci-1Pi-3Gi-3Pi-2Gi-2Pi-1Gi-1Ci-4Gi-1Pi-1 G'iGi-3Pi-3GiPiGi-2Pi-2C'iP'iCi-3Ci-2Ci-14 43 3 4 32 2 3 2 4 4 31 1 2 1 3 1 24 1 2 3 i ii i i ii i i i i i ii i i i i i ii i i iG CC G G PC G G P G P PC G G P G P PG P P P == + = + + = + + + Binarno zbrajalo zbroj vie n-bitnih brojeva- pribrajanje parcijalnoj sumi = "akumuliranje" - uobiajena situacija u raunalima - mora postojati registar za parcijalnu sumu- akumulator (engl. accumulator)-vani dio arhitekture procesora - paralelno zbrajalo + akumulator = (primitivna) ALU Pn-1SB AP-10AKUMULATOR CP-sklop za serijsko zbrajanje binarnih brojeva CPFBQ DC cba S S xyZbrajanje u kodu BCD kod - zanimljiv za ljudsku upotrebu (prikaz brojeva!) - primijeniti binarno zbrajalo - "ekonominost" sklopovlja (standardni modul) - eventualna korekcija rezultata; izbjei zabranjene kombinacije (1010 do 1111) ispravno rijeiti pitanje (aritmetikog) preljevaZbrajanje u kodu analiza BCD zbrajanja-3 mogunosti u ovisnosti o sumi suma < 10-nema problema 10 suma 15 - generirati ispravnu BCD znamenku + BCD prijenos suma > 15 - generirati ispravnu BCD znamenku + BCD prijenos50101 +30011 81000 OK. 50101 +81000 131101 suma:3 prijenos: 1 oekuje se BCD rezultat(2 BCD znamenke) 1 0011 91001 +81000 1710001 suma:7 prijenos:1 postoji preljev! 1 0111 rezultat binarnog zbrajanja neispravan u kontekstuBCD koda~ korekcija : oduzeti 10 10102 uz 4-bitni prikaz: -10 = -16 + 6- oduzimanje 10 pribrajanje 650101 +8+1000 131101 +0110 10011 91001 +8+1000 17 10001 +0110 10111 sklop BCD zbrajala: A3FFF F FF F000P S3S2S1S0B3A2B2A1B1A0B0podkslopza korekcijuBinarno mnoenje sklopovska izvedba sklopa za mnoenjebinarnih brojeva (bez predznaka)~ implementacija Hornerove shemekombinacijskim sklopovimanpr. mnoenje 4-bitnih brojeva posmak multiplikanda~ niz posmaknutih zbrajala odabir posmaknutog multiplikanda~ niz koincidentnih sklopova (sklopovi I) broj stupnjeva~ broj bitova multiplikatora { }3 2 1 0x(( 2 ) 2 ) 2 , 0,1iM N Mn Mn Mn Mn n = + + + ePrimjer: sklop za mnoenje binarnih brojeva bezpredznaka (engl. unsigned array multiplier) C3B1A1C0B3A3B0A0B2A20123C3B1A1C0B3A3B0A0B2A20123M0M1M2M3M1M2M3M0M1M2M3M00 0P1P0P2P3P4P5P6P = M NN0N1N2{ }2 1 0x( 2 ) 2 , 0,1iP M N Mn Mn Mn n = = + + eBinarno mnoenje sklopovi za mnoenje unutar ALU: iskoristiti binarno zbrajalo ~ uzastopno pribrajanje multiplikanda bolje~ "upravljani posmak" multiplikanda +pribrajanje parcijalnih produkata:odvijanje u vremenu sporije od n-stupanjskog rjeenja bri od uzastopnog pribrajanja multiplikanda potreban sklop za posmak Sklop za posmak vrste posmaka (engl. shift): logiki~ posmak cijelih brojeva bez predznaka /uzorka bitova: posmak udesno: umetanje 0 s lijeva posmak ulijevo: umetanje 0 s desna aritmetiki ~ posmak cijelih brojeva s predznakom (2-komplement): posmak udesno: ponavljanje najznaajnijeg bita (bit predznaka) radi ispravne interpretacijeposmaknutog broja (dijeljenje!) posmak ulijevo: umetanje 0 s desna krui ~ "rotiranje" bitovnog uzorka Primjer: posmak brojeva udesno posmak broja F016 = 111100002 aritmetiki: 11110000 >> 2 11111100 (FC) logiki: 11110000 >> 2 11111100 (3C) posmak broja 505016 = 01010000010100002 aritmetiki: 1011101111001100 >> 4 1111101110111100 logiki: isto! posmak broja BBCC16 = 10111011110011002 aritmetiki: 1011101111001100 >> 4 1111101110111100 logiki: 1011101111001100 >> 4 0000101110111100 Sklop za posmak sklop za posmak (engl. shifter): direktno djelovanje na podatak u registru: poseban tip registra ~ sekvencijski sklop posmak se odvija u vremenu (posebni) kombinacijski sklop: posmak se ostvaruje iteriranjem sklopova princip: mrea multipleksora Bistabil pojam bistabila osnovni bistabil sinkroni bistabil tipovi bistabila poboljanje upravljanja karakteristini dinamiki parametri Pojam bistabila sekvencijski sklopovi (engl. sequential circuits): digitalni sklopovi koji imaju sposobnost pamenja; izlaz je funkcija: trenutnog stanja ulaza- trenutno narinute pobude trenutnog unutarnjeg stanja sklopa- postoji memorija struktura sekvencijskih sklopova: kombinacijski dio (KS) memorija (M) - obino memorijski elementi koji pamte binarne vrijednosti: moraju imati dva stabilna stanja KSMPojam bistabila memorijski element = bistabil (engl. flip-flop)- karakteristini digitalni sklop: ostaje u jednom od dva mogua stanja i bez djelovanja vanjske pobude stanja su stabilna - posebna struktura sklopa: unakrsno povezivanje invertora (sklopki) - multivibrator logiki i elektriki (naponski) stabilniOsnovni sklop bistabila: dva invertora u povratnoj vezi Sklop bistabila s bipolarnim tranzistorima _Q QT1T2RBRCRBRC+UCC_Q QPojam bistabila promjena stanja bistabila- okidanje impulsom ("okidni" impuls) samo iniciranje promjene stanja - T koji vodi (zasienje) treba dovesti u aktivno podruje dalje "regenerativna" povratna veza T1T2RBRCRBRC+UCC(ne vodi) (vodi)RD C+UCC simbol bistabila: izlazi su komplementarni: ulazi:S (engl. set): postavljanje Q = 1 R (engl. reset): postavljanje Q = 0 - "brisanje"! T1T2RBRCRBRC+UCC_Q QS Q_QRSklop bistabila s ulazima i izlazima i prikazom procesa okidanjaT1T2RBRCRBRC_Q Q+UCCS RIzvedbe invertora s NI i NILI sklopovima "logika" izvedba bistabila- izdvojeni ulazi za okidanje: interpretacija sklopki (invertora)univerzalnim funkcijama- sklopovi NI i NILI bistabil izveden sklopovima NI/NILI- osnovni bistabil (engl. latch):primjena u svim ostalim sloenijim vrstama bistabilate u sekvencijskim sklopovima A_AA A_A_AQ_QIzvedba invertora pomou univerzalne funkcije NI ili NILI i konstante _A_A_A_AAAAA10Izvedba bistabila pomou NI sklopova Q_QQ_Q11Izvedba bistabila pomou NILI sklopova Q_QQ_Q00Osnovni bistabil od NI sklopova (sklop i simbol) - tablica stanja: Q_Qxya)_S Q_Q_Rb)x y Q0 11 00 1 1 01 0 0 10 0 1 11 1 zabranjenoQ- vremenski odziv NI sklopa - linearna promjena na ulazu - idealizirani prikaz uutuutuutuuttdtda) b)- vremenski odziv bistabila od NI sklopova ttQtttDtDtDtDntostanje(n + 1) - vostanje(n + 2) - gostanjeprijelazne pojave0011RSQ??Osnovni bistabil od NILI sklopova (sklop,simbol)- tablica stanja osnovnog NILI bistabila:a)S Q_Q Rb)Q_Qvzv z Q0 11 00 1 1 01 0 0 11 1 0 00 0 zabranjenoQ- vremenski odziv: ttQtttDtD0Q??v(R)z(S)SINKRONI BISTABIL - sinkroni SR-bistabil od NI sklopova (sklop,simbol) Q_QSupravljanje+ invertiranje ulazaRCPSRosnovnibistabilS Q_Q RCP- vremenski odziv: ttQttS10R1010CP10- simbol sinkronog SR bistabila s aktivnom razinom 0 taktnog impulsa SQ_QRCPOSNOVNI LOGIKI TIPOVI BISTABILA - saeta tablica stanja SR-bistabila - tablica stanja SR bistabila S R Qn+10 0 Qn0 1 01 0 11 1 XQn S R Qn+10 0 0 00 0 1 00 1 0 10 1 1 ? (X)1 0 0 11 0 1 01 1 0 11 1 1 ? (X)R Q S Qn n 10 R S Ove dvije logike jednadbe potpuno definiraju rad sklopa i iz njih se uvrtavanjem pojedinih kombinacija moe izraunati slijedee stanje i generirati tablica stanja. Zovu se karakteristine jednadbe ili jednadbe prijelaza. Druga jednadba formalno definira uvjet da se ne dogodi zabranjena kombinacija na ulazu R Q S Qn n 10 R S- dijagram stanja SR bistabila - vorovi - stanja - linije - promjene stanja 00001100010110? ?SR = 11 11JK - bistabil a) osnovni sklop,b) simbol Ja)KCPJ Q_Q KCPS Q_Q RCPb)- saeta tablica stanja - tablica stanja: J K Qn+10 0 Qn0 1 01 0 11 1n QQn J K Qn+10 0 0 00 0 1 00 1 0 10 1 1 11 0 0 11 0 1 01 1 0 11 1 1 0Ja)KCPJ Q_Q KCPS Q_Q RCPb)- tablica stanja JK-bistabila prikazana pomou K-tablice 11 10 01 001 11 1 10JKQnKJQnn n nQ K Q J Q 1- tablica uzbude JK-bistabila: - dijagram stanja JK-bistabila Qn Qn+1 J K0 0 0 X0 1 1 X1 0 X 11 1 X 0000011001001, 11JK = 10, 11- izvedba JK-bistabila s osnovnim bistabilom od NILI-sklopova - oscilacije JK-bistabila sa sl. Kad je CP impuls predug - oba ulaza u 1 - mijenja stanje JKCPQ_QCPQ- ugraivanje dodatnog kanjenja radi sprjeavanja osciliranja JKCPS Q_Q RCPttT - bistabil a) sklop izveden od JK-bistabila b) simbol J Q_Q KCPT Q_QCPTCPa) b)- saeta tablica stanja T-bistabila T Qn+10 Qn1nQQn T Qn+10 0 00 1 11 0 11 1 0111100TQn- tablica stanja T-bistabilaK-tablica T-bistabila - tablica uzbude T-bistabila - dijagram stanja T-bistabila Qn Qn+1 T0 0 00 1 11 0 11 1 00 0 101T = 1- asinkroni T-bistabil T Q_QCP1ULAZD-bistabil a) sklop izveden od SR-bistabila b) simbol S Q_Q RCPDD Q_QCPa) b)- saeta tablica stanja D-bistabila: - tablica stanja D-bistabila D Qn+10 01 1Qn D Qn+10 0 00 1 11 0 01 1 1111100DQnDQnK-tablica D-bistabila:Qn+1=D Dijagram stanja D-bistabila 0 0 110D = 1Sklopovi bistabila s poboljanim okidanjem Dvostruki JK-bistabil - kanjenje od t0 do t3-ne moe doi do oscilacija CPtt0t1t3t