1 digital system design subject name : digital system design course code : it- 308 instructor : amit...

24
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page : www.worldcircle.org course Key: ST4EW6F

Upload: betty-cross

Post on 12-Jan-2016

215 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

1

Digital System Design

Subject Name : Digital System Design

Course Code : IT- 308

Instructor : Amit Prakash Singh

Home page : www.worldcircle.org

course Key: ST4EW6F

Page 2: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

2

Text-books

1. VHDL Primer by J. Bhasker; Addison Wesley Longman Pub.

2. Introduction to Digital Systems by M. Ercegovec, T. Lang and L.J. Moreno; Wiley

3. Digital System Design using VHDL by C.H. Roth;Jyco Pub

4. Circuit Design with VHDL by Volnei A. Pedroni; PHI

Page 3: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

3

Reference Book

1. VHDL: Analysis & Modeling of Digital Systems by Z. Navabi; MGH

2. VHDL Programming by Examples by Douglas L. Perry; TMH

3. VHDL by Douglas Perry

4. The Designer Guide to VHDL by P.J. Ashendem; Morgan Kaufmann Pub.

5. Digital System Design with VHDL by Mark Zwolinski; Prentice Hall Pub.

6. Digital Design Principles and Practices by John F. Wakerly, Prentice Hall (third Edition) 2001 includes Xilinx student edition).

Page 4: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

4

Overview

What is digital system design?– Use of available digital components

• Microprocessor, e.g. Pentium

• Micro-controller, e.g. 8051

• Digital processing units, e.g. counters, shift registers.

– Combine them to become a useful system

Page 5: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

5

Programmable logic vs. microcontrollers in prototyping

• In some situation you can design a digital system using programmable logic or microcontrollers

• Programmable logic – more general and flexible, economic for mass production

• Microcontrollers – more specific and less flexible, cost more in mass production

Page 6: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

6

Introduction

• VHDL is a hardware description language that can be used to model a digital system

• VHDL is often quoted to be an acronym for Very High Speed Integration Circuit Hardware Description Language or VHSIC Hardware Description Language

• VHDL is an IEEE standard as well as an ANSI standard for describing digital systems

Page 7: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

7

What is VHDL?

• VHDL = VHSIC Hardware Description Language

• (VHSIC = Very High-Speed IC)• Design specification language• Design entry language• Design simulation language• Design documentation language• An alternative to schematics

Page 8: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

8

Design Flow

VHDL entry

(RTL Level)

Netlist

(Gate level)

Optimized netlist

(Gate level)

Physical Device

Page 9: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

9

Synthesis

• Synthesis is the process of translating a design from a hardware description into a circuit design using a components from a specified library.

• The standard of VHDL Register Transfer Level Synthesis is available on following site: http://stdsbbs.ieee.org

Page 10: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

10

A Brief History

• Was developed in the early 1980s for managing design problems that involved large circuits and multiple teams of engineers.

• Funded by U.S. Department of Defence.• The first publicly available version was released in 1985.• In 1986 IEEE (Institute of Electrical and Electronics

Engineers, Inc.) was presented with a proposal to standardize the VHDL.

• In 1987 standardization => IEEE 1076-1987• An improved version of the language was relased in

1994 => IEEE standard 1076-1993.

Page 11: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

11

VHDL Environment

Page 12: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

12

Domains and Levels of Modeling

high level of abstraction

FunctionalStructural

Geometric “Y-chart” due to Gajski & Kahn

low level of abstraction

Page 13: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

13

Domains and Levels of ModelingFunctionalStructural

Geometric “Y-chart” due to Gajski & Kahn

Algorithm(behavioral)

Register-TransferLanguage

Boolean Equation

Differential Equation

Page 14: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

14

Domains and Levels of ModelingFunctionalStructural

Geometric “Y-chart” due to Gajski & Kahn

Processor-MemorySwitch

Register-Transfer

Gate

Transistor

Page 15: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

15

Domains and Levels of Modeling FunctionalStructural

Geometric “Y-chart” due to Gajski & Kahn

Polygons

Sticks

Standard Cells

Floor Plan

Page 16: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

16

Major Capabilities

• It contains elements that can be used to describe the behavior, dataflow, and structure of the digital systems

• It provides support for modeling the system hierarchically and also supports top-down and bottom-up design methodologies

• Models written by this language can be verified using a VHDL simulator.

• The language is not technology-specific • It supports both synchronous and asynchronous timing

models • Test benches can be written using the same language to

test other VHDL models

Page 17: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

17

Different Representation Models

• Some, Not Mutually Exclusive, Models– Functional– Structural– Physical

Page 18: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

18

Basic VHDL Concepts

• Interface

• Behavior

• Structure

• Test Benches

• Analysis, elaboration, simulation

• Synthesis

Page 19: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

19

Modeling Interfaces• Entity declaration

– describes the input/output ports of a module

entity reg4 isport ( d0, d1, d2, d3, en, clk : in std_logic;

q0, q1, q2, q3 : out std_logic );end entity reg4;

entity name port names port mode (direction)

port typereserved words

punctuation

Page 20: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

20

VHDL-87

• Omit entity at end of entity declarationentity reg4 is

port ( d0, d1, d2, d3, en, clk : in bit;q0, q1, q2, q3 : out bit );

end reg4;

Page 21: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

21

Modeling Behavior

• Architecture body– describes an implementation of an entity– may be several per entity

• Behavioral architecture– describes the algorithm performed by the module– contains

• process statements, each containing• sequential statements, including• signal assignment statements and• wait statements

Page 22: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

22

Behavior Examplearchitecture name of the architecture of name of the entity is

{Declaration section of architecture body}signal temp1,temp2,temp3 : std_logic;component name of the component is

port();

end component;begin

statement 1;x<= sum(function)1;process()1;procedure;process()2;statement 2;

label : name of the comp port map (connectivity);label2 : name of the comp port map (connectivity);

end architecture name of the architecture;

Page 23: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

23

VHDL-87

• Omit architecture at end of architecture body• Omit is in process statement header

architecture behav of reg4 isbegin

storage : process...

begin...

end process storage;end behav;

Page 24: 1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :

24

Modeling Structure

• Structural architecture– implements the module as a composition of

subsystems– contains

• signal declarations, for internal interconnections– the entity ports are also treated as signals

• component instances– instances of previously declared entity/architecture pairs

• port maps in component instances– connect signals to component ports