virtuoso multi-mode simulation with spectre platform · 2019-08-06 · の高速なspice...

11
Virtuoso Multi-Mode Simulation Virtuoso Multi-Mode Simulation は、総合的な 設計や検証のソリューションのための、業界を リードする Spectre 回路シミュレーション・プ ラットフォームを提供し、一つの共通のライセ ンス・パッケージで SPICERF(高周波設計)、 FastSPICE 、ミックスドシグナルのシミュレー ションを行うことができます。この統合されたソ リューション環境は、設計の目的に合わせて 選ぶことができ、スケーラブルなパフォーマン スとキャパシティーを提供し、アナログ、RF、メ モリー、カスタム・デジタルやミックスドシグナ ルの製品を実現するための堅牢な検証環境 をもたらします。 Spectre シミュレーション・プラットフォームで は、さまざまな解析や測定に柔軟にアクセス することができ、検証フローの各抽象度に合 わせて適切なシミュレーション・テクノロジーを 設計者に提供します。 Spectre Circuit Simulator は、アナログ/RF 回路設計のプ /ポストレイアウトの様々な解析の高精度 SPICE シミュ レーションの実行が可能です。 Spectre Accelerated Parallel SimulatorAPS)は、アナロ RFIC デザインの複雑かつ規模の大きいプリ/ポストレ イアウトデザインに対する高精度な SPICE およびスケーラ ブルなマルチコア・シミュレーションを提供します。 Spectre RF は、プリ/ポストレイアウトの RF 集積設計向け の、RF 解析機能群を提供します。 Spectre eXtensive Partitioning SimulatorXPS)は、高性 能で大容量の検証に対応した、複雑なフルチップ・デザイ ンのサインオフを提供する次世代 FastSPICE シミュレータ です。 AMS Designer は、ミックスドシグナルの設計/検証シミュ レーションが可能です。 Spectre は、共通のインフラストラクチャーと先進的なデータ ベースを提供します。これらは、Cadence Virtuoso ® Analog Design Environment Xcelium ® の設計・検証フローに完全に 統合されています。これらのシミュレーション・エンジンを補完 する機能は、生産性を向上し、アーキテクチャ、インプリメン テーション、検証の段階を通して変化する設計への適用を容 易にします(図 1)。 Benefits Design quality and convergence 全てのフアンドリ・プロセス・デザイン・キット(PDK)で広く サポートされている、シリコン精度の共通デバイスが使用 可能です。 全てのシミュレーション・エンジンで共通の構文と抽象化 Virtuoso Multi-Mode Simulation with Spectre Platform 堅牢な設計と検証のための総合的なシミュレーション環境 Cadence ® Spectre ® 回路シミュレータ・プラットフォームは、業界をリードするシミュレーショ ン・エンジンを組み合わせた高度な解析環境を構築し、設計と検証の全てのソリューションを提 供します。設計サイクルの進行に合わせて設計の目的に応じて設計者がシミュレータに要望する 機能に対応することができます。例えば、生産性やスループットの向上を目的に、アーキテクチャ の探索から、柔軟で信頼のある抽象度の高いモデルを利用したアナログや RF のブロック・レベ ルの開発、ミックスドシグナルのフル・チップの最終検証の全てに対応することができます。 1. Spectre は、完全なアナログ・ミックスドシグナルやカスタム・デジタル・ シミュレーション・プラットフォームです。 Spectre Spectre APS Accurate, high performance simulation Spectre RF Analog and RF- noise analysis Spectre XPS High-speed, high- capacity full-chip analysis Spectre Infrastructure Common infrastructure, advanced simulation database, versatile front-end parser, robust device library Spectre Applications Comprehensive coverage, integrated with Virtuoso, LEA, Liberate, Allegro, and Encounter Timing System technologies

Upload: others

Post on 15-Jan-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation

Virtuoso Multi-Mode Simulation は、総合的な

設計や検証のソリューションのための、業界を

リードする Spectre 回路シミュレーション・プ

ラットフォームを提供し、一つの共通のライセ

ンス・パッケージで SPICE、RF(高周波設計)、

FastSPICE、ミックスドシグナルのシミュレー

ションを行うことができます。この統合されたソ

リューション環境は、設計の目的に合わせて

選ぶことができ、スケーラブルなパフォーマン

スとキャパシティーを提供し、アナログ、RF、メ

モリー、カスタム・デジタルやミックスドシグナ

ルの製品を実現するための堅牢な検証環境

をもたらします。

Spectre シミュレーション・プラットフォームで

は、さまざまな解析や測定に柔軟にアクセス

することができ、検証フローの各抽象度に合

わせて適切なシミュレーション・テクノロジーを

設計者に提供します。

Spectre Circuit Simulator は、アナログ/RF 回路設計のプ

リ/ポストレイアウトの様々な解析の高精度 SPICE シミュ

レーションの実行が可能です。

Spectre Accelerated Parallel Simulator(APS)は、アナロ

グ RFIC デザインの複雑かつ規模の大きいプリ/ポストレ

イアウトデザインに対する高精度なSPICEおよびスケーラ

ブルなマルチコア・シミュレーションを提供します。

Spectre RF は、プリ/ポストレイアウトの RF 集積設計向け

の、RF 解析機能群を提供します。

Spectre eXtensive Partitioning Simulator(XPS)は、高性

能で大容量の検証に対応した、複雑なフルチップ・デザイ

ンのサインオフを提供する次世代 FastSPICE シミュレータ

です。

AMS Designer は、ミックスドシグナルの設計/検証シミュ

レーションが可能です。

Spectre は、共通のインフラストラクチャーと先進的なデータ

ベースを提供します。これらは、Cadence Virtuoso® Analog

Design Environment と Xcelium®の設計・検証フローに完全に

統合されています。これらのシミュレーション・エンジンを補完

する機能は、生産性を向上し、アーキテクチャ、インプリメン

テーション、検証の段階を通して変化する設計への適用を容

易にします(図 1)。

Benefits

Design quality and convergence

全てのフアンドリ・プロセス・デザイン・キット(PDK)で広く

サポートされている、シリコン精度の共通デバイスが使用

可能です。

全てのシミュレーション・エンジンで共通の構文と抽象化

Virtuoso Multi-Mode Simulation with Spectre Platform 堅牢な設計と検証のための総合的なシミュレーション環境 Cadence® Spectre® 回路シミュレータ・プラットフォームは、業界をリードするシミュレーション・エンジンを組み合わせた高度な解析環境を構築し、設計と検証の全てのソリューションを提供します。設計サイクルの進行に合わせて設計の目的に応じて設計者がシミュレータに要望する機能に対応することができます。例えば、生産性やスループットの向上を目的に、アーキテクチャの探索から、柔軟で信頼のある抽象度の高いモデルを利用したアナログや RF のブロック・レベルの開発、ミックスドシグナルのフル・チップの最終検証の全てに対応することができます。

図 1. Spectre は、完全なアナログ・ミックスドシグナルやカスタム・デジタル・

シミュレーション・プラットフォームです。

Spectre Spectre APS

Accurate, high performance simulation

Spectre RF Analog and RF- noise analysis

Spectre XPS High-speed, high-capacity full-chip

analysis

Spectre Infrastructure Common infrastructure, advanced simulation database,

versatile front-end parser, robust device library

Spectre Applications Comprehensive coverage, integrated with Virtuoso, LEA,

Liberate, Allegro, and Encounter Timing System technologies

Page 2: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

がサポートされ、デザイン領域にまたがる移動の変換を

最小にします。

一般的な使用法、クロスプロービング、バックアノテーショ

ン の 機 能 な ど を 備 え た Virtuoso Analog Design

Environment と密に統合されています。

一般的な使用法、デバッグ、波形観測や言語サポートな

どを備えた Xcelium Logic Design Environment と密に統合

されています。

簡単な使用法で、実績のある包括的な種々の高精度の

解析を実行し、正確な結果を得ることができます。

ポストレイアウト・シミュレーションやサインオフ解析により、

リスピンのない設計を可能にします。

Scalability in performance

複雑かつ大きなアナログ/RF、カスタム・デジタルやミック

スドシグナル・デザインに対応したシミュレーション・パ

フォーマンスを持っています。

マルチコア・コンピュータ・プラットフォームのクラスターを

生かした高性能な並列シミュレーション機能により、高い

性能を実現します。

Productivity

アナログ、カスタム・デジタル、メモリー、ミックスドシグナ

ル・デザインの幅広い領域に対応したスケーラブルなキャ

パシティーを持ったトランジスタ・レベルの検証を実現しま

す。

アナログやデジタル中心のミックスドシグナル・フローに対

応した柔軟で信頼性の高いデザインの抽象化により、より

高速なシミュレーションのターンアラウンド・タイムを提供し

ます。

Features

Silicon-accurate modeling

Spectre プラットフォームでは、すべてのシミュレータに対して

同じデバイス・モデル式が使用され、モデルの相関問題を解

消し、シミュレーション結果の高速な収束を可能にします。共

通の式を用いることにより、すべてのシミュレータで同時に新

しいデバイス・モデルを利用できます。

Greater performance and capacity

Spectre APS, Spectre RF, Spectre XPS シミュレーション・エン

ジンは、結果の精度を犠牲にすることなく、アナログ/RF/ミッ

クスドシグナル・デザインの検証に対するパフォーマンスと

キャパシティーの最適な組み合わせを提供します。

Language and netlist support

Spectre シミュレーション・プラットフォームは、デザインのさま

ざまな抽象化の手法に対応しています。プリレイアウトとポス

トレイアウトの両方に対して、最も一般的に使用される SPICE

の入力デッキと互換性があります。Spectre, SPICE, Verilog-A

ネットリスト形式とデバイス・モデルをネイティブに読むことが

できます。

Spectre シミュレーション・プラットフォームの AMS Designer

Simulator は、Verilog-AMS, VHDL-AMS, Verilog-A, Verilog,

VHDL 形式の標準言語の入力もサポートしています。

Post-layout simulation

先端ナノメータ・プロセスにおいて、ポストレイアウトのデザイ

ンの検証がますます重要になっています。アナログ・サブシス

テムやフル・チップなどの大規模デザインでは、65nm 以下で、

ポストレイアウトの寄生データが指数関数的に増加してます。

Spectre シミュレーション・プラットフォームは、複雑で大規模

な(数千もの回路デバイスが寄生により支配されている)デザ

インの SPICE レベルのポストレイアウト・シミュレーションの柔

軟なソリューションを提供します。Spectre シミュレーション・プ

ラットフォームは、独自に寄生情報のスティッチング技術や周

波数ベースの高精度の寄生縮退アルゴリズムを組み合わせ

て、SoC デザイン検証の課題に対応します。このアプローチ

は、大規模デザインのポストレイアウト検証の、速度と規模に

対応します。

また、最適化された電源ネットのシミュレーション技術があり、

エレクトロマイグレーションや、IR ドロップ、シグナル・インテグ

リティ、タイミング、基板の劣化などの影響を解析する手法を

提供します。

Design reliability

IC デザインのゲート酸化膜と大きさがシュリンクするにつれて、

信頼性の問題が顕著になり、デザイン工程の早い段階での

検討が必要になっています。さらに問題となる課題のいくつか

には、NBTI(Negative Bias Temperature Instability; 負バイア

ス温度不安定性)や HCI(hot carrier injection; ホットキャリア

注入)が含まれます。これらは、性能劣化、バーンイン歩留ま

りの損失、消費電力の増加をもたらすリーク電流の増加、さら

に ICの機能不良などの問題を引き起こす可能性があります。

Spectreシミュレーション・プラットフォームには、フル・チップの

信頼性シミュレーションと解析ソリューション機能が備わって

います。これにより、設計の早期段階において信頼性の影響

を検討することを可能にし、製品のライフタイム全域にわたり

正常に機能するための十分なマージンをもったシリコンの実

現を確実なものにすることができます。

Advanced analog and RF circuit analysis techniques

Spectre シミュレーション・プラットフォームの先進的なアーキ

テクチャでは、適応型のタイム・ステップ制御、スパース行列

解法、マルチコア・プロセッシングなどの独自の技術が組み込

まれています。これにより、サインオフの精度を維持しながら、

高性能なシミュレーションを実現します。

IC設計に適合した包括的な統計解析ツールを提供し、先端プ

ロセス・ノードにおける製造と市場投入の時間の間のギャップ

の橋渡しをします。Virtuoso Analog Design Environment への

密接な統合は、ユーザー・フレンドリーでインタラクティブな実

行環境と統計解析結果の高度な視覚化機能を提供します。

Spectre シミュレーション・プラットフォームは、今日の高度な

ミックスドシグナル・デザインの SoC の設計や検証に必要とな

る、さまざまな提供元からの異なる抽象度レベルのデザイン

IP を組み合わせることができる柔軟性を持っています。

Page 3: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

さまざまなハードウェア記述言語を組み合わせた設計が可能

で、アナログのボトムアップとデジタルのトップダウン設計手

法をリンクし、完全なアナログ/ミックスドシグナル・デザインの

フル・チップ検証を可能にします。

Specifications

Comprehensive device models

最新の BSIM3, BSIM4, PSP, HISIM, MOS9, MOS11, EKV

を含む MSOFET モデル

最新の BTASOI, SSIMSOI BSIMSOI, BSIMSOI PD, BSIM-

IMG を含む SOI(Silicon-On-Insulator)モデル

最新の HVMOS, LDMOS, HiSim_HV を含む高電圧

MOSFET モデル

TSMC の TMI モデル

最新の VBIC, HICUM L0, HICUM L2, Mextram, HBT,

Gummel-Poon を含む BJT(バイポーラ・ジャンクション・ト

ランジスタ)モデル

最新の GaAS, TOM2, TOM3, Angelov を含む GaAS

MESFET モデル

RIP(Rensselaer Polytechnic Institute)のポリシリコンとア

モルファス・シリコン薄膜モデル

ダイオード, JFET, FinFET, フラッシュ・セル・モデル

Verilog-A コンパクト・デバイス・モデル

HCI や NBTI の解析を可能にする特別な信頼性モデル

(AgeMOS)

Page 4: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

Spectre Circuit Simulator

Spectre Circuit Simulator は、厳格なアナ

ログ RF、ミックスドシグナル回路シミュレー

ション、ライブラリーや IP のキャラクタライ

ゼーションに使用可能な業界で実証済み

の高速な SPICE 精度と RF のシミュレータ

で す 。 Spectre Circuit Simulator は 、

Virtuoso カスタム・デザイン・プラットフォー

ムに密に統合され、設計ゴールの迅速な

収束を可能にする、包括的な複数のドメイ

ンの詳細なトランジスタ・レベル解析を提供

します。その優れた先進的なアーキテク

チャは、少ないメモリー消費と大規模の解

析を可能にします。

Benefits

精度と速い収束を実現する革新的な

チューニングがされた、高いパフォーマ

ンスとキャパシティー、SPICE レベルの

アナログや RF シミュレーションを提供

します。

最も複雑なアナログやカスタム・デジタル ICに適用可能な

ユーザー・フレンドリーなシミュレーション設定による精度

とパフォーマンスのトレードオフを容易にします。

正確かつ効率的なポストレイアウト・シミュレーションが可

能です。

独自の S-Parameter モデルをサポートし、複雑な n-ポー

トデバイスのシミュレーションを可能にします。

高度な伝送線路ライブラリーとグラフィカル・エディターを

使用できるシグナル・インテグリティ解析機能を提供しま

す。

システムレベルのパフォーマンスのメトリックの測定と解

析を可能にするプラットフォームを提供します。

RF のパフォーマンス・パラメータ(スペクトラム応答、ゲイ

ン抑圧、相互変調歪、インピーダンス・マッチング、安定性、

アイソレーションなど)のアプリケーションに固有の解析の

実行が可能です。

市場投入までの時間を犠牲にすることなく、先端プロセ

ス・ノードにおける IC の製造と歩留まりを改善する先進的

な統計解析機能を提供します。

Virtuoso Analog Design Environment との密な統合による

迅速でインタラクティブなシミュレーションの設定やクロス

プロービングや可視化、シミュレーション結果のポスト・プ

ロセッシングを可能にします。

シミュレーション・エンジン間で共有されるシリコン精度の

業界標準のファンドリに認定されているデバイスモデルを

使用して、より高い設計品質を確かなものにします。

Features

Production-proven circuit simulation techniques

Spectre Circuit Simulator は、適応型タイム・ステップ制御や

スパース行列解法、MOS モデルのマルチプロセッシングなど

の独自の技術を用いて、サインオフの精度を維持しながら高

いパフォーマンスを発揮します。Spectre Circuit Simulator は、

Spectre と SPICE シンタックスの両方をサポートし、デザイン

形式についての心配無しにどのような設計フローにも適用で

きる柔軟性があります。さらに、ディープ・サブミクロン・プロセ

スのデバイスの多くの物理効果をモデリングすることにより、

シリコン精度の結果を得ることができます。

Comprehensive statistical analysis

Spectre Circuit Simulator は、IC 設計に適合した包括的な統

計解析ツールを提供し、先端プロセス・ノードにおける製造と

市場投入の時間の間のギャップの橋渡しをします。

先進的なモンテカルロ・アルゴリズムにより、シミュレーション

の実行を大幅に削減しながら歩留まりの特性を検証するため

のプロセスと設計パラメータのスマートな選択を可能にします。

DC Match機能は、ローカルのプロセス・ミスマッチの影響を効

率的に解析し、歩留まりを制限するデバイスとパラメータを特

定します。Spectre Circuit Simulator と Virtuoso Analog Design

Environment の密接な統合により、ユーザー・フレンドリーでイ

ンタラクティブな設定環境と、統計結果の高度な可視化による

確認が可能です。

Transient noise analysis

Spectre Circuit Simulator には、トランジェント・ノイズ解析機

能があり、非線形の非周期的な回路の大信号ノイズを高精

度にシミュレーションすることができます。サーマル、ショット、

フリッカを含む全ての種類のノイズがサポートされています。

Built-in Verilog-A and MDL

Spectre Circuit Simulator は、Verilog-A 2.0 に完全準拠したビ

ヘイビア・モデリング機能を含む、抽象化されたモデルを扱う

ことができます。コンパイルドVerilog-Aの実装は、コンパクト・

デバイス・モデルに最適化され、パフォーマンスは内蔵のデ

バイス・モデルと同等です。

Spectre Circuit Simulator は、SPICE の測定機能(.measure)に

図 2. Spectre Circuit Simulator は、正確なアナログ・シミュレーションを実現す

る大きなパフォーマンスとキャパシティーを備えています。

Page 5: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

加えて、セルやライブラリーのキャラクタライゼーションの自動

化に利用できる MDL(measurement description language)を

サポートしています。Spectre MDL により、仕様の測定のため

のシミュレーション結果のポスト・プロセッシングやシミュレー

タのパフォーマンス/精度のトレードオフの調整が可能です。

Advanced device modeling and support

Spectre Circuit Simulator は、次のデバイスをサポートしてい

ます。MOS、BJT、特殊トランジスタ・モデル、レジスター、キャ

パシター、インダクター、トランスフォーマー、磁気コア、損失

伝送線路、無損失伝送線路、独立電源や電流源、制御電源

や電流源、Z ドメイン信号源や S ドメイン信号源。

Spectre Circuit Simulator は、ユーザー定義のコンパイル

ド・モデル・インターフェース(CMI, compiled model interface)

をサポートしています。この機能により、一度モデリングすれ

ば、どこでも使用可能なユーザー定義のモデルを、迅速に組

み込むことを可能にします。この機能には、迅速にモデル開

発やデバッグをするための機能が備わっています。

RF simulation

Spectre Circuit Simulator のオプション機能の Spectre RF は、

二つの実績のあるシミュレーション・エンジン(ハーモニック・

バランスとシューティング・ニュートン)が組み込まれた包括的

な RF 解析機能群です。Spectre RF では、全ての業界標準モ

デルをサポートしています。

ハーモニック・バランス解析は分布定数素子を含む高い

ダイナミックレンジやキャパシティーの回路に最適化され

ています。

シューティング・ニュートン・ベースの解析は、強い非線形

性をもつ回路に最適化されています。

高機能 fast envelope 解析は、全てのアナログ・デジタル

変調技術に対応しています。

Rapid IP2 と IP3 の計算は、摂動解析の技術をベースにし

ています。

非線形な時変回路のノイズを正確に計算をするための周

期ノイズ解析の解析オプションには、変調ノイズ、サンプ

ルドノイズ、ジッターの機能が含まれています。

フルスペクトラム周期ノイズにより、急峻な遷移をもつ回

路の高速なシリコン精度の Pnoise 解析を可能にします。

ノイズおよび歪のサマリーにより、全出力ノイズ、高調波、

または相互変調歪への、各デバイスからの影響を特定す

ることができます。

AC、XF(伝達関数)、S-Parameter、Stability を含む小信

号解析機能は、周期的もしくは、準周期的な動作点を

ベースに解析が実行されます。

モンテカルロ、コーナー解析、パラメトリック・スィープ解析

に対応しています。

Advanced Transmission Line Library

ハイ・スピード回路設計におけるシグナル・インテグリティの問

題は、解析することや解決することが非常に困難で時間を要

する課題です。Spectre RF の rftline(RF transmission line)ラ

イブラリーは、パッケージや PCB の経路を含むデザインのシ

グナル・インテグリティ解析を可能にします。

Spectre RFの rfTlineLibでは、包括的なマルチ・レイヤーの伝

送線路とモデル一式が提供されています。Spectre RF の

rfTlineLib は、正確な二次元電磁気シミュレーションに基づき、

誘電体損失および導体損失の最先端の記述が含まれており、

正確なモデル提供や Virtuoso ADE 統合がなされています。

直観的で簡単に使用することができるグラフィカル・エディ

ターにより、正確な定義やグラフィカルに基板をキャプチャす

ることができます。

Wireless Analysis

指数関数的に発展する無線規格を備えた最新のモバイル・プ

ラットフォームは、ワイヤレス RFIC 設計の複雑度を増加させ

ています。仕様要求や生産性の目標値に応えるには、システ

ムレベルのパフォーマンス・メトリックを、統合され自動化され

た使いやすいシミュレーション・ベースのフローで評価する必

要があります。

Spectre RF のワイヤレス解析機能は、Virtuoso ADE に統合

され、自動化されたフローを提供し、標準準拠の変調信号源

を適用しシステムレベルの性能を計算するための出力の測

定を容易に行うことを可能にします。

Spectre RF のシミュレーションは、高度で正確な fast

envelope-following アルゴリズムに基づいて実行されます。

Spectre RF のワイヤレス解析機能は、自動化されたシミュ

レーション・パラメータのセットアップ機能や規格で要求される

測定処理を提供し、無線規格の信号源を変更するなどの手

間のかかる煩わしい作業を無くすようにしています。Spectre

RF のワイヤレス解析機能には、EVM や BER、スペクトラムを

可視化する波形機能が備わっています。幅広い無線規格に

準拠したライブラリー信号源がサポートされています。

Co-simulation with Simulink

Spectre Circuit Simulator の MathWorks Simulink インター

フェースは、設計と検証のユニークな統合環境です。

この環境では、アナログや RF 回路、ポストレイアウトのネット

リストを直接システムレベルのブロック・ダイアグラムに与える

ことができ、Simlink と Spectre の協調シミュレーションを実行

します。同じSimlinkテストベンチをシステムレベルの設計から

ポストレイアウトの検証まで再利用するとことで、設計フロー

を通して精度を維持しながら、必要のない書式の変換作業を

最小化することができます。

図 3. Spectre RF rftline ライブラリーは、伝送線路の高精

度なモデリングを可能にします。

Page 6: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

Multi-Mode Simulation toolbox for MATLAB

MathWorks MATLAB の Multi-Mode Simulation ツールボック

スは、PSFとSST2ファイルを直接MATLABに読み込みます。

Spectre Circuit Simulator、Spectre APS、Spectre XPS、AMS

Designer のシミュレーション結果のポスト・プロセッシングに、

豊富な MATLAB 数学関数を利用することができます。

ツールボックスでは、モンテカルロおよびパラメトリックを含む、

全てのスィープ・タイプがサポートされています。PSSやQPSS

解析からの RF 信号や高調波を格納するための特別なデータ

構造が使用されます。さらに、Spectre Simulation ツールボッ

クスは、高速フーリエ変換、3 次インターセプト・ポイント、1dB

利得抑圧点など通信製品固有のポスト・プロセッシング機能

を持った豊富な MATLAB ライブラリーを補完します。

Post-layout simulation

Spectre Circuit Simulator は、プリレイアウト・シミュレーション

に近い速度で、アナログや RF ブロックおよびサブシステムの

ポストレイアウトの検証を可能にします。正確な寄生リダク

ション技術は、従来のSPICEレベル・シミュレーションより寄生

が支配的な回路のシミュレーション・パフォーマンスを大幅に

向上します。

この技術により、簡単でユーザー・フレンドリーなセットアップ

環境から精度とパフォーマンスのトレードオフを取ることがで

きます。

Specifications

Comprehensive circuit analyses

DC、AC、トランジェント解析

ノイズ、伝達関数、感度解析

トランジェント・ノイズ解析

ネイティブの信頼性解析

モンテカルロやパラメトリック統計のサポート

スィープ解析や回路パラメータ

ビルトインの測定記述言語

ハーモニック・バランスとシューティング・ニュートン解析

シューティング・ニュートン法をベースにした周期定常状態

(PSS)および準周期定常状態解析(QPSS)

周期および準周期ノイズ解析

周期および準周期小信号解析

周期安定性解析

タイムドメインおよび周波数ドメイン・エンベロープ解析

摂動ベースの高速 IP2 と IP3

ノイズおよび歪サマリー

ワイヤレス解析

先進的な伝送線路ライブラリー

Mathworks の Simlink との協調シミュレーション

Mathworks の MATLAB 用の Multi-Mode Simulation ツー

ルボックス

Design inputs/outputs

Spectre ネットリスト

SPICE ネットリスト

Verilog-A 2.0

S-Parameter データ・ファイル

PSF および PSF XL 波形フォーマット

図 4. Spectre RF ワイヤレス解析とビジュアライゼーション:

I/Q 信号、スペクトラム、コンスタレーション散布図

Page 7: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

Spectre Accelerated Parallel Simulator

Spectre APS は、次世代のアナログや RF シミュレーションの

ための高度なパフォーマンスを提供します。Spectre APS は、

複雑なアナログ、RF、ミックスドシグナル・ブロックや最大数百

万のトランジスタやパッシブや寄生素子を持つサブシステムと

いった幅広いデザインにわたり、結果の精度をたもちながら、

スケーラブルなパフォーマンスとキャパシティーを提供します。

Spectre APS は、Spectre Circuit Simulator で使用可能な全

てのトランジスタ・レベルの解析機能の実行が可能です。

さらに、独自の並列シミュレーション技術により、最新のマル

チコア・コンピュータ・プラットフォームでのスケーラブルなマル

チコア・プロセッシングの機能が備わっています。

Benefits

複雑なブロックデザインや大規模なブロックデザインの日

常的なシミュレーションにおいて、同一の使用法と十分な

Spectre 精度を備えたシングルコアのパフォーマンスを提

供し、速い収束が可能です。

大規模ポストレイアウトのアナログや RF デザイン、寄生

素子が支配的なサブシステムの高精度のシミュレーショ

ンを実現します。

マルチコア・アーキテクチャのシングル・マシンやクラス

ターを利用して、スケーラブルなパフォーマンスを持ち、高

度なアナログ・デザインの実装と検証やシミュレーション

の速いターンアラウンド・タイムを実現します。

マルチコア・コンピュータ・プラットフォームを使用しハーモ

ニック・バランス解析の大幅なパフォーマンスの改善によ

りトランシーバ全体や大規模なポストレイアウトのRF ICブ

ロックの高速で高精度な解析が可能になります。

Features

Spectre Circuit Simulatorに提供されている全ての解析機

能をサポート

単一のマルチコア・コンピュータ上での並列シミュレーショ

ン技術

マルチコア・コンピュータ・プラットフォームのクラスターの

分散、並列シミュレーション技術

ポストレイアウト検証の寄生情報のスティッチング技術と

縮退技術により、寄生素子が支配的なアナログおよび RF

デザインのさらなるパフォーマンス・アップ

マルチコア・ハーモニック・バランス、シューティング・

ニュートン、エンベロープ解析

エレクトロマイグレーションおよび IR ドロップ解析

静的および動的回路チェック

Specifications

Comprehensive device models

最 新 の BSIM3, BSIM4, PSP, HISIM, 高 電 圧 MOS

(HVMOS), MOS9, MOS11, EKV を含む MOSFET モデル

最新の BTASOI, SSIMSOI BSIMSOI, BSIMSOI PD, BSIM-

IMG を含む SOI(Silicon-On-Insulator)モデル

最新の VBIC, HICUM L0, HICUM L2, Mextram, HBT,

Gummel-Poon を含む BJT(バイポーラ・ジャンクション・ト

ランジスタ)モデル

ダイオード, JFET

最新の GaAS, TOM2, TOM3, Angelov を含む GaAS

MESFET モデル

RIP(Rensselaer Polytechnic Institute)のポリシリコンとア

モルファス・シリコン薄膜モデル

ダイオード, JFET, FinFET, フラッシュ・セル・モデル

Verilog-A コンパクト・デバイス・モデル

HCI や NBTI の解析を可能にする特別な信頼性モデル

(AgeMOS)

Circuit analysis

DC、AC、トランジェント解析

トランジェント・ノイズ解析

ネイティブの信頼性解析

モンテカルロやパラメトリック統計のサポート

スィープ解析や回路パラメータ

ビルトインの測定記述言語

EM および IR ドロップ解析

高速ポストレイアウト・シミュレーション用のビルトインの高

度な寄生縮退機能

静的および動的回路チェック

RF ハーモニック・バランス解析

RF シューティング・ニュートン解析

全ての変調方式をサポートする RF 高速エンベロープ解

ハーモニック・バランス解析ベースのRFノイズおよび小信

号解析

Design inputs/outputs

Spectre ネットリスト

SPICE ネットリスト

Verilog-A 2.0

S-Parameter データ・ファイル

PSF および PSF XL 波形フォーマット

Page 8: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

Spectre eXtensive Partitioning

Simulator

Spectre XPS は、メモリー、カスタム・デジタル、アナログ/ミッ

クスドシグナル SoC デザインのプリレイアウトおよびポストレ

イアウト検証の次世代のハイ・パフォーマンスのトランジスタ・

レベルの FastSPICE 回路シミュレータです。

Spectre XPS は、今日の複雑で密に結合されているフルチッ

プ・デザインの検証に求められるキャパシティー、精度、ス

ピードを提供します。Spectre XPS は、従来の FastSPICE シ

ミュレータよりも優れたパフォーマンスを提供するための独自

のパーティショニング技術を用い、複雑なフルチップ・デザイ

ンの設計や検証で必要とされるスループットを提供します。

Benefits

ブロックおよびチップレベルの設計や IP キャラクタライ

ゼーションのプリレイアウトおよびポストレイアウトの、高

いパフォーマンスとキャパシティー

包括的なトランジスタ・レベルの電気ルール・チェック

最適なスループットを実現する、高度な EM および IR ド

ロップ解析

従来の FastSPICE シミュレータと比較してシミュレーション

の実行時間を大幅に削減する大規模で複雑なポストレイ

アウトのデザイン対応

実績のある Spectre の使用方法による、簡単な設定と結

果のポスト・プロセッシング

Virtuoso Analog Design Environment との緊密な統合

Features

先進の電源ネットワーク・ソルバーによる EM および IR ド

ロップ解析

内蔵された高度な寄生縮退機能による高速なポストレイ

アウト・シミュレーション

静的および動的な回路チェック

Specifications

Design inputs/outputs

Spectre ネットリスト

SPICE ネットリスト

Verilog-A 2.0

DSPF/SPEF ファイル

SST2 波形フォーマット

PSF および PSF XL 波形フォーマット

FSDB 波形フォーマット

Page 9: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

AMS Designer Simulator

AMS Designer Simulator はアナログ、

RF、メモリー、ミックスドシグナルのシリ

コン現実の設計や検証のための先進的

なミックスドシグナル・シミュレータです。

AMS Designer Simulator は Virtuoso フ

ル・カスタム IC 設計環境に統合されて

います。同様に、Xcelium 機能検証プ

ラットフォームにも統合されています。

AMS Designer Simulator は標準のミック

ス ド シ グ ナ ル 言 語 ( Verilog-AMS 、

VHDL-AMS)および/また SPICE レベ

ルのモデルによる柔軟な抽象度をサ

ポートする単一のシミュレーション実行

プログラムです。

アナログとデジタル・ドメインのブリッジ

として、適切な設計や検証タスクのため

の正しいアナログソルバーを選択するこ

とができます。SPICE 精度のブロック・レ

ベルのアナログや RF デザインに

Spectre APS や Spectre RF、Spectre

XPS のテクノロジーを選択することがで

きます。

AMS Designer Simulator は設計や検証

のドメイン全体で完全に設定を変えるこ

とができ、設計や検証サイクルの全て

の段階に対して適切なシミュレーション

技術や環境を提供することができます。

Benefits

実績のある Spectre と先進の Xcelium シミュレーション技

術によりデザイン品質を確実なものにします。

Virtuoso Analog Design Environment によるアナログ設計

フローと Xcelium 設計環境のデジタル検証の使用法の両

方をサポートします。

トップダウンとボトムアップの両方の手法に対応し、設計

サイクルの早期にデザインの問題の迅速な検出と修正を

可能にし、テープアウトのスケジュールを守ることを支援し

ます。

広範囲な言語サポートにより、非常に高いレベルの抽象

化とシミュレーションの加速を可能にし、はやいターンアラ

ウンド・タイムを実現します。

エンベロープ解析を組み合わせることで、デジタル・ベー

スバンドを含む RF トランシーバのシミュレーションを

SPICE 精度で実現します。

Features

Methodology-independent design convergence

AMS Designer Simulator は今日の高度なミックスドシグナル・

デザインの SoC の設計や検証に必要となる、さまざまな提供

元からの異なる抽象度レベルのデザイン IP を組み合わせる

ことができる柔軟性を持っています。これは、アナログとデジ

タルの協調シミュレーションを行うだけではありません。

Virtuoso Schematic Editor ブロックとテキスト記述を同等に扱

うことで、AMS Designer Simulator は異なるデータ入力ポイン

トに対応します。AMS Designer Simulator は Verilog-AMS,

VHDL-AMS, Verilog-A, Verilog, VHDL, SystemC の標準言語

フォーマットと SPICE 記述もサポートし、これらの言語のどの

ような組み合わせのシミュレーションも実行することができま

す。この機能により、ボトムアップならびにトップダウンの設計

手法を完全な機能設計に向かわせることができます。

異なるレベルの抽象度、例えば、Verilog-AMS や VHDL-AMS

の機能記述モデルから回路図表現に、簡単に置き換えること

ができ、完全な機能記述デザインから完全なトランジスタ・デ

ザインへと徐々に置き換えていくことができます。複雑なミック

スドシグナル・デザインの表示と設計の準備を促進する

Hierarchy Editor を用いて、デザイン全体を制御することがで

きます。自動挿入されるコネクト・モジュールは、あるドメイン

から次のドメインに信号を変換し、シミュレーション精度のた

めのシミュレーション速度のトレードオフを簡単に取るための

さまざまな設計のコンフィグレーションによってシミュレーショ

ンを自由に実行できます。

AMS Designer Simulator は RSA 技術を使った IP の暗号化に

対応しているため、IP の再利用や仮想プロトタイピング手法

の両方を構築することができます。

図 6. Spectre AMS Designer 検証フローは、設計サイクルのあらゆる部分のシリコ

ン実現要件に対応します。

AMS Block and Top-Down Verification

AMS Full-Chip Verification

Spectre AMS Designer

Spectre Circuit Simulator Spectre APS Xcelium Logic Simulator

Spectre AMS Designer

Spectre APS Spectre XPS Xcelium Logic Simulator

Multi-Language Debugging Unified Waveform

Page 10: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

Integrated with proven Spectre and Xcelium simulation

technologies

AMS Designer Simulator は実績のある技術(Spectre, Spectre

APS, Spectre XPS と Xcelium のデジタル・シミュレーション機

能)をベースにした単一の実行ファイルのミックスドシグナル・

シミュレータです。

Analog-centric flow with Virtuoso

AMS Designer Simulatorはミックスドシグナル・ブロック設計の

ための Virtuoso Analog Design Environment と密接に統合さ

れています。回路図と機能記述ビューを組み合わせる Analog

Design Environmentネットリスト技術を使用し、各ブロックの抽

象度を独立して管理することができます。

複雑なミックスドシグナル・デザインの表示と設計の準備を促

進する Hierarchy Editor を用いて、デザイン全体を制御するこ

とができます。

Spectre エンジンを使用する AMS Designer によって、性

能測定のゴールデンのシミュレーション結果を得ることが

できます。

モンテカルロなどの高度な回路解析は、AMS/Spectre イ

ンターフェースで実行できます。機能記述モデルのパ

フォーマンス上の利点と Spectre ツールと同じ設定を利用

できます。

シミュレーション波形を比較することで、回路デザインと機

能記述モデルの検証を行うことができます。

Digital-centric flow with Xcelium

AMS Designer Simulator はデジタルセントリック検証のために

Xcelium 環境で直接動作します。デジタル SoC に統合された

アナログ・ブロックの定義は、一つの制御ファイルにより指定

することができます。アナログ・ブロックや RTL ブロックは簡単

に取り換えることができ、精度とパフォーマンスのトレードオフ

を取ることができます。テストベンチ解析、Specman®テクノロ

ジーや検証プランニングなど、Xcelium環境のシミュレーション

機能がサポートされています。

自動挿入されるコネクト・モジュールは、あるドメインから

次のドメインに信号を変換し、シミュレーション精度のため

のシミュレーション速度のトレードオフを簡単に取るため

のさまざまな設計のコンフィグレーションによってシミュ

レーションを自由に実行できます。

検証フローでは Spectre XPS-MS を内蔵アナログ・シミュ

レーション・エンジンとして使用することができます。これ

により、大規模なミックスドシグナル SoC の最終検証が可

能になります。AMS Designer Simulator に組み込まれてい

る Xcelium のデジタル・シミュレーション・エンジンは

Verilog, SystemVerilog, VHDL, SystemC, e などを使ったデ

ジタル・シミュレーションに高いパフォーマンスを提供しま

す。

SimVision の複数言語対応のデバッグ環境は、一つの波

形画面上に、アナログとデジタルの信号を表示することが

できます。

AMS Designer Verification Option

AMS Designer Verification Option は高度なミックスドシグナ

ル・シリコンの実現のための完全なソリューションを提供しま

す。

VHDL もしくは SystemVerilog と SPICE の間のネイティブ

な接続を提供する事で、複数ベンダーからのテストベンチ

とデザイン IPブロックのクロスドメインの接続を可能にしま

す。

PSL や SVA 言語のシンタックスを拡張することにより、ア

ナログとデジタル・デザインのアサーション・ベースの検証

に対応しています。

ローパワー検証など、成熟したデジタル検証メソドロジー

がアナログ・ドメインに拡張されています。CPF によるパ

ワー・インテントをキャプチャし、主要インターフェース上の

“PowerSmart”のコネクト・モジュールの自動挿入に対応

しています。

Specifications

Virtuoso environment

ダイレクト Verilog-AMS ネットリスティング

Hierarchy Eiditor の AMS プラグイン

Hierarchy Eiditor のコンフィグレーション機能

グローバル・デザイン変数とグローバル信号の対応

インヘリテッド・コネクションへの対応

AMS Simulator

単一実行ファイルのミックスドシグナル/ミックスド言語の

シミュレータ

ビルトインされた Spectre APS および Xcelium デジタル・

エンジン

デジタルおよび実数値モデルの対応

MathWorks の Simulink とリンクしたシステムレベル・シミュ

レーション

Save/restart

ミックスドシグナル・波形データベース

Xcelium environment

ミックスドシグナル・デバッグ環境

時間、ポジション、条件でのブレークポイント

機能記述コード、アナログおよびデジタルによるステッピ

ングのデバッグ

スケマティック・トレーサ

シグナル・フローおよびエラー・ブラウザ

デジタル・トランザクションのサポート

Design inputs

OpenAccess データベース

Verilog-AMS 2.0

VHDL-AMS 1076.1

Verilog (IEEE 1364-1995, IEEE 1364-2001 extensions)

VHDL (IEEE 1076-1987, IEEE 1076-1993, IEEE 1076.4-

Page 11: Virtuoso Multi-Mode Simulation with Spectre Platform · 2019-08-06 · の高速なSPICE 精度とRF のシミュレータ です。 Spectre Circuit Simulatorは、 Virtuoso カスタム・デザイン・プラットフォー

Virtuoso Multi-Mode Simulation with Spectre Platform

2000 [VITAL 2000])

Spectre および SPICE ネットリスト

SystemVerilog (IEEE 1800)

Common Power Format (CPF)

SystemC (OSCI SystemC v2.01), SystemC Verification

Library (OSCI SCV 1.0), および Specman e

Design outputs

アナログおよびデジタルの SST2 波形フォーマット

アナログの PSF および PSF XL 波形フォーマット

Verilog-AMS ネットリスト

Cadence Services and Support

Cadence のアプリケーションエンジニアは、技術問い合わ

せに回答いたします。Cadence では、テクニカルな支援や

個別のトレーニングコースも用意しています。

Internet Learning Series(iLS)のオンラインコースでは、イ

ンターネット経由で、自身のコンピュータ環境でトレーニン

グを自由に受講することができます。

Cadence Online Support では、沢山の最新のソリューショ

ンの知見や技術資料の参照や、ソフトウェアのダウンロー

が可能です。

詳細は以下をご覧ください:

www.cadence.com/support-and-training

販売代理店 イノテック株式会社 IC ソリューション本部

〒222-8580 神奈川県横浜市港北区新横浜 3-17-6

TEL.(045)474-2290,2291,2293(営) FAX.(045)474-2395

〒541-0054 大阪府大阪市中央区南本町 2-6-12 サンマリオン NBF タワー16F

TEL. (06)6121-7703(営) FAX. (06)6121-7720

URL http://www.innotech.co.jp/

日本ケイデンス・デザイン・システムズ社

本社/〒222-0033 神奈川県横浜市港北区新横浜 2-100-45

営業本部

TEL.(045)475-8410 FAX.(045)475-8415

〒541-0054 大阪府大阪市中央区南本町 2-6-12 サンマリオン NBF タワー16F

TEL.(06)6121-8095 FAX.(06)6121-7510

URL http://www.cadence.co.jp/

© 2017 Cadence Design Systems, Inc. All rights reserved worldwide.

CadenceおよびCadenceロゴ は、Cadence Design Systems, Inc.の登録商標です。

その他記載されている製品名および会社名は、各社の商標または登録商標です。

* 掲載の内容は、2017 年 XX 月現在のものです。