university of southern queenslandeprints.usq.edu.au/2527/1/mohan_deepu-2006.pdf · deepu mohan in...

199

Upload: others

Post on 29-Jul-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

i

Page 2: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

University of Southern Queensland

Faculty of Engineering and Surveying

Life Cycle Assessment of a SAW Filter

A dissertation submitted by

Deepu Mohan

in fulfilment of the requirements of

Courses ENG4111 and 4112 Research Project

towards the degree of

Bachelor of Engineering (Electrical and Electronics)

Submitted: November, 2006

i

Page 3: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

ABSTRACT

The environmental implications associated with microelectronic products are significant.

Most of the environmental impacts occur during the manufacturing stage which involves

intricate and environmentally sensitive processes. This research project was carried out to

assess and appreciate the environmental performance of a typical microelectronic product

through a Life Cycle Assessment (LCA).

A Surface Acoustic Wave (SAW) filter was chosen as the functional unit of this LCA

study. All the processes involved in the manufacturing of a SAW filter were identified and

analysed in detail. Process clusters were developed for the ease of data collection. Using

these clusters as a basis, life cycle inventory data was quantified and is tabulated in this

dissertation.

The quantified inventory data was analysed using a demonstration version of Simapro 7.0

software. Impact assessment method, ‘Eco-indicator 99’ was chosen for the analysis and

the results for some of impact categories are presented. Life cycle interpretation was

conducted to establish validity and reliability of the inventory and impact assessment

results. Environmentally culpable processes and inventory items have been highlighted and

finally, some recommendations have been made based on the results of this LCA.

ii

Page 4: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

University of Southern Queensland

Faculty of Engineering and Surveying

ENG4111 & ENG4112 Research Project

Limitations of Use

The Council of the University of Southern Queensland, its Faculty of Engineering and

Surveying, and the staff of the University of Southern Queensland, do not accept any

responsibility for the truth, accuracy or completeness of material contained within or

associated with this dissertation.

Persons using all or any part of this material do so at their own risk, and not at the risk

of the Council of the University of Southern Queensland, its Faculty of Engineering and

Surveying, and the staff of the University of Southern Queensland.

This dissertation reports an educational exercise and has no purpose or validity beyond

this exercise. The sole purpose of the course pair entitled “Research Project” is to

contribute to the overall education within the student’s chosen degree program. This

document, the associated hardware, software, drawings, and other materials set out in

the associated appendices should not be used for any other purpose: if they are so used,

it is entirely at the risk of the user.

Professor R Smith

Dean

Faculty of Engineering and Surveying

iii

Page 5: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Certification I certify that the ideas, designs and experimental work, results, analyses and conclusions

set out in this dissertation are entirely my own effort, except where otherwise indicated

and acknowledged.

I further certify that the work is original and has not been previously submitted for

assessment in any course or institution, except where specifically stated.

Deepu Mohan

Student Number: 0031233496

__________________________________ Signature

__________________________________ Date

iv

Page 6: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

ACKNOWLEDGEMENTS This project would not have been possible without the guidance, encouragement and

support of several people.

First and foremost, I would like to thank Mr. David Parsons, my supervisor for his guidance

and invaluable advice throughout the course of this research project. His willingness to help

and patience in answering my questions are greatly appreciated.

I would like to express my gratitude to Mr. Jayarama, for sharing his expertise on facilities

operations and his contribution towards the data collection for this project.

Lastly, but by no means least, I would like to thank my family, friends and my girlfriend for

the constant support and encouragement they have given me during the duration of this

project and in the previous years of my degree.

Deepu Mohan

University of Southern Queensland October 2006

v

Page 7: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

TABLE OF CONTENTS

ABSTRACT………………..............................................................................ii

CERTIFICATION……………….. ............................................................... iii

ACKNOWLEDGMENTS ...............................................................................v

LIST OF FIGURES…… .................................................................................x

LIST OF TABLES…… ............................................................................... xiii

GLOSSARY……………………………………………………………….. xiv

CHAPTER 1 INTRODUCTION ..................................................................1

1.1 Project background ................................................................................................ 1

1.2 Outline of the Report.............................................................................................. 3

CHAPTER 2 LITERATURE REVIEW......................................................4

2.1 Introduction............................................................................................................ 4

2.2 Historical Background of Life Cycle Assessment ................................................. 4

2.3 LCA Standards and The General International situation ....................................... 6

2.4 LCA Methodology ................................................................................................. 9

2.4.1 Goal and Scope Definition ............................................................................................ 9

2.4.2 Life Cycle Inventory ..................................................................................................... 9

2.4.3 Life Cycle Impact Assessment.................................................................................... 11

2.4.4 Life Cycle Interpretation ............................................................................................. 11

2.5 Software Based Life Cycle Assessments ............................................................. 12

2.6 Types of Life Cycle Assessments ........................................................................ 13

2.7 The Uses of Life Cycle Assessments ................................................................... 14

2.8 Life Cycle Assessments and the Microelectronics Industry ................................ 15

2.9 Complexities and Limitations of Life cycle Assessments ................................... 19

2.10 Summary .............................................................................................................. 20

vi

Page 8: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

CHAPTER 3 GOAL AND SCOPE ............................................................21

3.1 Introduction.......................................................................................................... 21

3.2 Methodology ........................................................................................................ 21

3.2.1 Goal Definition............................................................................................................ 22

3.2.2 Scope Definition.......................................................................................................... 23

3.3 Goal Definition .................................................................................................... 25

3.4 Scope Definition .................................................................................................. 25

3.4.1 The Functional Unit .................................................................................................... 25

3.4.2 System Boundaries...................................................................................................... 26

3.4.3 Major Assumptions used............................................................................................. 32

CHAPTER 4 SAW FILTER .......................................................................33

4.1 Introduction.......................................................................................................... 33

4.2 What is a SAW filter ............................................................................................ 33

4.3 The Processing/Manufacturing stage of a SAW filter ......................................... 35

4.3.1 The Manufacturing Line.............................................................................................. 36

4.3.1.1 Front Of Line (FOL) .......................................................................................................37

4.3.1.2 End Of Line (EOL) .........................................................................................................40

4.3.2 Facilities Modules ....................................................................................................... 43

4.3.3 Staff and Office Use.................................................................................................... 44

CHAPTER 5 LIFE CYCLE INVENTORY ..............................................45

5.1 Introduction.......................................................................................................... 45

5.2 Methodology ........................................................................................................ 45

5.3 Life Cycle Inventory of a SAW filter .................................................................. 48

5.3.1 Manufacturing Line..................................................................................................... 51

5.3.2 Facilities and Staff / Office use ................................................................................... 62

vii

Page 9: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

CHAPTER 6 LIFE CYCLE IMPACT ASSESSMENT...........................67

6.1 Introduction.......................................................................................................... 67

6.2 Methodology ........................................................................................................ 67

6.3 Introduction to Simapro ....................................................................................... 71

6.4 Modelling a SAW filter Life cycle in Simapro.................................................... 73

6.4.1 Impact Assessment Method - Eco Indicator 99........................................................... 76

6.5 LCIA Results........................................................................................................ 80

6.5.1 Damage to Resources .................................................................................................. 83

6.5.1.1 Impact category – Fossil fuel depletion ..........................................................................86

6.5.1.2 Impact category - Minerals depletion ............................................................................88

6.5.2 Damage to Human health............................................................................................ 89

6.5.2.1 Impact Category – Respiratory inorganics......................................................................92

6.5.2.2 Impact Category – Climate change.................................................................................94

6.5.3 Damage to Ecosystem Quality .................................................................................... 96

6.5.3.1 Impact Category – Acidification/Eutrophication ............................................................98

6.5.3.2 Impact Category – Ecotoxicity .....................................................................................100

6.5.3.3 Impact Category –Land use ..........................................................................................102

6.6 Conclusions and Analysis of LCIA Results....................................................... 103

CHAPTER 7 LIFE CYCLE INTERPRETATION ..................................108

7.1 Introduction........................................................................................................ 108

7.2 Methodology ...................................................................................................... 108

7.2.1 Identification of Significant Issues............................................................................ 110

7.2.2 Evaluation ................................................................................................................. 111

7.2.3 Conclusion and Recommendations ........................................................................... 112

7.3 Identification of Significant Issues..................................................................... 113

7.3.1 Contribution Analysis ............................................................................................... 113

7.3.2 Contribution Assessment ......................................................................................... 113

7.4 Evaluation of significant issues.......................................................................... 120

7.4.1 Completeness check .................................................................................................. 120

7.4.2 Sensitivity Check ...................................................................................................... 121

viii

Page 10: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

7.4.2.1 Uncertainty Analysis.....................................................................................................121

7.4.2.2 Sensitivity Analysis – Electricity models used .............................................................124

7.3.2.3 Sensitivity Analysis – Copper, Mold Compound, Tin solder .......................................126

7.4.3 Consistency Check .................................................................................................... 128

7.5 Conclusion and Recommendations .................................................................... 128

CHAPTER 8 CONCLUSIONS AND RECOMMENDATIONS ...........129

8.1 Introduction........................................................................................................ 129

8.2 Summary of Achievements ................................................................................ 129

8.3 Major Results ..................................................................................................... 130

8.4 Limitations and Assumptions............................................................................. 133

8.5 Recommendations based on Results .................................................................. 134

8.6 Recommendations for Future work.................................................................... 135

8.7 Final Conclusions............................................................................................... 136

REFERENCES…….....................................................................................137

BIBLIOGRAPHY...……………………………………….………………142

APPENDIX A – PROJECT SPECIFICATION .......................................144

APPENDIX B – LIFE CYCLE INVENTORY CHECKLIST ................146

APPENDIX C – NETWORK ANALYSIS (LCIA)...................................149

APPENDIX D –SENSITIVITY ANALYSIS.............................................176

ix

Page 11: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

LIST OF FIGURES

Figure 2.1: Methodological Framework of LCA according SETAC.................................... 6

Figure 2.2: Methodological Framework of LCA according to ISO 14040........................... 7

Figure 2.3: Stages in Life Cycle of a product according to SETAC................................... 10

Figure 3.1: The life cycle of a product according to SETAC ............................................ 23

Figure 3.2: Product of the LCA - SAW filter ..................................................................... 25

Figure 3.3: The original System Boundaries for the LCA of a SAW filter ........................ 28

Figure 3.4: Modified System Boundaries for the LCA of a SAW filter............................. 31

Figure 4.1: A SAW substrate .............................................................................................. 33

Figure 4.2: Process flow in the manufacturing line of a SAW filter .................................. 36

Figure 4.3: Major photolithography processes ................................................................... 37

Figure 4.4: Wafer mounting and dicing .............................................................................. 38

Figure 4.5: Silkscreen printing............................................................................................ 39

Figure 4.6: Chip Passivation ............................................................................................... 39

Figure 4.7: Diebonding ....................................................................................................... 40

Figure 4.8: Wirebonding ..................................................................................................... 41

Figure 4.9: Molding ............................................................................................................ 41

Figure 4.10: Deflashing....................................................................................................... 41

Figure 4.11: Tinning ........................................................................................................... 42

Figure 4.12: Testing and Packing ....................................................................................... 42

Figure 4.13: Major facilities operation ............................................................................... 43

Figure 5.1: Flow diagram of the Diebonding process......................................................... 49

Figure 5.2: A SAW Wafer .................................................................................................. 50

Figure 6.1: General overview of the structure of an impact assessment method................ 68

Figure 6.2: Model of factory water system......................................................................... 74

Figure 6.3: Model of SAW filter in Simapro ...................................................................... 75

Figure 6.4: General representation Eco-indicator 99 methodology……………………… 77

Figure 6.5: Normalization of the environmental damage assessment categories ............... 80

Figure 6.6: Normalization of the environmental impact assessment categories................. 80

Figure 6.7: Network analysis of damage category - Resource damage .............................. 83

x

Page 12: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Figure 6.8: Network showing contributions to resource damage (EOL)............................ 84

Figure 6.9: Network showing contributions to resource damage (FOL). ........................... 85

Figure 6.10: Network analysis of impact category - Fossil fuels depletion........................ 86

Figure 6.11: Network showing contributions to fossil fuels depletion (EOL).................... 87

Figure 6.12: Network showing contributions to fossil fuels depletion (FOL).................... 88

Figure 6.13: Network analysis of impact category - Mineral depletion ............................. 88

Figure 6.14: Network analysis of damage category - Human health.................................. 89

Figure 6.15: Network showing human health damage assessment (EOL) ......................... 90

Figure 6.16: Network showing human health damage assessment (EOL) ......................... 91

Figure 6.17: Network analysis of impact category - Respiratory inorganics...................... 92

Figure 6.18: Network showing contributions to respiratory inorganics (EOL).................. 93

Figure 6.19: Network showing contributions to respiratory inorganics (FOL) .................. 93

Figure 6.20: Network analysis of impact category - Climate change................................. 94

Figure 6.21: Network showing contributions to climate change (EOL)............................. 95

Figure 6.22: Network showing contributions to climate change (FOL) ............................. 95

Figure 6.23: Network analysis of damage category - Ecosystem quality........................... 96

Figure 6.24: Network showing contributions to ecosystem Quality (EOL) ....................... 97

Figure 6.25: Network showing contributions to ecosystem quality (FOL) ........................ 97

Figure 6.26: Network analysis of impact category - Acidification/Eutrophication............ 98

Figure 6.27: Network showing contributions to acidification/eutrophication (EOL)......... 99

Figure 6.28: Network showing contributions to acidification/eutrophication (EOL)......... 99

Figure 6.29: Network analysis of impact category - Ecotoxicity ..................................... 100

Figure 6.30: Network showing contributions to ecotoxicity (EOL) ................................. 101

Figure 6.31: Network showing contributions to ecotoxicity (FOL) ................................. 101

Figure 6.32: Network showing contributions to impact category - Land use................... 102

Figure 6.33: Single score results for the SAW filter production ...................................... 103

Figure 6.34: Single score results for the SAW filter manufacturing line ......................... 104

Figure 6.35: Single score results for the Assembly/Encapsulation processes .................. 105

Figure 6.36: Single score results for the Testing/Packing processes ................................ 105

Figure 6.37: Single score results for the FOL processes................................................... 106

Figure 7.1: Relationship between interpretation and other stages .................................... 109

Figure 7.2: Pie-chart showing single score results for the SAW filter production ........... 113

Figure 7.3: Contribution analysis of inventory data (Single score results)....................... 114

xi

Page 13: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Figure 7.4: Electricity consumption for the manufacturing of 1 of SAW filter ........ 119 2cm

Figure 7.5: Contribution analysis using Franklin USA 98 electricity model ................... 125

Figure 7.6: Contribution analysis data for different electricity models ............................ 126

Figure 7.7: Contribution analysis data for different recyclable rates................................ 127

xii

Page 14: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

LIST OF TABLES

Table 5.1: Incoming cleaning process data ......................................................................... 52

Table 5.2: Energy consumption data for Front of Line....................................................... 55

Table 5.3: Energy consumption data for End of Line ......................................................... 56

Table 5.4: Water and Gas consumption data for End of Line............................................. 58

Table 5.5: Water and Gas consumption data for Front of Line .......................................... 59

Table 5.6: Chemical and Raw material consumption data for Front of Line...................... 60

Table 5.7: Chemical and Raw material consumption data for End of Line ........................ 61

Table 5.8: Energy consumption data for Facilities ............................................................. 63

Table 5.9: Water consumption data for Facilities ............................................................... 64

Table 5.10: Chemical consumption data for Facilities........................................................ 65

Table 5.11: Energy consumption data for Staff and Office use .......................................... 65

Table 5.12: Water consumption data for Staff and Office use............................................ 66

Table 5.13: Factory waste disposal data ............................................................................. 66

Table 7.1: Calculation of inventory data of a SAW filter for anomaly assessment.......... 116

Table 7.2: Comparison between LCI for a SAW filter and DRAM chip ......................... 117

Table 7.3: Calculation of inventory data of a hypothetical SAW filter ............................ 118

Table 7.4: Comparison between LCI for a hypothetical SAW filter and DRAM chip..... 118

Table 7.5: Identifying the expected variance in the inventory data .................................. 123

Table 7.6: Calculation of consumption at different rates of recyclability......................... 124

Table 7.7: Electricity models used for sensitivity analysis ............................................... 125

xiii

Page 15: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

GLOSSARY Allocation Partitioning of input or output flows of unit process to the product of

the study.

Characterisation Use of characterisation factors (scientific data) to convert the life

cycle inventory data of a product into environmental impact category

results.

Consistency Check Part of the Interpretation stage, this check ensures that all relevant

information and data needed for the Interpretation phase is available

and complete.

Damage category Grouping of similar impact categories.

EOL End of Line production processes involved in a SAW filter

production. These include assembly, encapsulation, testing and the

packing of the finished product for delivery to customers

FOL Front of Line or the wafer fabrication production processes involved

in a SAW filter production. These include photolithography, wafer

passivation and wafer preparation for end of line processes.

Functional unit The reference unit of a LCA.

Goal and Scope The first stage in a life cycle assessment whereby the goal, audience,

functional unit, system boundaries and assumptions used are defined.

Interpretation The fourth and last stage in a life cycle assessment. The results from

life cycle inventory and life cycle impact assessment stages are

analysed to ensure credibility and reliability to a LCA study.

Conclusion and recommendations are made at the end of

interpretation stage.

xiv

Page 16: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Impact Category Represent environmental issues of concerns, such as climate change,

respiratory inorganics, eutrophication, fossil fuel depletion etc.

LCA Life Cycle Assessment. The quantification and evaluation of all

inputs, outputs and the possible environmental impacts of a product

throughout its life cycle.

LCI- Life Cycle Inventory. The second stage of a LCA in which all inputs

and outputs of a product throughout its life cycle are quantified.

LCIA Life Cycle Impact Assessment. The third stage of a LCA in which

life cycle inventory data is translated into environmental impacts

scores using scientific (characterisation) factors.

Normalisation Expression of environmental impact scores relative to an available

standard to appreciate the magnitude of the impacts.

Sensitivity check Part of the life cycle interpretation stage, sensitivity check is the step

in which the uncertainties and other expected variations in data are

evaluated to determine their sensitivity towards the final results of

the LCA.

SAW filter Surface Acoustic Wave filter. The functional unit of this LCA.

Single score Aggregation of weighted scores.

System boundary Defines the length and breath of an LCA. What should and should

not be included in a study is determined by its system boundaries.

Weighing The process of converting category indicator results into a common

unit using numerical factors based on value choices. Weighted scores

are very subjective and not recommended for comparative studies.

xv

Page 17: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 1 INTRODUCTION

1.1 Project background

“Nothing last for ever”, which is very true in the case of earth’s natural resources. Until

recent times, the society, motivated by the materialistic gains has chosen to be ignorant

about sustainable growth, foolishly assuming that earth natural resources would last

forever. Today, these resources are being consumed at an extremely unsustainable rate.

Electronic products which are an integral part of a man’s daily life, is one of the chief

perpetrators.

One of the defining trends of the 20th century has been the growing dependence of man on

electronic products. Especially in the past few decades, the explosive growth of electronic,

communication and information technology products has spurred economic growth and

improved people’s lives in countless ways. Microelectronic chips are the building blocks

that make up an electronic product. For example, there are hundreds of microelectronic

chips in a personal computer. As the electronic industry keeps growing, these chips are just

everywhere, in computers, televisions, hand phones and even in automobiles. They play an

invaluable role in our daily lives and as such, there is an ever increasing demand for these

products. The average growth rate per year of microelectronics industry is about 15%,

making it one of the dynamic industries in the world (International Technology Roadmap

for Semiconductors Executive Summary 2005, p. 1).

Though small in size and weight, the environmental impacts associated with

microelectronic products are significant. Most of the environmental implications occur

during the manufacturing stage which involves intricate and environmentally sensitive

processes. Environmental concerns stems from the use of high purity raw materials, large

amounts of water, chemical, energy and the need for extreme cleanliness of the

manufacturing environment. Although the industry is well aware of the environmental

consequences, there is little consensus in the industry regarding the actual magnitude of

these impacts.

1

Page 18: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Hence, to have a better understanding regarding the actual impacts related to a typical

microelectronic product, this research project, “Life Cycle Assessment of a SAW filter”

was carried out. Life cycle assessment (LCA) is an organized toolset of procedures for

compiling and examining the inputs and outputs of materials along with energy and the

associated environmental impacts directly attributable to the functioning of a product or

service system throughout its life cycle (ISO standards). LCA is a holistic approach to

evaluate the environmental implications of a product through out its life cycle (U.S.

Department of Energy 2003). A detailed LCA provides a means of identifying and

evaluating the opportunities to minimise environmental impacts at each process stages.

This research project aims to assess and appreciate the environmental performance of a

typical microelectronic product through a LCA and then to use the results of the LCA to

identify the parts of manufacturing processes that are worst from an environmental point of

view. A Surface Acoustic Wave (SAW) filter was chosen for this study. Surface Acoustic

Wave (SAW) devices are single-crystal piezo-electric devices that are commonly employed

as filters and oscillators. These devices are used extensively in the communication world

today, especially in the booming mobile phones and multimedia markets.

A SAW filter was chosen for this research primarily because of the availability of Life

cycle Inventory (LCI) data that was required for a LCA. The inventory data was collected

from a SAW filter manufacturing company in Singapore. The Life cycle impact assessment

(LCIA) and life cycle interpretation stages of the LCA were done using Simapro 7

software.

In addition to the abovementioned objectives, this research project also reviews in detail the

past and present literatures published on similar LCA topics to understand the LCA

practices and concepts in use today. Many of the literature used as reference material for

this project are based on semiconductor products. SAW devices, though not exactly a part

of the semiconductor industry, is very similar to a semiconductor device in the

manufacturing sense. They are fabricated utilizing common processes used in the

manufacture of semiconductors.

2

Page 19: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

1.2 Outline of the Report

This dissertation begins with a brief presentation of the project background in Chapter1.

Chapter 2 reviews in detail the available literature on Life Cycle Assessments (LCA). The

historical background of LCA, current LCA methodologies and standards are explored. The

types, uses, limits and complexities of LCA studies are also discussed. The chapter then

moves on to review the available literature on LCA done in Microelectronics industry.

The four stages of the LCA are covered in Chapters 3, 5, 6 and 7. The structuring of the

report for these chapters is identical. The first section of each chapter expands on the

literature review in Chapter 2 and analyses in detail each of the LCA stage. The current

standards and common techniques used are discussed. This information is then used as a

basis for the development of methodologies for this particular LCA in the following

sections of the chapters. The first stage of the LCA, the Goal and Scope definition is

covered in Chapter 3.

Chapter 4 presents the product of this LCA, a SAW filter. It begins with a brief

introduction on the functions and characteristics of the product and moves on to study the

life cycle of a SAW filter in depth. The processing and manufacturing stage of the SAW

filter is documented in section 4.3. The second stage of the LCA, Life Cycle Inventory

(LCI) is covered in Chapter 5. All the inventory data collected for this LCA are tabulated in

this chapter.

The analysis and findings of the Life Cycle Impact Assessment (LCIA) stage of the LCA

are presented in Chapter 6. Chapter documents the life cycle interpretation stage where the

results from inventory stage and impact assessment stage are analysed for reliability.

Chapter 8 summarises the major results, discusses the limitations and assumptions made

during the course of this study and makes conclusions and recommendations based on the

results of this LCA.

3

Page 20: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 2 LITERATURE REVIEW

2.1 Introduction

This chapter studies in detail the past and present literature available on relevant Life Cycle

Assessment (LCA) topics. It begins with a brief look at the historical background of LCA

and proceeds on to review the present LCA techniques to identify concepts and ideas being

used such as building model of a process, finding specific data, using models of

environmental impact based on scientific knowledge and how LCAs are done using

software. This chapter further critiques the current international life cycle assessment

standards and how Life cycle assessment fits in to the general International situation. The

types, uses, limits and complexities of LCAs are also discussed briefly. Concepts developed

from this review are used to develop the methodologies for this research project in the

subsequent chapters.

2.2 Historical Background of Life Cycle Assessment

The environment has been under constant stress from humans activities over the years. An

energy crisis in the late 1960s raised the awareness of environmental impacts. As a result,

LCAs were formulated as an approach to understand the impacts of energy consumption,

by scientists concerned about the rapid depletion of fossil fuels. As global-modelling

studies predicted future depletion of fossil fuels and resulting climatological changes, it

sparked an interest in performing more detailed energy calculations on industrial processes

(Svoboda 1995). In 1969, an environmental study was funded by Coca-Cola Company to

determine resource consumption and environmental releases to the environment.

The U.S. Environmental Protection Agency (EPA) refined this methodology and created an

approach known as Resource and Environmental Profile Analysis (REPA), which was

commonly used in 1970s. REPA as the name suggests, focused only on raw material

demands, energy inputs, and waste generation flows.

4

Page 21: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

In Europe a similar methodology was developed and published as ‘Handbook of Industrial

Energy Analysis’ in 1979 by Ian Boustead.

Life cycle logic was incorporated in to risk assessment methods in the 1980s as main

environmental concern was hazardous waste management (Svoboda 1995). As solid waste

and pollution became a major concern in the late 1980s and the early 1990s, it brought

about a new government and corporate stance on environmental policy and a demand for

new LCA approaches.

In 1991, the Society of Environmental Toxicology and Chemistry (SETAC) in conjunction

with United States Environmental Protection Agency (USEPA) published a “Code of

Practice”, the first guidelines for conducting a LCA (Tan & Culaba 2003). The new

methodology differed in detail from the earlier versions of the LCA in that, it focused not

only on resources and waste flow analysis but also on the more sophisticated topics such as

impact and improvement analysis. Likewise, in Scandinavia, a detailed LCA methodology

titled “Nordic Guidelines on Life Cycle Assessments” was published in 1995.

International Organization for Standardization (ISO) further refined these guidelines and, a

set of standards for carrying out a LCA was developed in 1997 as a part of ISO 14000

Environmental Management Standards. The LCA methodology is defined in the ISO

documents ISO14040 to ISO14043 (ISO 14040 Series). This paved the way for making

LCA, a comprehensive decision making tool internationally.

Initially the usage of LCA was limited to public sectors, but in recent times, a large number

of corporations and non-profit organizations have adopted LCA. With the advent of eco-

labelling, LCA is being used increasing as a reporting mechanism. Environmental

organizations such as Blue Angel (<http://www.blauer-engel.de>), Green Cross

(<http://www.greencross.ch>), and Green Seal (<http://www.greenseal.org >) have adopted

LCA. They use and continue to improve LCA for the purpose of product labelling and

evaluation (Svoboda 1995).

5

Page 22: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.3 LCA Standards and The General International situation

ISO’s set of standards and SETAC’s ‘Code of Practice’ is widely accepted as the general

framework for LCA today (Potting & Hauschild 2005). Though the two sets of standards

differ in some aspects, there is a general consensus on the LCA methodology between

SETAC and ISO (Berkel 2000).

SETAC, a scientific and professional society, developed the first set of LCA standards that

were published in 1991. Since then SETAC has provided infrastructure, credibility,

resources, and technical expertise to the continuous development of life-cycle concepts

both in the United States and Internationally. SETAC focuses on the scientific development

of LCA methodology through it various workgroups. SETAC’s four-part approach to LCA

is shown in figure 2.1.

Life Cycle Assessment Framework

Figure 2.1: Methodological Framework of LCA according SETAC (Curran 2000, p. 1)

SETAC’s LCA framework comprises of four interconnected stages; Goal and scope

definition, life cycle inventory, life cycle assessment and life cycle improvement analysis.

Impact Assessment

Improvement Assessment

Goal Definition

and Scoping

Inventory

6

Page 23: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

ISO, which aspires harmonisation and standardisation of practices began its work in 1994,

with some involvement from SETAC and published a series of LCA standards in the

following years;

• Principles and framework (ISO 14040:1997)

• Goal and scope definition and inventory analysis (ISO 14041:1998)

• Life cycle impact assessment (ISO 14042:2000)

• Life cycle interpretation (ISO 14043:2000)

Currently, these four standards are replaced by two draft standards (which are expected to

become standards by end 2006), Principles and Framework (ISO/DIS 14040:2006) and

Requirements and Guidelines (ISO/DIS 14044:2006). Requirements and Guidelines

standards replace standards ISO 14041, 14042 and 14043 but the Danish Environment

agency (2005) reports that there have been no major changes in the content.

Inventory analysis

Impact assessment

Goal and scope

definition

Interpretation

Direct applications: - Product development

and improvement - Strategic planning - Public policy making - Marketing - Others

Life Cycle Assessment Framework

Figure 2.2: Methodological Framework of LCA according to ISO 14040 (LCA101 2001,

p. 6)

7

Page 24: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

In comparison to the scientific approach adopted by SETAC, ISO focuses on the

procedures to be followed for conducting LCA with a view to assure transparency,

independence and accountability of the LCA processes (Berkel 2000). From figures 1 and 2

it is clear that the ISO 14040 series bears a strong resemblance to the SETAC’s framework.

In ISO’s LCA framework, life cycle improvement is not considered as a single stage on it

own. It is replaced by another stage, life cycle interpretation.

Today, there are few other regional LCA standards that are being used internationally such

as the Danish EDIP methodology documentation, the Nordic guidelines (1995), the Dutch

LCA guidelines, and the North American publication with guidelines on inventory and

principles (Danish Environmental Protection Agency 2005). Though the basic LCA

concept remains the same and follows the ISO 14040 series framework, there are some

important differences between these standards, mainly because of regional divergences in

environmental concerns and control strategies.

The regional LCA standards developed by a government or research organisations can be

more suitable for that region than any other standards as it takes in to account the local

conditions and concerns. The search for regional LCA standards for Asia, which would

have been useful for this project, proved to be futile. Though, a considerable amount of

LCAs have been done in Japan, the information was difficult to access (mainly because

most the papers published on the web were in Japanese).

In conclusion, ISO standards remain the best code of practice for conducting an LCA,

especially in the absence of regional standards. ISO champions the development of

international standards and in comparison to other LCA standards; they reflect and

document the latest methodological progress in the ISO 14040 series. This research project

tries to follow the ISO 14040 series methodically at all times. Any unavoidable deviations

from the standards will be highlighted and discussed in detail. From this point onwards, any

reference to ‘standards’ in this report would mean ISO standards unless otherwise stated.

8

Page 25: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.4 LCA Methodology

A full LCA is often referred to as the cradle-to-grave approach as it is a systematic

assessment of the environmental impacts of a product through all of its life cycle. The LCA

framework is made up of four interconnected stages; Goal and Scope definition, Life Cycle

Inventory (LCI), Life Cycle Impact Assessment (LCIA) and Life Cycle Interpretation (see

figure 2.2). It can be seen as an iterative process where by each stage may have to be passed

through more than once due to the new demands posed by a later stage. It is important to

note that decisions and action that may follow a LCA is outside the framework of LCA

according to ISO standards, as shown in figure 2.2.

2.4.1 Goal and Scope Definition

Documented in ISO 14041, the goal definition and scoping phase is a critical part of a LCA

study. The conclusions of the SETAC document (Barnthouse et al. 1998, p. 47) reinforces

this view: “The study goal and scope are crucial to managing and coordinating a life-cycle

study by bringing together the LCA information needed to make an identified decision and

an understanding of the reliability and representativeness of the LCA.”.

The goal defines the reasons for conducting the study, the expected product of study, its

intended applications, target audience. The scope of the study defines the boundaries,

assumptions and limitations and the type of critical review conducted at the end of the

study.

2.4.2 Life Cycle Inventory

The Life Cycle Inventory (LCI) analysis is a technical, data-based approach to quantify the

energy and raw material consumption, atmospheric and waterborne emissions and other

wastes of a product, process material or activity of a product over its life cycle (Vigon et al.

1993, p.7). The LCI standards are defined in ISO14042 document.

9

Page 26: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Shown below in figure 2.3 is a complete lifecycle (“cradle to grave”) of a product. A full

scale LCI involves the quantification of all inputs and outputs that are shown.

Raw Materials Acquisition

Manufacturing, Processing

Figure 2.3: Stages in Life Cycle of a product according to SETAC (Tan & Culaba 2002, p.

4)

The scale of the LCI is determined by the system boundaries defined in the scope of the

study (the large rectangle that encloses the different life cycle stages in the figure 2.3). In

some complex cases, a full scale LCI can be extremely time consuming. The collection of

data for LCI is one of the greatest challenges of a LCA as the accuracy and detail of data

will significantly influence the final results.

Often in practice, some of the inventory data needed for the LCA of a product might not be

available. In such cases, assumptions have to be made regarding this gap in data and

general data obtained from other data sources such as text books, periodicals and public

databases can and should be used (Vigon et al. 1993, p.7). This data will be less accurate

and could be overcome by doing sensitivity analysis in the life cycle interpretation stage,

where the effects of data uncertainties can be evaluated

Distribution, Transportation

Use/Reuse/Maintenance

Recycling

Waste Disposal

Energ

Raw Materials

INPUTS

Water Effluents

Other Environmental Releases

OUTPUTS

Usable

Airborne

Solid

System Boundaries

10

Page 27: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.4.3 Life Cycle Impact Assessment

ife Cycle Impact Assessment (LCIA) assesses the effects of the resource requirement and

.4.4 Life Cycle Interpretation

he purpose of life cycle Interpretation is to determine the level of confidence in the final

he first stages identifies issues such as inventory elements that had contributed most to the

L

environmental loading of a product. According to ISO standards ISO14042, LCIA consists

of three main stages which are compulsory; ‘Selection’ of impact categories (e.g. climate

change), category indicators (e.g. global warming potential), and characterisation models

(defining how to calculate the characterisation factors) to be included in the study,

‘classification’ of LCI results according to the selected impact categories and lastly,

characterisation factors to reflect the relative contribution of an LCI result to the impact

category indicator result (Goedkoop, Schryver & Oele 2006). Optional steps for LCIA

include normalization, grouping and ranking and these are used to simplify interpretation of

results. LCIA is commonly done using LCA softwares, which comes with a large number

of standard impact assessment methods and databases.

2

T

results and present them in a fair, complete and accurate manner (Skone 1998). ISO

documents identify three stages to conducting a life cycle Interpretation; Identification of

significant issues, Evaluation of the Completeness, Sensitivity, and Consistency of the data

and finally Conclusions and Recommendations.

T

results of the LCIA, the impact of the inventory assumptions made and other anomalies in

the inventory data and LCIA results. The evaluation stage which is made up of

Completeness, Sensitivity, and Consistency check is done to establish validity and

credibility to the results from the previous stages of the LCA. In the last stage of

interpretation, conclusions are drawn and recommendations are made using the results of

all four stages of the LCA.

11

Page 28: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.5 Software Based Life Cycle Assessments

oday, LCAs are commonly done using softwares which comes equipped with extensive

aBi provide simple and quick modelling and analysis of complex, data-intensive

EAM can evaluate the associated life cycle inventories and potential environmental

he most popular and widely used LCA software today is "System for Integrated

T

inventory databases and large number of standard impact assessment methods. GaBi,

TEAM and Simapro are three of the main LCA softwares used in the industry.

G

problems. It can easily generate ISO-conformable LCAs. GaBi also provides solutions for

different problems regarding cost, environment, social and technical criteria and

optimization of processes. It is used by many big companies such as Siemens, Nokia and

Motorola.

T

impacts according to the ISO standards. A comprehensive database, with over 600 modules

is included the software. It has a large range of inbuilt mathematical formulas, which

simplifies the building of large dynamic databases.

T

Environmental Assessment of Products" (Simapro). It can easily model and analyse

complex lifecycles clearly according to ISO 14040 series of standards. Simapro comes

with a large number of databases and standard impact assessment methods such as CML

92, Eco-indicator 95, Eco-indicator 99, and EPS 2000 (Goedkoop, Schryver & Oele 2006).

Each method contains a number of impact categories.

12

Page 29: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.6 Types of Life Cycle Assessments

“cradle to grave” approach is essential for evaluating the full environmental impacts of a

• “Cradle-to-gate” – All downstream components are removed. Processes after product

“Gate-to-grave” – All upstream components are removed. Processes before product

• “Gate-to-gate” – Both upstream and downstream components are not taken in to

• Applying very loose cut-off rules for the LCA. For example, LCI limitation, where by

• Others methods include limiting the assessment to a small number of impact

A

product but this approach do have some drawbacks. A full LCA is only appropriate for

products that are already in the market. For a product that is in its design stage, it is almost

impossible to do a full scale LCA because of the uncertainties in the data as final design

decisions have not been made. This deters the incorporation of LCA into the design process

of a product. Moreover, a full scale LCA can be costly and extremely time consuming for

product such as a microelectronic product which involves many complex manufacturing

processes. Hence, efforts have been made to simplify the ideal “cradle to grave” concept of

LCA. This simplified form of LCAs is known as screened or streamlined LCA. This

approach can be implemented in a number of ways as shown below.

manufacturing stage such as consumer use and waste disposal are not taken into

account.

manufacturing stage are not taken into account.

consideration for the LCA.

only raw materials suspected of high impact potential are taken into account. The rest

of the inventory items are ignored.

categories in the LCIA and completely ignoring LCA interpretation phase.

13

Page 30: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.7 The Uses of Life Cycle Assessments

Many organisations, both public and private have incorporated LCA in to their decision

ome of the other ways in which LCA is being utilized are for public sector uses such as

corporating into product design, LCA bring a life-cycle approach into Design For

oduct improvement involves identifying and reducing environmental impacts of an

making processes. The prime objective of a LCA still remains to identify the potential

environmental impacts of a product. At the same time it is also capable of identifying where

the greatest reduction in resource requirements and emissions can be achieved. Minimising

resource consumptions and emissions often results in profits and this provides an extra

motivation to the organisations. Today, LCA applications are most commonly used for

internal purposes, such as product design, product improvement, procurement strategies

and benchmarking (Frankl & Rubik 2000).

S

eco-labelling (helping consumers to make greener choices), and comparative studies

However, the use of LCA for comparison between products for marketing purposes has

always been a controversial issue because of the complexities and limitations of LCA,

which is covered in detail in section 2.8.

In

Environment (DFE) concept. At the design stage, LCA can be used for process technology

selection, optimization, design and development. About 70% of the environmental impacts

of a product can be identified at product design stage and it is best that these issues are

tackled at design stage itself. Streamlined LCAs are used for this type of analysis.

Pr

existing product. As mentioned earlier, this not only results in profits but also enhances the

reputation of manufactures as being environmentally conscious. Some companies conduct

internal LCAs for shaping procurement strategies by comparing different products for their

environment-friendliness. For example, the United States defence department made use of a

LCA to determine policies for purchasing of office supplies (Tan & Culaba 2003, p. 8).

14

Page 31: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.8 Life Cycle Assessments and the Microelectronics Industry

hough Industry concur on the significance of the environmental consequences associated

ther reasons for this variation in results include the difference in actual production

The first case study involved a LCA of an Integrated Circuit Product by Motorola and

ot much information regarding the actual amount inventory data was available from this

the wafer cleaning processes

T

with microelectronics, there is little consensus regarding the actual magnitude of these

environmental consequences. The main reason for this is a lack of LCAs or other forms of

environmental studies done within the industry and in the cases where LCAs have been

done; little or no information is released to the public.

O

processes of microelectronic products, the way the LCA is structured and the temporal

differences. Only three LCAs associated with the microelectronic industry were available

for this literature review. These LCAs were reviewed thoroughly and the results are

summarised below.

Fraunhofer IZM (Schischke et al. 2001). The purpose of the study was to identify the

environmentally significant areas in Integrated Circuit Product manufacturing by

generating a complete mass and energy data set. The consumption of energy, raw water,

chemicals, and gases and the origin of water, wastewater, and emissions were considered.

For the ease of data collection, the manufacturing processes were divided in to two clusters;

facility and fabrication process modules. The functional unit was defined as the product of

wafer area and the average number of circuitry layers on a wafer. The method of data

collection was through questionnaires. If no data was available, educated assumptions made

by experts were used. The Impact assessment was done using ProTox and GaBi 3.2

softwares.

N

study; mostly impact assessment results were documented. The impact of high electricity

use was the man contributor to the environmental impacts. About two-thirds of the

electricity use was related to facility modules. Nitrogen use was the next highest contributor

to the environmental impacts followed by the processes water that was used extensively in

15

Page 32: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The second case study was the LCA of an Integrated Circuit Product conducted by ST

Microelectronics and Telecom Italia (Taiariol et al. 2001). The device analysed was an

from detailed technological analysis, information obtained directly from

aterial suppliers, and a commercial database. Two different functional units were used.

t important raw material consumption

ith a usage of about 29 litres of deionised water for a single EPROM device. The End of

y reviewed is not a complete LCA but an attempt to raise the awareness

e industry by quantifying the energy and material use in the production of the

EPROM chip in a ceramic dual in line package. A ‘gate-to-gate’ approach rather than a full

‘cradle-to-grave’ was adopted for this LCA. The study was carried out according to the ISO

standards. The use clusters were divided in to Front of Line (FOL), where the wafer

fabrication was done and End of line (EOL), where the assembly and encapsulation of the

chip took place.

LCI was collected

m

“Single silicon wafer processed to obtain the EPROM chip” and a single EPROM device

was used for front-end and backend respectively. The functional units were then linked by

taking the wafer yield in to account. A subset of more than 400 materials was used in the

production. Several databases were used for Impact assessment, namely TEAM, Boustead

Model, EIME, and several ad hoc LCA “modules.”

The water consumption was recognised as the mos

w

line (EOL) production processes were identified as the highest contributor to environmental

impacts associated with the material consumption. Some of the inventory data listed for a

single EPROM device included the usage of 140 mg of oxygen, 122 mg of nitrogen, 0.03

mg of lead, 6.9mg of arsenic and 1.2 mg of copper. It was reported that about 81% of the

total energy usage related to the chip came from the use phase of the EPROM chip

followed by EOL production processes (14.2%) and Front of line (FOL) production

processes (3.4%).

The third case stud

in th

microelectronic devices (Williams, Ayres & Heller 2002). A 32DRAM chip (made from

1.6 2cm of silicon wafer) was used as the functional unit for this study. This study analysed

the material input and output into the production chain of a DRAM chip to estimate total

energy, fossil fuel consumption, and aggregate chemical usage in the manufacture and use

phase of typical microelectronic products.

16

Page 33: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

In comparison to the other LCAs reviewed, much more information regarding the actual

amount inventory data was available from this study. Listed below are some of the

throughout its life cycle was estimated to be

about 3.3 kWh per of input wafer.

• ociated with FOL processes while EOL

consumption was about 0.32 kWh. This result is in contrast to the LCA study

• re consumed per of input water.

phic chemicals

and etchants was estimated to be 45 grams per of input wafer.

• afer. Almost all

of the usage was linked to the heavy usage of nitrogen in manufacturing processes.

ollecting LCI for a microelectronics product which involves many exhaustive and

tricate processes can be a real challenge. Fortunately there were a few articles that

important findings. Though the study used a DRAM chip for data collection, most of the

results were reported per 2cm of input wafer.

• The electricity consumption of the chip2cm

Out of this, about 1.6 kWh was ass

conducted by ST Microelectronics and Telecom Italia which was reviewed earlier.

The rest of the electricity consumption came mainly from the use stage and the

production of silicon wafers.

About 20-27 litres of water we 2cm

• The total chemical usage which included, acid/bases, photolithogra2cm

450 grams of elemental gas usage was estimated per 2cm of input w

C

in

recommended ways to collect LCI data from the microelectronics industry. Methods for

quantifying energy, water, chemical, gas and other raw material requirements at process

levels are explained clearly by Meyers et al. (2001) and Dahlgren (2002). For accurately

quantifying the energy and material usage, all agreed on the need to take in to account the

production time, the idle time and down time and the production yield.

17

Page 34: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Two approaches are recommended for collection of inventory data for semiconductor

ne of the reasons highlighted for the lack of LCAs being conducted in industry is the

o counter this dilemma, the development of parametric material, energy and emission

concern that has been highlighted is a lack of data associated with the production

manufacturing (Murphy, Allen & Laurent 2003), ‘the top-down’ and ‘bottom-up’

approaches. The top-down approach involves collection of inventory data at a factory level

and then disaggregating it into process levels. This major advantage of this approach is that

it often results in a manageable database of inventory. However, this method is not suitable

if the factory manufactures different products. In such cases it can be extremely difficult to

disaggregate the data in to process levels. In contrast, using the bottom-up method,

inventory is quantified at equipment-level on a process basis and aggregated at factory or

product level. It provides a much more detailed data directly related to the pieces of

equipments or processes. Using this approach, it is also easier to implement improvements

to mitigate the environmental impact at each process stages.

O

short product life-time of microelectronics products. The average life-time of

microelectronic product is about 2 years. Generally, conducting an LCA for these products

can be time consuming and costly as explained earlier. By the time a LCA is finished, the

product may be reaching end of its life-time!

T

inventories is recommended by Murphy et al. (2003). It advocates the classification of ‘unit

operations’ to create databases for the different unit operations that makes up the

manufacturing process. Take for example the manufacturing processes involved in wafer

fabrication; unit operations include wafer cleaning, furnace, ion implant and lithography.

Lithography consists of sub-processes coating, exposure and development. It’s argued that,

though the use of the number of unit operations in products vary, the data regarding each of

the unit operations have remained largely unchanged for the last 30 – 45 years.

A major

of ultra pure chemicals used extensively in the industry. It can be argued that LCA done on

Microelectronics products are incomplete (Plepys 2004; Norwood, Boyd & Dornfeld 2004)

because of the insufficient knowledge of environmental issues related to ultra-pure

chemical manufacturing.

18

Page 35: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

2.9 Complexities and Limitations of Life cycle Assessments

hough the uses of LCAs are many as shown in the previous sections, there are also many

he broad scope of LCA can only be achieved by simplifying some other aspects of the

nother cause for concern is the complexities of ISO standard models for a LCA. LCA

nother complexity of a LCA study is the importance of developing and communicating

T

limitations that have been identified over the years. As mentioned earlier, LCA is a holistic

approach to evaluate the environmental impacts. This holistic nature of the LCA is its

greatest strength and limitation.

T

study (Guinée et al. 2001). In most LCAs conducted spatial and temporal differences are

not taken in to account for inventories and impact assessments. Most of time, LCAs are

unable to address localised impacts. It does not take in to account localised variables that

could affect the final result of a LCA. Another limitation is the fact that LCA regards all

processes as linear, which is impractical in a real life scenario. As for the time aspects,

LCA can be considered as a steady-state rather than a dynamic approach.

A

methodologies according to ISO standards are generic in nature and does not easily relate to

any particular industry (Mitchell & Hyde 1999). Often, experts are needed to understand

and conduct proper LCAs. Detailed LCAs can be extremely time consuming and costly

when done according to ISO standards. An interesting example is the case of critical or peer

review of a LCA, as recommended by the ISO. In many cases LCAs conducted today are

not peer reviewed because of the cost and time involved (Weidema 1997).

A

proper methodological choices and assumption made for an LCA goal and scope stage. The

assumptions and choices made could affect the final results significantly. Sometimes LCAs

conducted by different practitioners can give two vastly different assessments for the same

product. An example is quoted by Allen (n.d., p. 17) on a comparative LCA study

conducted between polystyrene and paperboard containers.

19

Page 36: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Lack of high quality or in some cases a complete lack of data is another very important

limitation of a full scale LCA study. Conducting a LCA which may involve hundreds of

inventories, it’s inevitable that the some of these data are of poor quality or are based on

assumption. To overcome these limitations, great care should be placed to check the

reliability of the data. LCA studies should discuss and document in detail the data sources,

assumptions and quality of the data.

It should also be noted that LCA is one of many environmental managements programs

available and may not used as single yardstick to make major industrial decisions. LCA

does not take in to account factors such technical performance, cost, risk, political or social

acceptance (Skone 1998). Hence, it should be considered as one of the tools and not as

“the” tool for making major decisions.

2.10 Summary

If it is managed in the proper way, LCA can be great tool to assess the environmental

impacts associated with a product. LCA is a complex tool that is still being developed. The

complex nature of the LCA has somewhat been simplified in the recent past by the use of

LCA softwares but complexities regarding the data quality, such as geographical and

temporal differences remain to a certain extent.

One of major advantage of a LCA is that it is not a rigid tool but a flexible one that can be

fashioned to suit the needs of the initiator. Because of its flexibility, LCA are used in a

variety of ways in the industry.

Though, LCA studies have generally gained popularity in the last twenty years, there is a

serious lack of published data (that is available to public) with regards to the LCAs

conducted in the microelectronics industry. Three LCAs carried out in the microelectronics

industry were reviewed and it was seen that there is some variance in the LCA results.

Another important aspect of the LCA is that it is purely an environmental assessment tool.

This has to be kept in mind when making major decisions based on the results of a LCA.

20

Page 37: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 3 GOAL AND SCOPE

3.1 Introduction

The goal and scope definition is a critical phase of a LCA. The main technique used in

LCA is modelling (Goedkoop, Schryver & Oele 2006). Usually, scientific models are a

simplification of real systems and often, in the case of complex systems, some of the data

may be distorted. In the case of a LCA, it is no exception. The challenge of an LCA

practitioner is then to develop an LCA model that minimises the effect of these distortions

on the final result of the study. An effective counter measure to these problems is to

meticulously define the goal and scope as the first step of a LCA.

A detailed review of the methodologies used for goal and scope stage of a LCA was

conducted and is documented in the following section. Using knowledge gained from this

review the goal and scope of this LCA study was formulated as shown in sections 3.3 and

3.4 respectively.

3.2 Methodology

The goal and scope definition phase is where the initial choices which determine the

working plan of the LCA are made. These choices must be flexible and can be changed any

time during the course a LCA to suit the needs, limitation and problems faced. This

explains the interconnectedness factor in the ISO and SETAC’s LCA framework shown in

figures 2.1 and 2.2.

In the early days of life cycle assessments, the goal and scope definition stage was widely

considered to be a trivial exercise before the start of the actual LCA. Studies over the years

have proved that an LCA whose goal and scope definition is poorly conducted often runs in

to problems during the other stages of the LCA and final results are often unreliable.

21

Page 38: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

3.2.1 Goal Definition

The goal definition of an LCA study specifies the objective for carrying out the LCA, the

intended applications, the initiator, the practitioner and the target audience. The

methodologies adopted for a LCA is largely determined by its objective. Some of the

common objectives for conducting an LCA include (Vigon et al. 1993):-

• To establish a baseline of information on a system’s overall resource use, energy

consumption, and environmental loadings.

• To identify stages within the life-cycle of a product or process where a reduction in

resource use and emissions might be achieved.

• To compare the system inputs and outputs associated with alternative products,

processes, or activities.

• To help guide the development of new products, processes, or activities toward a

net reduction of resource requirements and emissions.

Intended applications mean what the LCA can and cannot be used for, what decisions can

be made on the basis of the LCA and the possible extent of impacts these decisions could

make.

The target audience is whom the LCA is conducted for. A LCA could be conducted for

private sector, public sector, or academic use. It is important to specify the target audience

because studies can be differently structured depending on the need of the target audience.

For example, if an LCA is to be conducted for public sector use then it will most definitely

require a critical review before it can be accepted. In comparison, a LCA conducted

internally in a company wanting to improve its processes or resource requirements, could

readily implement changes based on the LCA without a peer review.

22

Page 39: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

3.2.2 Scope Definition

The scope definition step defines the main characteristics of a LCA. It determines, justify

and report the sophistication of the study. The scope of a LCA describes the system

boundaries and the functional unit of the study. Assumptions made during the course of the

study, limitations, the threshold levels, allocations used and the type of peer or critical

review conducted is also defined here.

The functional unit of the study defines the product or process the study is based on. It

should be described in detail so that the any comparisons to alternative products can be

made, if necessary in future.

System boundaries determine the length and depth of the study. The sophistication of a

LCA is determined by its System boundaries. For a full scale LCA, the system boundaries

should include all energy and mass flow related to the functional unit. The ‘cradle-to-grave’

life cycle of a generic industrial product according to SETAC is shown below again for

convenience (see figure 2.3).

Raw Materials Acquisition

Distribution, Transportation

Use/Reuse/Maintenance

Recycling

Waste Disposal

Manufacturing, Processing

Energy

Raw Materials

INPUTS

Water Effluents

Other Environmental Releases

OUTPUTS

Usable Products

Airborne Emissions

Solid Wastes

System Boundaries

Figure 3.1: The life cycle of a product according to SETAC (Tan & Culaba 2002, p. 4)

23

Page 40: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

It is important to justify the System boundaries established for a LCA. Very often, due to

limitations found in the later stages of the LCA, the initial system boundaries cannot be

followed. In such cases, it’s imperative to justify and concisely document the changes made

to the system boundaries. The same applies to the assumptions used in the course of a LCA.

Threshold levels define the levels below which, the LCA practitioner could consider it

meaningless to collect data for inflow or an outflow (Goedkoop, Schryver & Oele 2006).

ISO 14040 series recommends three such bases for a threshold levels;

• If the mass of the inflow is less than a certain percentage.

• If the economic value of an inflow is lower than certain percentage of the final

product system.

• If the contribution to the environmental impacts from an inflow is below a certain

percentage.

Though the latter seems like the most appropriate choice, it should be noted that it is

difficult to estimate the actual impact of an inflow before the life cycle impact assessment

stage.

ISO 14040 standards require a critical or peer review of all LCAs. Often in practice, it is

difficult to determine the objective criteria for the quality of a complex scientific work.

LCAs, which deals with many assumptions falls into this category of research work. Then,

the subjective but professional judgement of peers becomes the ultimate quality assurance

(Weidema 1997). The peer review of an LCA could either be a simple peer review of the

final results or a 3-step review advocated by SETAC. The three steps involve a review after

goal and scope definition, one after data collection stage and lastly one at the conclusion of

the study.

Allocations of environmental load are used when a process perform more than one function

or output. ISO recommends avoiding the use of allocation in LCAs because of the

uncertainties it brings. In unavoidable circumstances, ISO standards suggest the use of mass

or energy content of output as a basis for allocation.

24

Page 41: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

3.3 Goal Definition

The goal definition of this particular project is to assess and appreciate the environmental

performance of a typical microelectronic product. The results of this LCA are to be used for

identifying options for improving the environmental performance of the product at a

process level during the course of this research, time permitting or otherwise in future.

This study was conducted by student no: 0031233496 in fulfilment of the requirements of

Courses ENG4111 and ENG4112 Research Project’ for the University of Southern

Queensland. It should be noted that this LCA was done for educational purposes and hence

should not be used for any public comparative assertions.

3.4 Scope Definition

3.4.1 The Functional Unit

A Surface Acoustic Wave (SAW) filter, shown below in figure: 3.2 was chosen as the

functional unit for this study. The SAW filter measures mm4.28.47.13 ×× and weighs

about . The filter is manufactured in a production plant in Singapore. This study is to

be conducted within the period between of February to October 2006.

mg415

Figure 3.2: Product of the LCA - SAW filter

The chosen SAW filter is a bandpass filter made from Lithium niobate (LiNbO3) wafer and

is commonly used in television receivers.

25

Page 42: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

3.4.2 System Boundaries

Making use of the SETAC’s Life cycle model (see figure 3.1), the ‘cradle to grave’ product

life cycle of a SAW filter can be broken down in to the stages shown below;

Raw Material Acquisition – All activities necessary to extract raw material and energy

inputs from the environment, including the transportation prior to processing.

Processing/Manufacturing - Activities needed to convert the raw material and energy

inputs into the desired product. In the case of a SAW filter, this can be broken down further

in to two parts;

• The making of semi-products for use in the actual manufacturing stage of the SAW

filter.

• The actual manufacturing of SAW filter in a plant in Singapore. (this stage is

studied in detail in the next chapter)

Distribution and Transportation - Delivery of the final product to the end users all

around the world (delivery of a SAW filter to a television receiver manufacturer).

Use, Reuse, and Maintenance - Utilization of the finished product over its service life.

The service life of a SAW product is estimated be 10 years based on the average life span

of a television set.

Recycle - Begins after the product has served its initial intended function and is

subsequently recycled within the same product system (television).

Waste Management - Begins after the product has served its intended function and is

returned to the environment as waste.

26

Page 43: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The goal of the study states the need to assess and appreciate the environmental

performance of a typical microelectronic product. Ideally, to comply with the goal

definition, a full scale LCA, using a ‘cradle to grave’ approach had to be conducted. But in

this case, a screened or streamlined LCA was conducted because of a number of reasons;

• Adequacy of data - almost all literatures reviewed on microelectronic products concur

on the fact that the environmental impacts associated with the microelectronic

production and use phase are significantly higher in comparison to other stages

(Williams, Ayres & Heller (2002); Taiariol et al. 2001). The environmental impacts

during the use phase occur from the energy usage of the microelectronic products. In

the case of a SAW filter, a passive device, this can be negligible.

• Availability of data – Only the manufacturing data of the SAW filter was available.

• Time constraints – A detailed LCA for a complex device such as a SAW filter would

have taken more than the allocated time of 2 semesters, especially for a first time LCA

practitioner.

Hence it was concluded that a streamlined LCA approach was adequate enough to

satisfactorily give the results in compliance with the goal definition of the project. This

LCA takes to account the raw material acquisition, the making of semi-products, and the

actual manufacturing stage of the filter. The use stage, recycle and the waste management

stages are omitted from the study.

It can be said that this project took a process based approach rather that a product based

approach for the LCA. Hence, in this case the manufacturing processes become the product.

This streamlined LCA methodology adopted could be classified as a ‘cradle-to-gate’ LCA.

The system boundaries developed for this LCA is shown in figure 3.3 the next page. At this

point, it is recommended to read chapter 4 of this dissertation to understand the processes

and applications involved in the manufacturing of a SAW filter, before continuing with this

section.

27

Page 44: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Water Energy Air

Photolithography - RCA cleaning - Metallization - Resist coating - Exposure - Development - Etching - Photo resist stripping

Wafer Passivation - Plasma Cleaning - Protec

Figure 3.3: The original System Boundaries for the LCA of a SAW filter

Die Preparation - Revere side dicing. - Silkscreen printing. - Dicing.

Wafer Fabrication (FOL)

Assembly - Die-bond - Wire bond - Molding - Tinning - Deflashing

Testing and Packing - Testing - Packing

Assembly and Packaging (EOL)

Manufacturing Line

Central Plant

DI Water Cleanroom Environment

Control

Exhaust

Waste Water

Dicing/Spindle Water

Process Cooling Water

Solid Waste

Waste water

Process Water Treatment

Staff/Office

Production Utilities

Wate

Wate

Wate

Compressed air, Vacuum etc

Energy

Water Recycling

Energy

Energy

Energy

Wate

Chemicals

Raw Wafer

Chemicals

Gases

Raw Materials

Exhaust air

28

Page 45: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

From the system boundaries shown in figure 3.3, the three main components of the plant

can be seen.

• The manufacturing line (brown rectangular box) is made up two sub-components of

Wafer fabrication (light green) and Assembly and packaging (pale blue).

• The facilities modules (grey oval boxes)

• Staff/Office use (orange polygon).

The coloured arrows indicate the flow of energy (red), water (blue) and air (black). The

input of gases (green arrows), chemical (pink) and other raw materials (violet) for

production processes are also shown. The chemical inputs for facilities modules such as

process water plant, water recycling plant and waste water treatment plant are also

indicated.

It can be seen clearly that the system boundaries shown indicate a ‘cradle to gate’ approach,

except the fact that the waste disposal of solid waste off-site is also taken in to

consideration for the assessment. The system boundaries shown in figure 3.3 would have

been the ideal choice for this LCA but unfortunately it had to be changed because of the

limitations associated with the LCA software that was used for this study. Detailed below

are the changes made.

Initially, it was planned to use a full version of Simapro software to do the Life Cycle

Impact Assessment (LCIA) stage of this study but some issues with the licensing meant

that the only a demonstration version of Simapro was available. The major disadvantage of

the demonstration version of Simapro is that it could only be saved up to a total of 16 times

which meant that the modelling of a SAW filter in the software was limited. Another major

drawback was the database included in the demonstration version; it is nowhere as

exhaustive as the full version.

29

Page 46: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

These issues proved to be the main limitations of this LCA. A thorough study would have

meant modelling each and every process in Simapro and analysing it to find the origin of

most significant impacts. When modelling the life cycle of a product in Simapro, a user has

to save each process after entering all the input/outputs flow associated with that process.

With only sixteen saves possible, the modelling of the SAW with system boundaries as

shown in the figure 3.3 was impossible.

Therefore, modified system boundaries had to be used and the resulting system is shown in

the following page in figure 3.4. The following changes were made to the initial system

boundary;

• The individual production processes were clustered together to form “large units of

operations”.

• The exhaust was clustered together with the cleanroom environment control unit of

operation. This was done after it was understood that all the emissions in the factory

was under the control limit set by the local government. And hence, only the energy

requirements of the exhaust had to be taken into account for this LCA.

An important factor to note here is that both the system boundaries shown here do include

the ‘raw material acquisition’ and ‘processing/manufacturing’ of the resources (semi-

product) such as chemicals, raw materials and gases that is used in the actual manufacturing

of a SAW filter.

At the same time, it has to be kept in mind that no data were collected for the

abovementioned stages. The data that will be used for these stages is on the data in the

Simapro libraries. Only the immediate manufacturing activity data in the production plant

will be collected and modelled for analysis later on.

30

Page 47: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Water Energy Air

Figure 3.4: Modified System Boundaries for the LCA of a SAW filter

Manufacturing Line

Photolithography

Wafer Passivation

Die Preparation

Wafer Fabrication (FOL)

Assembly

Testing and Packing

Assembly and Packaging (EOL)

Chemicals

Gases

Raw Materials

Central Plant

DI Water Cleanroom Environment

Control Waste Water Treatment

Dicing/Spindle Water

Process Cooling Water

Process Water Treatment

Production Utilities

Exhaust Air

Water

Energy

Energy

Energy

Water

Staff/Office use

Chemicals

Solid Waste

Waste water

Raw wafer

31

Page 48: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

3.4.3 Major Assumptions used

Some assumption had to taken during the inventory collection. During the Life cycle

inventory stage, some of the inventory data was not available. In these cases, expert’s

opinions were sought and estimated values were used. These uncertain data quantities are

highlighted and their impact on the final results is analysed in the life cycle interpretation

stage. No threshold levels were used for the inventory collection. Because of the modelling

constraints, allocation had to be used for modelling the process water treatment. The

environmental load was allocated based on the final output mass as recommended by the

ISO.

Another major limitation was the lack of inventories in the Simapro database. Many of the

specialized chemicals used in the manufacturing line, especially in the wafer fabrication

were not found in the Simapro database. Even if some of the chemicals were available,

there was no information regarding their grade. Chemicals used in microelectronic

production are usually high grade chemicals whose production is many times more energy

intensive than the ordinary chemicals. Because of these limitations, all chemicals used

(except acids) in the production of a SAW filter were classified either as organic or

inorganic chemicals.

For the wafer used, electronic grade silicon was used as a substitute for lithium niobate

wafer that is used in SAW filters, due to the unavailability of data in Simapro database.

Even then, only a dataset regarding metallurgical silicon was available in the Simapro data.

The production yield of producing electronic grade silicon from metallurgical silicon is

quoted at around 20% (factor of >5) by (Tsuo et al. 1998). Williams, Ayres and Heller

(2002) reports that about 9.4 kilograms (factor of 9.4) of metallurgical silicon wafer is

needed per kilogram electronic grade wafer. As such, a factor of 8.5 was taken for this

LCA. Hence, to represent the 50 grams of lithium niobate wafer, 425 grams of

metallurgical silicon from the Simapro database was used (an estimation based purely on

mass).

It should be noted that no peer review was conducted for this LCA during any stage of the

study. The main reasons were lack of time and cost. 32

Page 49: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 4 SAW FLTER

4.1 Introduction

This chapter introduces the product or the functional unit of this LCA, a Surface Acoustic

Filter (SAW) filter. The full manufacturing of this product is done in a factory in

Singapore. Considerable amount of time was spent in the factory to understand the

manufacturing processes involved and the overall factory setup.

All the details that have been collected are presented in this chapter. In the first section, the

characteristics and applications of the SAW filter are briefly presented. The following

sections document the life cycle stage, ‘production\manufacturing’ of a SAW filter.

4.2 What is a SAW filter The SAW chip shown in figure 4.1 is a piezo-electric single crystal device (e.g. quartz,

lithium tantalate, lithium niobate), polished on the surface and coated with one or more

comb-like, interlocking electrode fingers, called interdigital transducers (IDT). These

usually consist of aluminium and are deposited by common photolithographic means.

INPUT OUTPUT

Electrical Input

Mechanical Surface Acoustic

Wave

Interdigital Transducer

Interdigital Transducer

Piezoelectric substrate

Electrical Output

Figure 4.1: A SAW substrate

33

Page 50: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

In a SAW filter, when an electric signal is applied, an electrical field is produced between

the differently polarized transducer fingers. Because of the piezoelectric effect, the chip

surface is deformed mechanically and a surface acoustic wave spreads out from both sides

of the transducer. The reflectors on both sides of the transducer reflect these acoustic waves

and thus create a standing wave, which is converted back into an electrical signal at an

output transducer.

λ/sawVf = d4=λThe wave is efficiently excited at the frequency, where wavelength,

( spacing between IDT). Hence, SAW filters are very flexible concerning design; the

center frequency and bandwidth can be determined by the spacing of the transducer fingers,

their number, and the crystal type used. Bandwidth of emitted frequencies is inversely

proportional to the number of IDT fingers. SAW devices can also be used as delay devices

as the velocity of the acoustic mechanical waves is a fraction of the electromagnetic waves.

=d

SAW devices are very widely used in modern in multimedia devices, automotive

electronics, wireless communication terminals and base stations due to their stability,

reliability and compactness. They come in metallic, plastic or ceramic forms in Single-In-

Line (SIP), Dual-In-line (DIP), Surface Mounted (SMD) and more recently, the Chip Size

Saw Package (CSSP). The SIP5 SAW filter selected for this study is shown in figure 3.2.

This Lithium niobate (LiNbO3) filter is commonly used in television receivers.

The production process of the filter begins with the raw substrate (LiNb03 wafer) being

processed using fabrication techniques similar to wafer fabrication in the semiconductor

industry. The processed wafer is then singulated and mounted on to a metal leadframe. The

chip and the leadframe are electrically connected by wire-bonding and finally, the chip is

encapsulated with a thermoplastic (epoxy resin) material. The completed filters are then

tested electronically and are packed before delivery to the customers.

34

Page 51: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

4.3 The Processing/Manufacturing stage of a SAW filter The life cycle model of SAW filter according to SETAC was briefly discussed in the

previous chapter. This section takes a detailed look at the of a SAW filter.

As stated earlier, processing/manufacturing stage can be divided in to raw materials

processing and the actual SAW filter manufacturing. The raw materials processing involves

the making of ‘semi-products’ for use in the actual manufacturing stage of a SAW filter. It

includes the processing of specialised chemicals, gases, lithium niobate wafers, leadframes

and other raw materials. Almost all of these semi-products are processed offsite and then

delivered to the SAW manufacturing plant.

There are many components that make up this manufacturing plant. For the ease of

understanding and structuring of life cycle inventory analysis later on, the following

clusters were defined;

• Manufacturing line - where the actual production processes occur. It involves many

long and complex processes

• Facilities modules – Cleanrooms where the production occurs are maintained by the

facilities operations. The facilities also support the production processes by

producing and delivering some of the necessary materials for the production.

Further more it collects and treats the wastes from production.

• Staff/Office use - Staff and office use in the company. It includes general staff use,

lightings, building infrastructure, office and work equipments.

35

Page 52: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

4.3.1 The Manufacturing Line

Delivery to customers

Packing

Figure 4.2: Process flow in the manufacturing line of a SAW filter

Figure 4.2 above details the processes involved in the manufacturing of a SAW filter. The

boxes represent each manufacturing process while the arrows indicate the process flow.

The manufacturing line is separated mainly into two sectors; the Front of Line (FOL) and

the End of Line (EOL). The FOL processes deals with wafer fabrication, while the

assembly, encapsulation, testing and packing are done in EOL.

The FOL sector is further divided in to three sections; Photolithography processes (shown

in figure 4.2 using by yellow boxes), Pre-assembly processes (light blue boxes) and a

Protec process (shown by grey box). The EOL sector is divided in to two sections;

Assembly processes and Testing/ packing processes. The red coloured arrows indicate the

process flow between processes in a section while the flow from each section to another is

represented by green coloured arrows.

36

Page 53: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

4.3.1.1 Front Of Line (FOL)

As explained in the previous page, the company divides the wafer fabrication process into

three stages, namely photolithography, pre-assembly and Protec. Photolithography and

Protec are both done in class 10 cleanrooms while pre-assembly stage is done in a class 100

cleanroom.

Cleanrooms are controlled environments used for manufacturing of products where there is

a need for extreme cleanliness. They are classified according to their level of contamination

that is specified by the number of particles per meter-cubed and by maximum particle size

(1, 10,100, 1000 and so on). To give a better perspective of things, a non-cleanroom

environment outside would be considered about a 5,000,000 class clean room (Cleanroom

2006)

The wafer fabrication of the raw wafers begins with the Photolithography stage which is

shown below in figure 4.3. Summarised below are the processes involved.

Etching Cleaning Resist coating Exposure Development Structured Wafer

Metallization

Figure 4.3: Major photolithography processes

Incoming cleaning - Raw wafers are cleaned using Hydrogen peroxide and ammonium

hydroxide. This is to ensure a clean wafer surface for good adhesion.

Metallization- The front surface of wafers is coated with a layer of aluminium inside a

controlled process chamber.

Photo resist coating – After metallization, the front surface is again coated with a

homogeneous photo active layer of photo resist which is structured by the subsequent

processes of exposure and development.

37

Page 54: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Exposure - The coated wafers are exposed to UV light through a retiled with SAW filter

pattern.

Developing - The exposed resist on the wafers is dissolved away by the developer solution.

Etching and photo resist removal – Top layer of the wafers is removed through the opening

in resist layer. The remaining photo resist is then stripped off leaving behind the structured

wafer.

The last stage in the photolithography stage is the post cleaning process after which the

structured wafers are inspected thoroughly for etching irregularities and other defects. The

wafers which are within specifications are then sent to the pre-assembly area while the

failed ones are either scrapped or sent for rework.

Pre-assembly is where the wafers are prepared for singulation and assembly. It is done in

two parts, in between which the wafers are sent for wafer passivation in Protec. Pre-

assembly and Protec processes are summarised below.

Reverse wafer mounting and dicing – As shown below, wafers are mounted with the

structured surface facing down on to an adhesive foil to provide support during dicing. The

reverse surface of the wafer is diced shallowly for the suppression of bulk waves.

Figure 4.4: Wafer mounting and dicing

Wafer demounting and Plasma cleaning – After dicing, the wafer are dismounted from the

adhesive foil and under goes cleaning by means of oxygen plasma to ensure good adhesive

surface before the next process.

38

Page 55: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Silkscreen printing – A mass screen is printed on each end of the die using epoxy as a

dampener for suppression of the surface wave in certain regions of the chip.

Wafer

Epoxy

Figure 4.5: Silkscreen printing

The wafers are then sent back in to the class 10 cleanroom to undergo wafer passivation

process known as Protec. Passivation is necessary because of the encapsulation technique

adopted for the filter. The passivation is a two-fold (wall and roof) process, patented by the

company, known as Proximate Roof Technology (Protec) where by a high-tech polymer

component is structured via photolithographic means to cover the active substrate, the inter-

digital transducers of the SAW die.

Chip

Protec Protec Wall

.

Figure 4.6: Chip Passivation

Wafer mounting and dicing – After Protec, passivated wafers are transferred back to the

Pre-Assembly area. Wafers are again mounted on to the frame as shown in figure 4.4, but

this time with the structured surface facing upward. The mounted wafers are then

singulated at the dicing process and wait for transfer to the FOL.

39

Page 56: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The wafer fabrication stage involves many other sub-processes which are occur between

the processes shown, such as thermal curing, general cleaning, plasma cleaning, inspection

and testing procedures. For example, wafers are thermally cured after photoresist coating,

silkscreen printing, Protec and dicing processes. The cleaning processes involved are a

series of steps designed to remove both large and small particles from the wafer surface.

General cleaning involves common high pressure cleaning or scrubber cleaning techniques.

For plasma cleaning, the surfaces of the wafers are cleaned by means of oxygen plasma

generated in the cleaning machine.

4.3.1.2 End Of Line (EOL)

After wafer fabrication process, the singulated wafers are transferred to the assembly area.

The assembly area is also divided in to two sections on the basis of cleanroom environment

control measures. The so-called front-end processes of the assembly area, Diebonding and

Wirebond are done in a class 1000 cleanroom, while the back-end processes beginning with

molding to tinning are done in a non-cleanroom environment.

The assembly process begins with diebonding where singulated dies are picked from the

mounted wafers and are placed on a leadframe as shown below in figure 4.8. A thermoset

epoxonic material is used as an adhesive. A single leadframe consists of 10 separate units.

The bonded units are then placed in curing oven to solidify the epoxy to maintain chip

position.

Epoxy

Leads / Legs

Die

Figure 4.7: Diebonding

40

Page 57: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

mμThe cured units are then wirebonded using 30 gold wires, to provide electrical

connection between the die and lead frame.

Gold Wires

Figure 4.8: Wirebonding

The wirebonded units are then transferred out of the cleanroom and to the back-end of the

assembly area. The units are then encapsulated in a plastic package (thermoset epoxy resin)

by compression molding.

Figure 4.9: Molding

The mold bleeds and flashes are removed by the next process, deflashing, whereby a plastic

media is used for blasting and cleaning of the leads for better soldering of leads. It also

performs dam-bar cutting.

Cleaning of Leads Dam-bar cutting

Figure 4.10: Deflashing

41

Page 58: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Next process is tinning where u ched out (singulation) from the nits are trimmed and pun

leadframe. The singulated unit’s leads/legs are then applied with flux and tin soldered.

Tin soldered leads

Singulated Chip

Figure 4.11: Tinning

The units are then transferred to the testing and packing area where they are electronically

Figure 4.12: Testing and Packing

tested and laser marked for product identification. The finished SAW filters are then packed

in to plastic tubes as shown below. After a final visual inspection for mechanical defects,

the tubes each containing twenty-five SAW filters, are packed into carton boxes for

transportation to customers as below (figure 4.13).

42

Page 59: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

4.3.2 Facilities Modules

he major facilities operations in the factory are summarised in figure 4.14 below. The

• Factory environment control (HVAC system) – Control and maintenance of both

Water processing plant (blue boxes) – The production and delivery of process water

needed for manufacturing processes such as deionised water, process cooling water

and cutting water.

T

flow of water and air in the factory is shown using blue and black coloured arrows

respectively. The major operations include,

Figure 4.13: Major facilities operation

cleanroom and non-cleanroom area. Major modules include fresh air make up units

(FAMU), chillers, cooling towers, fan filter units (FFU), sensible cooling units and

exhausts.

PUB Water

day/ 550 3m

Fresh Air Make-up Unit (FAMU) Fan Filter

unit (FFU)

Waste Water

Treatment plant

(WWTP)

Staff Use

Softener DI water plant

Clean Room

Chiller System

Water Recycling Plant

Blown Down

Process cooling water plant

Dicing water plant

Spindle water plant

Sensible cooling unit

Exhaust

Daily inflow

TreatedWater to

Drain

43

Page 60: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• Water recycling plant (blue box) - Recycles reject reverse osmosis (RO reject) water

from deionised water plant and condensed water from HVAC system, to be used for

cooling towers.

Waste water treatment plant (blue box) – Waste water from manufacturing and

facilities operatio

ns are treated before releasing to the drain.

ring processes. All the

chemicals and gases needed for the production of a SAW filter are manufactured

4.3.3

sually, a small portion of a company’s resources are used up by its office use and staff.

de them as a cluster in the production/manufacturing stage

f a SAW filter. In this case staff/office cluster includes all the activities in the factory that

• Utilities for production (not shown in the diagram) – The production and delivery of

utilities such compressed air and vacuum to the manufactu

offsite and then delivered to the facilities in the factory. Facilities then deliver these

chemicals and gases to the manufacturing processes.

Staff and Office Use

U

Hence, it was important to inclu

o

are not included either in manufacturing or facilities clusters. These include general staff

use, lightings, building infrastructure, office and work equipments.

44

Page 61: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 5 LIFE CYCLE INVENTORY

5.1 Intro The next stage of this LCA study was to c

(LCI) of a SAW filter. This proved to be the most time consuming and challenging part of

tage had to meticulously executed, for its accuracy and clarity

bound have a profound impact on the final results of this study.

for this LCA are

ented in section 5.3 onwards.

The most demanding task of a LCA is the Life Cycle Inventory (LCI) analysis, which is the

uantification of material and energy (resources and wastes) flows associated with a

dy (Goedkoop, Schryver & Oele 2006). To put it bluntly, it is the

d outputs throughout it life cycle.

the LCI is of utmost

portance. Taking Environmental Protection Agency’s (EPA) LCI framework as

Collection of data.

• Evaluation and reporting of data.

duction

ollect and quantify the Life Cycle Inventory

this LCA. Nevertheless, this s

is

In the following section, the methodologies used commonly for LCI analysis are reviewed.

Using these methods are a guideline, the LCI analysis for this research project was carried

out. The techniques adopted and the subsequent results obtained

docum

5.2 Methodology

q

product system under stu

accounting of a product’s inputs an

The quality of LCI provides the basis not only to evaluate the environmental impacts but

also to provide potential improvements (LCA101 2001). The quality of the LCI data is

reflected through out LCA process, hence the accuracy and detail of

im

reference, the LCI could be separated in to four steps;

• Development of a flow diagram of the processes being evaluated.

• Development of a data collection plan.

45

Page 62: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Dev ndary for each of

the tem boundaries of an LCA, such as the one

tha a three (see figure 3.3). The flow diagrams provide an outline of

the ding their interrelationships (Guinée et al. 2001).

for use in Microelectronics

dustry. The ‘top-down’ approach consists of collecting data at a factory level and then

ated to the pieces of equipments or processes. Using this approach, it is also

asier to implement improvements to mitigate the environmental impact at each process

he

ata that needs to be collected. In keeping with the goal and scope of the study this helps

elopment of a flow diagram deals with the setting up of a systems bou

individual processes that are in the main sys

t w s defined in chapter

major processes to be modelled, inclu

This stage could make the whole LCA process less complicated and provide a

methodological approach to data collection. The accuracy and detail of the LCI largely

depends on the complexity of these process flow diagrams.

The data collection plan ensures that the quality and the accuracy of the LCI meet the

requirements specified in goal and scope definition stage. As stated earlier, there are two

types of data collection methods that are recommended

in

disaggregating it to process levels. This approach often results in manageable databases.

However, it is not suitable if the factory manufactures different products. In such cases, it

can be extremely difficult to disaggregate the data in to process levels (Murphy, Allen &

Laurent 2003).

In contrast, using the ‘bottom-up’ method, inventory is quantified at equipment-level on a

process basis and aggregated at factory or product level. It provides a much more detailed

data directly rel

e

stages. The main drawback of this approach is the amount of time and resources needed.

The data collection plan consists of four different tasks; defining the data quality goal,

identifying data sources and types, identifying data quality indicators and developing a data

collection worksheet and checklist. Data quality goals provide a guide for the quality of t

d

ensure that the time and resources spent on LCI stage is kept to a minimum. Data quality

indicators are the benchmarks against which the collected data can be measured to

determine if the data quality requirements have been met.

46

Page 63: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

It is good to identify the sources of LCI data or at least most the data before the actual data

collection, as this will reduce cost and time. Examples of sources of data include, directly

easured, databases, journals, reference books, internet and best engineering judgement.

ata for an LCI can be classified into two types; Foreground data and Background data.

t

ere discussed in chapter three, transport, waste management, all of which can be found in

lection and to enable construction of a database to store the

ollected data. Checklists are extremely important in a large LCA project, as many people

r some of processes. In such circumstances, educated guesses by

perts are the highly recommended option. In other cases, though data may be available, it

m

D

Foreground data is the very specific data that is needed for modelling of the system for the

next LCA stage, life cycle impact assessment (Goedkoop, Schryver & Oele 2006).

Background data refers to data for generic materials, most often the semi-products tha

w

databases and literature.

Once the data sources and types have been identified, the next task in data collection plan is

to develop a data collection Inventory checklist that covers the most decision areas in the

performance of an inventory (LCA101 2001). The purpose of this checklist is to guide the

foreground inventory col

c

might be tasked for data collection. Having checklists helps to ensure completeness,

accuracy and consistency.

The next step of the LCI analysis is data collection which generally involves site visits,

research and direct contact with technical experts who are familiar the product of the study.

Often in case of complex LCAs, data collection can be tricky. It can be extremely difficult

to get accurate LCI data fo

ex

might be difficult to quantify in to a functional unit level. This may cause the system

boundaries of the product to be altered.

The last step in the LCI is to evaluate and document the collected LCI data that is to be

used for the next stage of the LCA, life cycle impact assessment. The methodologies and

strategies adopted for data collection and the final LCI results should be reported clearly

and concisely.

47

Page 64: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

5.3 Life Cycle Inventory of a SAW filter

Following closely to the methods described in the preceding paragraphs, a detailed LCI

analysis was conducted for the SAW filter. The first step was to identify the foreground and

ackground data. All the data associated with the immediate production/manufacturing of a

AW filter in the plant were considered to be the foreground data. This included all the

three (System boundaries) and four

rocesses in the manufacturing of a SAW filter). Raw material extraction, manufacturing

odules, the only data available was quantified at the factory level and had to allocated to

of time. This involved several line tours to the factory and countless

terviews conducted with the process engineers and production staff.

b

S

activities and processes that are highlighted in chapter

(p

of the semi-products and their transportation details were considered to be background data.

In order to structure the data collection three main clusters were defined as stated in chapter

three. The three clusters are Infrastructure modules (facilities), the manufacturing line and

staff and office use. They were defined on the basis of ease of data collection, types and

availability of data. For instance, manufacturing line data was available for each individual

processes that were easily broken down in to the functional unit level, where as for facilities

m

unit level accordingly. In such a scenario, both the ‘bottom-up’ and ‘top-down’ approach

had to be used.

The next task of this LCI was to identify the complete process flow involved in the

manufacturing of a SAW filter. It was important to understand each and every process in

some detail to know what data needed to be collected and the reason it had to be collected.

Each and every process including those from the facilities and staff/office use were studied

for short period

in

Equipped with the information gathered, flow diagrams for each and every process in

factory were created to aid the inventory collection. An example of such a flow diagram,

the diebonding process flow diagram is shown in figure 5.1 on the next page. Using the

created flow diagrams as a basis, checklists were then prepared for data collection.

48

Page 65: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Figure 5.1: Flow diagram of the Diebonding process

In order to accurately quantify the LCI of each individual manufacturing process in the

ctory accurately, it was important to note some of the production terminologies, namely

the machine utilization and yield. Production ted continuously, but

rather in lots. A lot ory means a batch

f 25 wafers, while in End of Line (EOL – Assembly/Testing) it means 1600 SAW filters.

nergy consumption occur during this period.

fa

machines are not opera

in Front of Line (FOL – Wafer fabrication) in the fact

o

The time in between lots, where the machines are turned on and are waiting for lots to be

processed is classified as idle or standby time. Material and energy consumption during this

period is a fraction of what is consumed during the actual production time. Another

important aspect of time to consider is the down or shutdown time when the machine is

under repair or undergoing periodic maintenance. Most of the time, negligible material and

e

Yield refers to portion of each lot that is usable after each process. Production yield varies

for each process. Typically, yield values are higher than 95% for most of the processes.

Yield losses occur due to specification failures, quality issues and operator mishandling.

DIEBONDING

Energy - Die bonder machines

- Curing Oven

Gas - N2

Finished Product - Attached

DieRaw materials

- Lead frames

Material Outputs

- Wastes Chemicals

- Bonding Epoxy - Acetone

49

Page 66: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Cut out Area

Unused Area

Figure 5.2: A SAW Wafer

ulation in FOL other th

An important aspect regarding the data calc an the production yield

and utilisation is the area of the SAW filter t is actually used for fabrication (see figure

5.3). Though the unused areas a o through almost all the same

rocessing steps as the used area and hence, this unused area had to be taken in to

e available data in the factory

as quantified in different units of measurement. For instance, all the available data for

unctional unit) used for this study measures

3 ×× and weighs about . The actual size of die (lithium niobate wafer)

hat

re not fabricated, they still g

p

consideration when calculating the LCI. The fact that the SAW wafer is not a full circle

(one side of the circle is cut) was also taken in to account.

Another major task before the start of the actual inventory collection was to identify a

suitable reference unit which could be calculable for all sources (Williams, Ayres & Heller

2002). At different stages of the manufacturing process, th

w

wafer fabrication (FOL) was in terms of a single wafer, while for assembly/packaging stage

it was in terms of a single SAW filter.

To overcome this complexity it was decided to use the frontal surface area (area of the

patterned surface) of the die as a reference unit for data collection. As mentioned earlier in

goal and scope definition, the SAW filter (f

mg415mm4.28.47.1

that is that is embedded in the filter measures mm5.01.23.10 ×× and weighs around 50 mg.

Then, frontal surface area of the SAW chip is equal to,

22163.3.10 cm×= = 01.2

50

Page 67: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

This data was used as the reference unit for the LCI collection which documented in the

following sections. It should be noted that the all the data quantified here were based

olely on the consumption and at no instance, recyclability of this materials in the

ered.

‘bottom-up’ approach was used for quantifying of life cycle inventory of each process of

e manufacturing line. On studying the flow diagrams created for individual process it was

processes should further be separated in to the wafer

brication (FOL) group and the assembly/Testing/packaging (EOL) group. This separation

and water consumption and the production details of each process

ver a period of four weeks).

ion output, production yield for each individual process was

tudied over a period of four weeks. The data collected was then averaged out to a daily

next few pages.

s

factory or off-site were consid

5.3.1 Manufacturing Line

A

th

noted that all manufacturing line

fa

was based on the product output from each area; the product output from the wafer

fabrication area was in wafers, while the product output from the manufacturing area was in

chips or SAW filters.

Based on this arrangement, two checklist formats, one each for FOL and EOL were created.

The sample checklists are shown in Appendix B. The checklists included the energy

consumptions, the gas

(o

The next step was to collect the inventory data regarding chemical, gas and other raw

material consumption. To accurately quantify these items, raw material consumption,

chemical consumption, product

s

basis. Most of the information was readily available in company’s production database.

When ever there was gap in the data collected, process engineer’s opinion was sought and

estimations based on average values were used.

Using this information in conjunctions with the details from the checklist, LCI data

quantified for the functional unit of the study (a single SAW filter). The calculation

techniques that were used are documented in the

51

Page 68: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Manufacturing line - Energy consumption

individual process. The

or all machines (shown in checklists) were

btained from the facilities department and in some cases from the machine manual. The

consumption was easily calculated. To demonstrate the method used, shown

elow is a sample calculation for the incoming cleaning process, which involves 2

achines machines Voltage (V)

Active (A) (A) (hrs) time

(hrs)time (hrs)

Wet bench 1 400 30 25 21.36 0.96 1.68

Spin rinse dryer 1 230 15 10 22.8 1.2 0

The first task was to use the information from checklists to quantify the energy

requirements of the machine/s and other utilities associated with

peak current during production and idle mode f

o

Energy consumption for all machines in production and idle mode was calculated using a

power factor of 0.85. The results for FOL and EOL are summarised in table 5.2 and 5.3

respectively.

For each process, once the total number of machines involved in the production, their rated

voltage, active and idle load, the machine utilization data, and the process yield were

known, energy

b

machines. The average output for incoming cleaning process is 3790 wafers/day with a

yield factor of 99.3%. The data collected for the incoming cleaning process is given in the

table 5.1.

M No of Rated Load Load Idle Uptime Idle Down

Table 5.1: Incoming cleaning process data

Using the data from the table, th , is given by,

e ActivenConsumptioEnergy

( ) wafersof no Average

activeactive

1000×⎥⎥⎦⎢

⎢⎣

=timeVItimeVI activeactive 1coscos3 ⎤⎡ ×+× φφ

52

Page 69: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

( )( )3790

11000

)8.2285.015230(36.2185.0304003×⎥⎦

⎤⎢⎣

⎡ ×××+××××=

imilarly, is given by,

waferKWh /1172.0=

IdlenConsumptioEnergy S

( ) wafersof no Average

timeVItimeVI IdleIdleIdleIdle 1100

0⎢

⎢⎣

coscos3×

⎥⎥⎦

⎤⎡ ×+×=

φφ

( )( )3790

11000

)2.185.015230(96.085.0304003×⎥⎦

⎤⎢⎣

⎡ ×××+××××=

nd hence, the total energy co sumption of the process per wafer is,

nConsumptio

waferKWh /00434.0=

A n

Active Energy nConsumptioEnergy (KWh) waferper nConsumptioEnergy += Idle

WaferKWh /122.0=

mption per wafer if all processed wafers are usable

00% yield). But in this case the process yield is given as 99.3%. Taking this yield into

onsideration, the total energy consumption of the process per wafer is,

The above shown value is energy consu

(1

c

waferKWh0.122(KWh) waferper nConsumptioEnergy /123.0993.0

==

53

Page 70: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

To convert the calculated energy consumption per wafer into a single chip level (reference

nit), firstly the frontal surface area of the SAW wafer (with the cut-out area) must be

calculated. The cut-out area is estimated to be 5% for SAW wafers. And hence, then the

u

surface area of a 4inch (5.08cm) wafer is given by,

95.02 ×= rπ ( ) 95.008.5 2 ××= π 95.008.81 ×=

20295.77 cm=

energ consu er chi is,

Then the y mption p p

unit reference waferof area Surface

waferper nConsumptioEnergy (KWh) chip per nConsumptioEnergy ×=

2163.00295.77

×=0.123KWh

similar strategy and is shown below. The

alculation is simpler because the average daily output per process is in number of chips

nd not wafers.

ChipKWh /10453.3 4−×=

The energy calculation for EOL adopts a

c

a

yield(Chips) Output averageDaily

nConsumptioEnergy nConsumptioEnergy ConsEnergy EOL

⎞⎛ + numptio IdleActive ×⎟⎟

⎠⎜⎜⎝

=

sing ethodologies described here, the energy consumption of all manufacturing

rocesses was calculated and is shown in table 5.2 (FOL) and 5.3 (EOL). The main

rocesses are shown in bold letters.

KWh/chip=

U m

p

p

54

Page 71: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

ProcessKWh / KWh / KWh / KWh /

Energy Consumption - FOL (Wafer Fabrication)

Wafer (active)

Wafer (Idle)

Wafer (Total)

Process Yield Wafer

fiter

KWh / SAW fiter

Incoming cleaning -Wet bench 0.09983 0.00374

Spin Rinse Dyer 0.0186 0

Metallization 0.50345 0.0275 0.53095 97.2% 0.54653 0.00153

Resist Coating 0.49289 0.05193 0.54482 96.6% 0.56429 0.00158

Exposure 0.1679 0.00459 0.17249 98.5% 0.17521 0.00049

Developing 0.1961 0.024 0.2201 97.5% 0.22574 0.00063

Etcing 0.10901 0.00088

Spin Rinse Dyer 0.01651 0

Plasma cleaning 0.07038 0.00407 0.07445 99.8% 0.0746 0.00021

Post Cleaning 0.11384 0.00116

Spin Rinse Dyer 0.01671 0

0.00554

Wafer Mounting 0.057 0.003

Oven curing 0.025 0.008

Reverse side Dicing 0.425 0.000 0.425 96.2% 0.44 0.00124

Demounting 0.006 0.000 0.006 99.6% 0.01 0.00002

Plasma cleaning 0.060 0.007 0.067 98.2% 0.07 0.00019

Silk screen printing 0.136 0.041

Oven curing 0.055 0.011

Wafer Mounting 0.049 0.003

Oven curing 0.021 0.007

Wafer Dicing 0.578 0.002 0.580 95.0% 0.61 0.00171

0.00417

PROTEC Protec (Total) 1.392 0.271 1.663 98.7% 1.6849 0.00473

0.00037

0.00026

0.080 98.5% 0.08 0.00023

0.177 95.8% 0.19 0.00052

0.1264 97.6% 0.1296

0.093 98.8% 0.09

0.13171 99.6% 0.13224

Total

Total

98.6% 0.1239 0.00035

0.00036

PRE ASSEMBLY

PHOTOLITHO

0.12217

Table 5.2: Energy consumption data for Front of Line

55

Page 72: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Energy consumption - EOL (Assembly / Testing)

ProcessKWh / SAW

Filter (active)

KWh / SAW Filter (Idle)

KWh / SAW Filter (Total) Process Yield KWh / SAW

Filter

Die Bonding 0.000996 0.0000429

Oven Curing 0.000677 0.0001246

Wire Bonding 0.000285 0.0000061 0.0002911 99.70% 0.00029

Molding 0.006788 0 0.00693

Oven Curing 0.000654 0.0000679 0.00072

Deflashing 0.002741 0.000144 0.002885 97.65% 0.00295

Tinning 0.002072 0.000526 0.002598 96.50% 0.00269

0.01544

Testing/ Marking 0.0019 0.000685 0.002585 93.78% 0.00276

Final Inspection 0.000621 0.000288 0.000909 96.55% 0.00094

0.0037Total

ASSEMBLY

TESTING / MARKING / PACKING

0.0010389 99.20% 0.00105

0.0075099 98.00%

Total

Table 5.3: Energy consumption data for End of Line

Manufacturing line - Water and Gas consumption

o be more challenging than energy

onsumption because there were two types of flows involved; continuous and

ple checklists shown in Appendix

) LCI data was calculated depending on the flow of water/gas using the following

The quantifying of water and gas consumption proved t

c

discontinuous flow. Discontinuous flow means that a machine does not consume any water

or gas when in idle mode. Some of the machines however consume water and gases when

in idle mode and this is classified as continuous flow.

With the information gathered from the checklists (sam

B

formulas shown below. The first step in calculation was to find the daily water and gas

usage.

56

Page 73: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

For FOL, the daily usage of Continuous flow is given by (ignoring the negligible down

time),

( ) min6024min/ ××= hrsLit rate Flow liters in usageDaily For FOL, the daily usage of Discontinuous flow is given by,

( ) m of NoLit rate Flow liters in usageDaily day per runs of No achines ××= min/

or EOL, the daily usage of Discontinuous flow (All processes in the EOL uses follow

iscontinuous flow) is given by,

F

d

( ) minutes in chip per time CycleLit rate Fl liters in usageDaily ow ×= min/

hereby cycle time is calculated as,

W

day per produced chips Averageday per time p Average min in time Cycle = roduction

time(min) down -time(min) Idle-1440min time production Average =

Once the daily usage was calculated, the next step was to quantify the data in to chip level.

or FOL,

F

yield1

daily produced Wafers of Number Averageliters in usageDaily waferper onsumptionC ×⎟⎟

⎞⎜⎜⎝

⎛=

57

Page 74: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Then, the usage per SAW filter is given by,

chip the of area waferthe of area

waferper nConsumptio(Lit) filter SAWper nConsumptio ×=

For EOL the consumption rate is given by,

yielddaily produced chip of No Averageliters in usageDaily (Lit) filter SAWper nConsumptio 1

×⎟⎟⎠

⎞⎜⎜ ⎝

⎛=

Once all the values have been calculated they were converted to mass. The resulting LCI

st for water and gas consumption is shown in table 5.4 and 5.5 for EOL and FOL

spectively.

li

re

Water and Gas consumption - EOL (Assembly / Testing)

Process N2 (mg) O2 (mg) Helium (mg)

DI Water (grams)

Process Cooling Water

(grams)

Dicing water

(grams)

Die Bonding 153.305 - - - - -

Oven Curing - - - - - -

Wire Bonding 589.188 - - - - -

Molding - - - - - -

Oven Curing - - - - - -

Deflashing - - - 139.691 - -

Tinning - - - - - -

Total 742.493 0 0 139.691 0 0

Testing/ Marking - - - - - -

Final Inspection - - - - - -

Total 0 0 0 0 0 0

ASSEMBLY

TESTING / MARKING / PACKING

Table 5.4: Water and Gas consumption data for End of Line

58

Page 75: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Process N2 (mg) O2 (mg) Helium (mg)

DI Water (grams)

Process Cooling Water

(grams)

Dicing water

(grams)

Wet bench 0.393 - - 6.05182 - -Spin Rinse Dyer 23.569 - - 9.077733 - -Metallization 1337.700 - - - 1.52095 -Resist Coating 185.174 - - - - -Exposure 1.616 - 0.1077 - - -Developing 188.601 - - 0.02568 - -Etcing betch 0.014 - - 9.2856 - -Spin Rinse Dyer 106.040 - - 2.786 - -Plasma cleaning 0.932 2.642 - - - -Post Cleaning - Wet Bench 0.407 - - 6.2674 - -Spin Rinse Dyer 24.427 - - 9.401 - -N2 Wafer storage Cabinet 1.325 - - -

1870.20 2.64 0.11 42.90 1.- -

Total 52 0.00

Wafer Mounting 63.330 - - - - -Oven curing - - - - - -Reverse side Dicing 94.350 - - - 1.962 1.635Demounting 31.423 - - - - -Plasma cleaning 48.975 3.623 - - - -Silk screen printing 10.286 - - - - -Oven curing - - - - - -Wafer Mounting - - - - - -Oven curing - - - - - -Wafer Dicing 182.909 - - 26.482 4.573 3.811Total 431.27 3.62 - 26.48 6.54 5.45

PROTEC Protec (Total) 798.69 13.60 - 118.95 0.52 -

PHOTOLITHO

PRE

ASSEMBLY

Water and Gas Consumption - FOL (Wafer Fabrication)

Table 5.5: Water and Gas consumption data for Front of Line

59

Page 76: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Manufacturing line - Chemical and Raw materials consumption

The chemicals and other consumable materials usages were calculated adopting the same

methodologies used for calculating water and gas consumption data. The results are shown

below in table 5.6 and 5.7 for EOL and FOL respectively.

PROCESS CHEMICAL / RAW MATERIALS Material type Mass (mgram)

Epoxonic 94. Biphenol-A . Epoxy resin 4.05

Acetone. C3H6O Organic Solvent 6.40

LeadFrame (0.6g / Chip) - Final product only contains ~ 0.15g

i. LeadFrame - Copper, Cu (97%) 435.40

ii. LeadFrame - Iron, Fe (2.35%) 11.20

iii. LeadFrame - Phosphorus, P (0.08%) 0.90

iv. LeadFrame - Zinc, Zn (0.13%) 0.63

v. LeadFrame - Silver, Ag (0.3%) 1.80

Gold wire (>99%) Wire bonding Metal 0.75

Ethanol Organic Solvent 1.64

Mould compoundThermoset Plastic

(Epoxy resin)447.30

Mold cleaning and conditioning sheet Rubber 2.75

DeflashingDeflashing Media blast. [ Granulated Melamine Formaldehyde]

281.66

Solder. Tin (96%) and silver(4%) (Pb Free solder S-

Sn96 Ag4)20.26

Flux. 2-aminoethanol + DL-malic + glycolic acid + oxalic acid

Flux 2164 28.98

Common IPA Organic Solvent 85.50

Container stick ( 1 stick - 7.8g) PVC 185.00

End Cap (2g) 2 for 1 stick SEBS compound 9..3

Carton Box Paper 20.00

lvent 20.29

Testing / Marking / Packing

ASSEMBLY

Chemical and Raw material Consumption Per SAW Filter (EOL)

Testing / Marking / Packing

Die Bonding

Wire Bonding

Molding

Tinning

Metal

Common IPA Organic So

Table 5.6: Chemical and Raw material consumption data for Front of Line

60

Page 77: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

PROCESS CHEMICAL / RAW MATERIALS Mass

Chemical and Raw material Consumption Per SAW Filter (FOL)

Material Type (mgram)

Ammonia 28% VLSI 16.08

Hydrogen Peroxide solution 31% (H2O2) SLSI 23.19

Metallization Aluminium 99.999% Metal 4.60

AZ1505 Photoresist Photoresist 11.25

AZ EBR Solvent 70:30. organic solvent 15.34

Developing Developer AZ826 . aqueous solution 28.52

Etchant Acid Nitric acid >70%, Hyfrofloric acid >7% Acid 13.50

NMD-W Organic solvent 87.48

Common IPA organic solvent 24.35

Epoxonic 217 Component A. Epoxy resin 0.61

Epoxonic 217 Component B. Epoxy resin 0.52

Epoxonic 217 Component C3-propylimethoxysilan Epoxy resin 0.005

EPA (EthoxyPropylAcetate) Organic solvent 10.85

Ethanol Organic solvent 0.23

Common IPA Organic solvent 18.76

3-TPA Adhesion Primer.3-C9H23NO3Si, 3-TPA Polymer material 0.07

Ammonia Solution (NH4OH) 25 % VLSI 2.19

Hydrogen Peroxide 31 % SLSI 4.03

Sulfuric acid 96% (H2SO4) Acid 14.92

Sodium Carbonate anhydrous (Na2CO3) 3.42

Sodium Silicate solution extra pure 0.82

Magnesium Sulphate heptahydrate MgSO4 x 7H2O 1.82

Anti-Foam Pluronic. (Ethylene Oxide Block polymer) 0.92

Common IPA Organic solvent 14.35

Incoming cleaning

Resist Coating

Etcing bench

PHOTOLITHO

Silkscreen Printing

PROTEC

PROTEC

PRE

ASSEMBLY

Table 5.7: Chemical and Raw material consumption data for End of Line

61

Page 78: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

5.3.2 Facilities and Staff / Office use To begin with the LCI, a considerable amount of time was spent with facilities group to

understand the facilities operations (figure 4.14) and staff / office use. On the advice of the

facilities engineer, facilities modules were separated in to five different processes for the

ease of data collection. They are,

• Factory environment control (HVAC system)

• Water processing plant

• Water recycling plant

• Waste water treatment plant

• Utilities for production

Checklists were created based on the flow diagrams for each process of facilities. A single

check list was also created for staff/office use. Unfortunately, all the data available for both

these clusters were quantified at factory level and hence a ‘top-down’ approach had to be

used. The task was then to disaggregate and assign this factory level data to a single SAW

filter level (reference unit). This was a tricky as the factory produces three different types of

SAW filters as mentioned in chapter 4. And so, it was not advisable to disaggregate using

the number of SAW filters produced daily. A common factor had to be used to disaggregate

the data accurately.

Disaggregating factors such as cost and weight were considered but in the end, it was

decided to use the number of wafer produced daily as the common factor for disaggregating

the factory level data. All the three types of SAW filters produced in the factory are

different in terms of size, weight and packaging (encapsulation). The only common thing

about the three types of products is that they all go through the same wafer fabrication

(FOL) process. All three products are fabricated on a 4-inch wafer and they undergo the

ame wafer fabrication techniques which are detailed in chapter 4. The only difference

betwee processes

(EOL) depending on the packaging used (Plastic, ceramic or metallic).

s

n these products is that they undergo different assembly and encapsulation

62

Page 79: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Hence it was appropriate to use the average number of wafers produced daily (3700 wafers)

disaggregate the factory level data to a wafer level, from which it was easily be

n

To disaggregate methodologies used for

manufacturing line were used. The LCI data for facilities energy consumption is shown

below.

to

quantified in to reference unit level. To disaggregate from wafer level to a reference unit

level, the same methodologies used for manufacturing line were used.

Facilities – Energy Consumptio

from wafer level to reference unit level, the same

Description Total (KWh)

Per Wafer (KWh)

Per SAW filter (KWh)

Chiller 23184 6.266 0.01759Chiller Peripheralsi.cooling towerii.chilled water pumpiii.condenser water pumpiv.Sensible cooling water pumpFAMU 9240 2.497 0.00701Exhaust 900 0.243 0.00068FFU 2505.45 0.677 0.00190

0.03311

Air c

Total

HVAC

7800 2.108 0.00592

ompressor 11520 0.584 0.00164Dryer 799.2 0.182 0.00051Vacuum 1476 0.292 0.00082

n - Facilities modules

Utilities For Production

Energy Consumptio

0.01047

DI Water System 1392 0.376 0.00106Wafer dicing water 408 0.110 0.00031Process cooling water 720 0.195 0.00055Spindle water System 204 0.055 0.00015

0.00207

Water Recycling Plant 537.6 0.145 0.000410.00041

Waste Water Treatment Plant 408 0.110 0.000310.00031Total

Total

Total

TotalWater

Recyling

WWTP

Process Water

Table 5.8: Energy consumption data for Facilities

63

Page 80: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Facilities – Water consumption

Facilities modules consume about 3250m of water daily, mostly for factory environment

control (HVAC system). Out of 3250m of water consumed, about145m3 of water is recycled

from reverse osmosis (RO) reject and other chiller condenser wastes. Table below shows

lculation details of facility’s water consumption. Highlighted in yellow is the the ca

consumption rate per SAW filter.

Estimated wafers per Day 3700.00 pcs

Water Consumption for Facilities Modules 250.00 m3

Recycled water (145 m3/day) 145.00 m3

Per Wafer (m3) Per SAW filter (cm3)

Factory Use (HVAC System) 0.07 189.73

Recycled water (145 m3/day) -0.04 -110.04

Total Actual use 0.03 79.69

Water Consumption - Facilities Modules

Table 5.9: Water consumption data for Facilities

Facilities – Chemical consumption

Shown on the next page in table 5.10 is the chemical consumption of the five facilities

process identified. Once again, the method used to disaggregate the daily consumption to

wafer level was by dividing the average number of wafers produced in the factory.

64

Page 81: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Process Chemical Daily Usage (KG)

Per Wafer (KG)

Per SAW Filter (m gram)

Corrosion inhibi 2.63 0.00 1.9961

Biocide(Glutaraldehyde) 2.68 0.00073 2.0360

Lubrincant oil 0.0007

Glycerin (C3H8OProcess Water

HVACtor 071

3) 1.23 0.00033 0.9357

Chemical Inorganic 1.55 0.00042 1.1763

Utilities for Prod Lubrincant oil 0.0007

Sodium Hydoxide 33.67 0.00910 25.5497

Sodium Chloride 5.91 0.00160 4.4852

Hydrochloric Acid 7.89 0.00213 5.9882

Ammonium Hydroxide 2.75 0.00074 2.0870

WRP Chemical organic 1.51 0.00041 1.1460

mical Consumption - Facilities Modules

WWTP

Che

Table 5.10: Chemical consumption data for Facilities

Staff / Office Use

Staff and office use include all the activities in the company that are not included either in

manufacturing or facilities clusters. These include general staff use, lightings, building

infrastructure, offic ext page) shows the

energy consumption and water consumption for staff / office use respectively.

e and work equipments. Table 5.11 and 5.12 (n

Description KWh Per Wafer (KWh)

Per SAW filter (KWh

Bulding Infrastucture 4963 1.3414 0.0038

Energy consumpt n - Staff / Office Use io

)

Lighting (production & office) 961 0.2597 0.0007

General staff use, office and work equipments 1636 0.4422 0.0012

Total 7560 2.0432 0.0057

Table 5.11: Energy consumption data for Staff and Office use

65

Page 82: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Description Vol (m3) Per Wafer (m3) Per SAW filter (cm3)

Total Staff/office Use 50 0.0135 37.95

Water consumption - Staff / Office Use

Table 5.12: Water consumption data for Staff and Office use

Waste

Unfortunately there was no data available for waste produced at process level. The only

data available regarding wastes was quantified at cluster level. The company disposes the

waste through licensed vendors who collect the waste weekly from each unit process. The

wastes collected by vendors are classified as either inert or hazardous waste. Inert waste

includes waste rags, wipes and absorbent material contaminated with solvents and

Isopropyl alcoh mercury and used

photoresist are some of the items that make up hazardous waste. The inventory list of waste

that was quantified for a SAW filter is shown below in table 5.13.

quid (Inert) 60.34 46.29 3.4 -

Liquid (Hazardous) 136.68 45.8 7.08 -

ol. Waste lamp, fluorescent tubes with traces of

Wafer Fab (FOL) (m gram)

Assembly (EOL) (m gram)

Facilities (m gram)

Office / Staff Use (m gram)

Solid (Inert) 122.69 93.24 22.42 6.85

Solid (Hazardous) 0.72 0.27 1.23 0.22

Li

Table 5.13: Factory waste disposal data

66

Page 83: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 6 LIFE CYCLE IMPACT ASSESSMENT

6.1 Introduction

ife cycle impact assessment (LCIA) is the third stage of a LCA in which life cycle

ventory is processed and evaluated to understand the extent of the impacts on

ent. This chapter begins with a general look at the LCIA methodology. LCIA for

is study was done using Simapro software, which is covered in section 6.3. The following

CIA provide a linkage between the product of the study and the environmental impacts.

he results of LCIA are usually interpreted in terms of environmental impacts and social

preferences (Guinée et al. 2001). Overall contribution and risks to environment and public

health, social, cultural and economic impacts are some of the factors considered in LCIA.

In short, an LCIA result forms the environmental profile of the product of study (Svoboda

1995). According to internationals standards, a LCIA comprise of the following elements.

The first three are obligatory, while the rest are optional.

Selection and definition tors – The goal and scope

f a LCA should provide the guidance for selection of impact categories to be studied.

hown in figure 6.1 is an example of an impact assessment method structure. ISO

commends identification of end-points or damage indicators prior to selection of impact

ategories (mid–points). The term mid-points indicate that impact categories are located

omewhere intermediate between LCI results and the damage on the impact pathway. End-

points are where the actual environments impacts actually occur.

L

in

environm

th

sections document the modelling of the SAW filter life cycle in Simapro and explore the

selected impact assessment method for this study, the Eco-indicator 99. The results of the

LCIA are presented in section 6.5. Some conclusions based on the LCIA results are made

in the final section of this chapter.

6.2 Methodology

L

T

of impact categories and damage indica

o

S

re

c

s

67

Page 84: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Figure 6.1: General overview of the structure of an impact assessment method (Goedkoop,

Schryver & Oele 2006, p.21).

Classification - At this stage the results of the LCI are organized and assigned to the

ted impact categories. For an LCI item that contribute only to one impact category,

ut if an LCI item contribute to two or more impact categories,

ertain allocations rules have to be used.

ormalisation – As the name suggests, normalisation is the process whereby category

selec

this is a simple exercise, b

c

Characterization – Scientific characterization factors that are derived using characterisation

models are used to calculate the category indicators results. Characterization factors, also

known as equivalency factors are multiplied to the applicable LCI result to obtain the

impact category indicator result. This enables the aggregation of results between the

contributors to each impact category.

N

indicators can be expressed relative to an available standard. This can be achieved by

dividing the impact category indicators by a ‘normal’ value (LCA101 2001). Normalisation

serves two purposes. Through normalisation impact categories that are insignificant in

comparison to other impact categories can be ignored in a LCA. Normalisation also shows

the order of magnitude of environmental impacts associated with a product.

68

Page 85: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Grouping – Similar category end-points can be grouped into structured set of damage

categories to better facilitate the results of a LCIA into specific area of environmental

concern. Since end-points can be grouped, this in turn groups the mid-point indicators. To

enable this grouping, the results for each impact indicator in a group have to be expressed

in term of same unit during characterisation.

Ranking – Impact categories can be ranked according to the magnitude of their contribution

to environmental impacts. This stage is usually done by a panel of LCA experts who are

familiar with the goal and scope of the study.

Weighing – Weighing is described by the ISO as “the process of converting indicator

lt aspect of the LCIA, weighing assigns relative values or

eights to different impact categories based on their importance. It is a procedure that is

he abovementioned factors make the LCIA a complex exercise. However in recent times,

• The ease of calculating of environmental parameters such as classification,

results by using numerical factors based on value choices (Bengtsson & Steen 2000). The

most controversial and difficu

w

very much subjective as it is difficult to prove that one impact category is more important

than the other one. Other factors such as time or the region of study could also influence

the results. ‘Single score’ results are the aggregation of weighted impact category scores.

T

with the advances made in computers, the LCIA phase has been simplified to a great

extend. Today, vast majorities of LCIAs are done using software tools (previously touched

on in chapter two). The obvious advantages of using computer technology include (Unger,

Wassermann & Beigl 2004),

characterisation, etc that is often complicated and convoluted. Most LCA

practitioners, who are from the industry, are only interested in the actual results of

the study for product and process improvements. For LCA softwares used today, the

practitioner only has to key in the appropriate inventory data and the computer does

the rest. At the click of a button, results such as characterisation, normalisation and

weighing are calculated and displayed instantaneously.

69

Page 86: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• Softwares enable practitioners to store, manage and edit the large amount of data

associated with a LCA.

• Softwares include extensive databases that contain processes, flows and process

chains which can be used for the actual modelling of the product of the study.

• Most of LCA softwares come equipped with a number of international and regional

)

methodologies as a guide, a number of Impact assessment methods have been developed by

ed at mid-point categories (see Figure 6.1) to reduce the

ncertainties involved. Usually the mid-point categories have rather abstract units and are

thu if

Damag

categor

group.

are eas

Eco-ind rity Strategy).

• Structuring of the modelled scenario, display of the process chains and presentation

and analysis of the results can be further improved by using software.

impact assessment methods. This gives practitioners flexibility regarding the results

of their LCA.

With the use software tools, the choice of impact categories is often determined with the

choice of software used for the analysis. Though majority of the impact categories remain

the same in different LCA impact assessment methods, a few of them are modelled in

slightly different manner. Using the ISO’s life cycle impact assessment (ISO 14042:2000

LCA experts, both internationally and regionally.

Impact assessment methods can be generally classified into either problem-orientated or

damage-oriented. In problem-orientated methods such as CML 92 and EDIP the

quantitative results are group

u

s d ficult to group together.

e-orientated methods calculate the impact assessment results at the end-points

ies. Usually they are calculated in common units for impact categories within a

This makes the calculation difficult compared to the problem-orientated methods but

ier to understand and evaluate. Examples of Damage-orientated methods include

icator 99, Eco-indicator 95 and EPS (Environmental Prio

70

Page 87: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

On f

perform LCA. The following sections explore the software, the

modelling methodologies adopted for this LCA and the chosen impact assessment method.

It s

of Sima

6.3

System

Simapr

reliable and has a proven track record among LCA enthusiasts all over the world. The main

ppeal of Simapro is its flexibility in modelling and its user friendliness. Though costly, a

This is the evident

the way the program is structured. The five main components of modelling a LCA in

as a project in Simapro. Once a project has been created,

e goal and scope section of the software begins with the documentation of goal and scope

ction, gives

e practitioner a choice of data quality indicators. Time, geography, type and allocation

issues that are may influence the accuracy of the final results can be set here.

e o the most commonly used LCA softwares, Simapro was chosen as the platform to

the analysis for this

hould be noted that all description of the software is based on the demonstration version

pro software that was used for this project.

Introduction to Simapro

for Integrated Environmental Assessment Products” or otherwise known as

o is developed by Pre consultants, a Netherlands based company. The software is

a

single user license for a period of six month costs about 800euros, a demonstration version

of the software is available freely over the internet.

So far, no LCA softwares in the market today are officially accredited with ISO standards

and Simapro is no exception (Goedkoop, Schryver & Oele 2006). However, Simapro has

been developed in accordance to the existing ISO set of LCA standards.

in

Simapro are made up the four stages of a LCA and an optional component, ‘general data’,

which contain minor details such literature references, images and information that will not

actually influence the results of a LCA.

Every LCA conducted is treated

th

of the study. The second subsection, ‘libraries’, allow the choices of databases that are

available in Simapro. The databases available are all unique and have been developed by

various research organisations. The selected databases can be used in conjunction to build

assemblies, life cycle and waste scenarios for a project. Overall there are ten such

assemblies available in the demonstration version of Simapro. The last subse

th

71

Page 88: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The process subsection of the life cycle inventory stage shows the selected databases. They

include a wide variety of details on raw materials, processes, energy usage, transportation,

waste scenarios and waste treatments. If the data is insufficient or inappropriate for an

inventory item, the user can either edit the item from the database or create a new item. All

the data altered will be local to the selected project and will not affect the default databases

the software.

semblies, assemblies, disposal scenarios and

y all be modelled in the product subsection. The rest

f Simapro offers the LCA experts an

ption to create their own impact assessment methods (Goedkoop, Schryver & Oele 2006).

e user has the choice of selecting between the

haracterisation, grouping, normalisation, weighing or single score results to be displayed

in Using the data from the libraries the sub-as

finall the full life cycle of a product can

of the subsections show a description of the systems used and creation of waste types, both

of which are not available in the demonstration version of the software.

After the life cycle of a product has been build in Simapro, the impact assessment can be

carried out according to the chosen assessment methods. The assessment method can be

selected in the method subsection in Simapro. The demonstration version of Simapro

includes sixteen such methods. The full version o

o

Usually LCA assessments are done using just one method, or at the most two methods for

comparative reasons. However, it can be very difficult to compare the results obtained

using different methods because of the different characterisation factors, mid-points and

end-points categories used (explained in section 6.2).

Once the impact assessment method has been chosen, the LCIA results can be calculated

almost instantaneously in Simapro. Th

c

on the screen. A complete view of the life cycle of a product can be obtained by selecting a

‘tree’ or ‘network’ display. These displays are particularly useful in pinpointing a hot spot

in entire product assembly.

According to Simapro, the life cycle interpretation stage in Simapro is designed as a

checklist that covers the relevant issues that are measured in the ISO standards (Goedkoop,

Schryver & Oele 2006). Interpretation stages such as contribution and sensitivity analyses

can be done easily in Simapro by making using use of network and tree displays and

process contribution graphs.

72

Page 89: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.4 Modelling a SAW filter Life cycle in Simapro

Though user-friendly and easy to use, the modelling of a product can be quite tricky in

Simapro for a first time user. Simapro recommends first time users to spend some time

learning to use the software. This was accomplished by doing the two tutorials, ‘Guide tour

with coffee’ and ‘Tutorial with wood’, which are offered with the software. A considerable

t etc. The database covers mainly Swiss and Western Europe

situations.

time was wasted doing the tutorials because the number of saves easily ran out (as

mentioned earlier in the goal and scope section of this report, only a demonstration version

with sixteen possible saves was available) and the only way to reuse the software was to

reformat the entire operating system and reinstall the software again.

With the knowledge gained from using the tutorials, the SAW filter life cycle was modelled

in Simapro following closely the methodologies explained in sections 6.1 and 6.2. The

challenge here was to create an accurate the life cycle model of a SAW filter within

allowed number of sixteen saves. The demonstration version did not allow the creation of a

new project and hence, an existing tutorial project, had to be modified for this LCA.

Under the goal and scope section of Simapro, the first step was to select the libraries to be

used for the project followed by data quality indicator requirements such as geography and

time. The following three libraries were chosen;

• BUWAL 250 - focuses mainly on packaging materials, energy, transport and waste

treatments. Developed by EMPA St.Gallen in Switzerland for a study commissioned by

the Swiss Ministry of the Environment.

• ETH–ESU 96 - includes about 1200 processes such as energy, electricity generation,

waste treatment, transpor

• IDEMAT 2001 - developed at the Delft University of technology, Netherlands, the

main focus of this database is very much on production of materials. The data is

original and not taken from other LCA databases.

73

Page 90: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The next task was to create the full life cycle model of a SAW filter. Before the actual

odelling of the life cycle, the entire LCI items were checked against the similar items in

results.

m

the libraries in Simapro for compatibility.

Other than the issues regarding the inventory data that was highlighted in goal and scope of

the study in chapter 3, two major issues were found. Firstly, the Simapro databases did not

have any data on deionised water, which is used extensively in the production of the SAW

filters. To overcome this shortcoming, a process model of factory water system was

developed as shown below. The model of 1 kg of process water is shown here for the ease

of explanation and does not indicate any environmental impacts scores or

Figure 6.2: Model of factory water system

The

dicing water, cutting water. An allocation method based on the total weight of the process

ater produced (DI water - 78%, PCW water - 10%, cutting water - 6%, and dicing water -

6

the

pic

output of this process water system included deionised water, process cooling water,

w

%) daily in the factory was used for this model. From the figure, it can also be seen that

water recycling plant have also been modelled (of negligible importance in the final

ture).

74

Page 91: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The second issue involved the electricity generation models available in Simapro. The

electricity generation models available were suitable only for use in Europe and North

America. As electricity consumption was expected be one of the major contributors to the

nvironmental impacts associated with the product of this study, it was important that an

Figure 6.3: Model of SAW filter in Simapro

The rest of the process n modelled using data

from the selected libraries as shown in the figure above. The modelling of the full life cycle

t control.

e

appropriate model was used. Hence an electricity generation model for Singapore was

created. Electricity generation in Singapore is from oil (30%) and natural gas (70%). The

model can also be seen in the figure 6.2.

SAW filter production

Manufacturing li

Factory Environment

control Staffs use

(building and office

Utilities for production

es, sub-assemblies and assemblies were the

was carried out by closely following the system boundary diagram and the limitations

detailed in Chapter 3.

A point to note from the diagram shown above, the arrow shown pointing upwards from the

water recycling plant indicate the use of recycled water that is later used for factory

environmen

Process water plant

)

Wafer Fabrication Assembly (FOL) (EOL)

Waste water treatment plant

Photo -Lithography

Die Passivation -

Pre-Assembly

Assembly & Encapsulation

Testing and Packaging Water Recycling

Plant

75

Page 92: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Waste disposal was modelled at cluster level as explained in LCI chapter (see Chapter 5)

employing the disposal scenarios for both hazardous and inert waste in Singapore. In

Singapore, 70% of the waste is incinerated, while the rest is land filled. The data for waste

disposal came from ETH-ESU 96 libraries.

Once the model was build, the next step was to do the actual impact assessment. Eco-

indicator 99 was chosen as the impact assessment method for this LCA. The following

ection takes a detailed look at this method.

the impact assessment method for a number of reasons. It is

art’ LCIA methodology that is one of the most widely used by

im ). It follows the ISO 14042 standards very

pact assessment method are easy to comprehend

ainly because of the num er of dam ge categories (end-point) it uses.

ted impact assessment that was initiated by Dutch

authorities to replace the previously popular LCIA method, Eco-indicator 95. Though it

was popular among LCA practitioners Eco-indicator 95 was limited by its inability to

accurately weigh different environmen a 2001).

Developed in a top-down fashion, Eco-indicator 99 simplifies the weighing problem by,

using just three end points, as shown in figure 6.4 on the next page. The three damage

ages to human health, damages to ecosystem quality and damages to fossil and

s

6.4.1 Impact Assessment Method - Eco Indicator 99

Eco-indicator 99 was chosen as

described as ‘the state of the

practitioners all around the world (S apro 2006

closely. Moreover, the results using this im

m

b a

Eco-indicator 99 is a damage-orien

tal aspects (Goedkoop & Spriensm

categories can be compared to grouping of different end-points. The three endpoints are

then linked to the inventory results (shown on the extreme left of the figure 6.4) using the

damage models (shown in white boxes). The three damage categories (groupings) used are

dam

minerals resources.

76

Page 93: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

low. These impact

ategories could affect the health of human beings in a number of ways both in long and

ummer smog

• Climate change – diseases and death caused by climate change.

Figure 6.4: General representation Eco-indicator 99 methodology (Goedkoop &

Spriensma 2001, p.1).

Damages to Human health – is linked to the impact categories shown be

c

short term. To aggregate these damages to human health, Disability Adjusted Life Years

(DALY) is used as tool for comparative weighing. The DALY scale is a disability weighing

scale (‘O’ meaning perfectly healthy and ‘1’ meaning death) that is used by organisations

such as World Health Organisation and World Bank. (Potting & Hauschild 2003)

• Carcinogens – emissions of carcinogenic substances to air, water and soil

• Respiratory organics – respiratory effects of emissions of dust, sulphur, and nitrogen

oxides to air resulting from winter smog.

• Respiratory Inorganics - respiratory effects of emissions of organic substances to air

resulting from s

77

Page 94: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• Ozone layer depletion – refers to the reduction of protective ozone layer caused by the

emission of ozone depleting substances such as chlorofluorocarbons and halons.

• Radiation – damages caused by radioactive radiation.

Damages to Ecosystem quality – is linked to the three impact categories shown below. It is

expressed in percentage of the species that are threatened or have disappeared in a certain

area due to the environmental load. This damage indicator is more complex to define than

the damage to human health as models used for the three impact categories are not

homogeneous. A compromise had to be made and the actual units used is Potentially

Disappeared Fraction (PDF) times area times year (PDF* *yr) (Goedkoop & Spriensma

• Ecotoxicity – includes all substances that are toxic to the environment. Ecotoxicity

substances released to air, water and soil effect the quality of ecosystem.

stem.

n aesthetic

value that is caused by the activity.

2m

2001).

• Acidification / Eutrophication – acidification is caused by the release of proton in the

terrestrial or aquatic system. It causes inefficient forest growth and acid lakes without

any wildlife. The impacts are mainly seen in Europe. Eutrophication is described as

the enrichment in nutrients that lead to increased production of the flora and fauna

that causes deterioration in water quality and an unbalance in the aquatic ecosy

• Land use - the amount of land used for a given activity and the decrease i

78

Page 95: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

D

resou ed in

MJ surplus energy per extracted materials.

• Minerals – refers to decreasing mineral grades and the resulting surplus energy (MJ)

used to mine each extra kg of minerals.

In order to deal with the uncertainties in the models used for LCA of a product, Simapro

thodology (Sim

ept of cultural perspectives, as shown below. Hierarchist perspective was chosen

nced distinction between long and short term

ef

Egalitarian Very long term Problems can lead to All proven effects

amages to minerals and fossil resources – models only minerals and fossil fuels, other

rces such as biotic resources are ignored. Resource damage category is express

• Fossil fuels – refers to the lowered quality of resources and the surplus energy (MJ)

used to extract each kg or m3 of fossil fuel.

offers three different choices of the ECO-indicator 99 me apro 2006) using

the conc

for this LCA as this perspective takes a bala

fects and generally facts are included if they are backed up by scientific evidence.

Prespective Time view Manageablity Level of eveidence

Hierarchist Balance between short and log term

Proper policy can avoid many problems

Inclusion based on consensus

ndividualist Short time Technology can avoid many problems Only proven effectsI

catastrophe

Table 6.1: Choices of ECO –indictor 99 methodology

A drawback of Eco-indicator 99 is that, it is basically modelled for use in Europe. All

emissions and land use impact categories and all subsequent impacts are modelled using

uropean data (Goedkoop & Spriensma 2001). Exceptions to this are the damages to

resources, damages created by climate changes, ozone layer depletion, air emissions of

persistent carcinogenic substances and radiation.

E

79

Page 96: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5 LCIA Results

To understand the relative magnitude of the environmental concerns caused by the

roduction of a SAW filter, normalised results of the damage and impacts categories were

calcu

indic ith the environmental effects caused by an

average European during a year.

p

lated and the results are shown in figure 6.5 and 6.6 respectively. By default, Eco-

ator 99 normalizes the impact results w

Figure 6.5: Normalization of the environmental damage assessment categories

Figure 6.6: Normalization of the environmental impact assessment categories

80

Page 97: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

From figures 6.5 and 6.6 on the previous page, the following conclusions can be reached.

imate change are the main contributors to human health

category, while carcinogens make up a very small percentage. Contributions from

other impact categories; respiratory organics, radiation and ozone layer are

insignificant.

• In comparison to other damage categories, ecosystem quality is the least affected

damage category, with acidification/eutrophication and ecotoxicity, the main

contributors.

• Most of the environmental loads associated with each impact category can be linked

to the manufacturing line (in blue), while the least contribution comes from

staff/office use (shown in light blue).

• The second highest con ent

control and utilities for production, shown in yellow and red respectively)

• Only eight of the impact categories contribute notably to the final environmental

result, so the rest of the impact categories can be ignored.

To analyse the specific stages of the production and their impact on the final LCIA results,

a detailed analysis was then carried out, firstly at damage categories levels, and then

subsequently on at impact category level. The next three subsections documents this

analysis, only the impact categories (resp.inorganics, climate change, minerals depletion,

fossil fuels depletion, acidification/eutrophication, ecotoxicity and land use) that contribute

significantly to the overall results are discussed.

• Damage to resources (fossil fuels and minerals) is the worst affected damage

category. This is possibly because of the high energy consumption of SAW filter

production process.

• Respiratory inorganics and cl

tributor is the facilities support modules (factory environm

81

Page 98: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

One of the main objectives of this research project was to find the ‘environmental hotspots’

production process of a SAW filter. Preliminary analysis showed that it was rather

d c

• e whole process system in the Simapro demonstration software.

To overcome the shortcoming of the second point above, the following methodology was

ad t

of th

actual production processes (End of Line (EOL) and Front of Line (FOL) of the

anufacturing line) of a SAW filter except for minerals and land use impact categories

(b a

produ

should be noted that mostly network and tree diagrams, rather than characterisation and

dama

becau rsion. The

ut-off values for each network shown were set accordingly, so that important information

ca b

contr hown in cumulative mode.

he thermometer indicators shown on the right edge of each box (processes), shows their

rams of better resolution are shown in

Appendix C.

in

iffi ult to pinpoint the culpable processes because of a number of reasons.

Inability to model th

• A small number of the processes contributed to environmental load in magnitudes

many times higher than the majority of the inventory, thus masking some of the

other impacts.

op ed for this impact assessment. Firstly, LCIA analysis was carried out on a full model

e production system (see figure 6.3). Further analysis was then carried out on the

m

ec use results obtained are quite easily understood and can easily be linked to the

ction processes involved).

It

ge assessment bar charts, are used for means of investigation. This was necessary

se of the restricted way the model was built in Simapro demonstration ve

c

n e documented. The thicknesses of lines that link different processes display the

ibution to the total environmental loads. All results are s

T

significance relative to the final result.

The major limitation of presenting the results this way was the poor quality of the network

diagrams that had to be used for the documentation in the next few pages (this is especially

true if a hardcopy of the report is read, whereas for a softcopy the diagrams can be zoomed-

in to see the details). Network and Tree diag

82

Page 99: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.1 Damage to Resources

Figure 6.7: Network analysis of damage category - Resource damage

e rest of the contribution comes from facilities

odules (factory Environment control and utilities for production – 33%) and staff use

cess contributes a total of 4% to resource damage. For facilities modules and

staff/office use, the main contribution again comes from electricity consumption.

Shown above in figure 6.7 is the characterised network showing environmental impacts

results for damage to resources. The results are shown on the network in percentage to the

actual damage score of 0.187MJ surplus energy. It can be seen that the manufacturing line

is the main contributor to resource damage, of which wafer fabrication (Front-Of-Line

(FOL) manufacturing) contributes 17.2% and Assembly (End-Of-Line (EOL)

manufacturing) contributes 45.1%. Th

m

(4.36%).

On closer observation, about 68% of the total contributions to resource damage come from

the energy consumption (electricity from oil and gas). The heavy use of deionised water in

production pro

83

Page 100: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Damage to Resources

Of the 0.116MJ surplus energy (64.5% of 0.187MJ surplus) associated with EOL, about

91.6% comes from the Assembly and Encapsulation (see figure 6.8 below). Total Energy

consumption of production machines in EOL amounts for 33.94% of the resource damages

linked to EOL processes.

Figure 6.8: Network showing contributions to resource damage (EOL)

Next highest contributor to resource damage from EOL is copper leadframe (25.2%)

followed by thermoset epoxy resin used for both mold compound and die boding glue

8.1%). The tinning process which uses tin for soldering of the SAW filter leads (1

contributes about 16.4% of the damage. The PVC packaging material used after testing

contributes about 1.93%.

84

Page 101: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

FOL - Damage to Resources

From figure 6.9 below, the resource damage score for FOL is 0.032MJ surplus energy. Of

this, the contributions from processes photolithography, Protec and pre-assembly is 0.0123

(53.5%), 0.0099(23.5%) and 0.0091(21.2%) in MJ surplus energy respectively. The main

contributing factors to the total damage score are the electricity consumption (45.4%),

eionised water (14.9%) and the SAW wafer (29%). It should be noted that silicon was

sed as a substitute for lithium niobate for this LCA due to the unavailability of data in

Simapro database (already discussed in goal and scope section).

d

u

Figure 6.9: Network showing contributions to resource damage (FOL).

wo impact categories (mid-points) contributing to the damage category ‘resources’ (end-

T

point) are depletion of fossil fuels and minerals expressed in MJ surplus energy. Figure

6.10 on the next page shows characterisation results for depletion of fossil fuels.

85

Page 102: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.1.1 Impact category – Fossil fuel depletion

Figure 6.10: Network analysis of impact category - Fossil fuels depletion. The total score of the fossil fuel depletion is 0.157MJ surplus energy. About 55.3% of this

score is associated with the manufacturing line, of which 35% comes from the end-of-line

The contr to staff

se. Fossil fuels such as natural gas, oil and coal are mainly used to generate electricity.

ere, quite logically, a very high percentage (81.2%) of fossil fuel depletion is contributed

iebonding

rocess followed by copper leadframe (3.23%). The wafer contributes to about 1.6% of

tal impact. The contribution from water recycling plant is a low 0.68%. The main

ontributing factor of the recycling plant is its energy consumption.

.

ibution from factory modules is about 40% while 5.2% can be attributed

u

H

by the energy (electricity) consumption.

The second most contributing factor is epoxy resin used for molding and d

p

to

c

86

Page 103: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Fossil fuel depletion

Figure 6.11: Network showing contributions to fossil fuels depletion (EOL).

Almost half of contribution from EOL towards fossil fuel depletion comes from energy

consumption of the production machines as shown in figure 6.11. The next highest

contribu he chip

8%) followed by copper leadframes. Other notable contributions include the final

ackaging material (PVC tube), deionised water and melamine formaldehyde used for

ssil fuel depletion from FOL is shown in figure

.12 on the next page. Of the 0.032MJ surplus energy (20.3% of 0.157MJ), the

ncinerator is the next

ighest contributor followed by nitrogen.

tor is the thermoset plastic used for encapsulation and diebonding of t

(2

p

tinning process.

FOL - Fossil fuel depletion

The network showing contribution to fo

6

contributions from the three sub-assemblies are photolithography (38.3%), Protec (31.2%)

and preassembly (28.7%). The main contribution comes from energy consumption of the

production machines. Deionised water contributes a combined total of 19.76% of the fossil

fuel depletion linked to FOL. Hazardous waste send special waste i

h

87

Page 104: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Figure 6.12: Network showing contributions to fossil fuels depletion (FOL)

.5.1.2 Impact category - Minerals depletion 6

Shown in figure 6.13 below is the characterisation result for minerals depletion. The only

contribution to minerals comes from the manufacturing line. It can be seen that almost all

of the mineral depletion related to the SAW filter production is linked to copper leadframes

(54.9%) and tin (44.9%) used for soldering of the chips leads.

Figure 6.13: Network analysis

of impact category - Mineral depletion

88

Page 105: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.2 Damage to Human health The total score for human health is calculated to be 4.39E-9 DALY. From figure 6.14

below, a high percentage (63.5%) of the contributions to human health comes from the

electricity consumption. About 24% of impacts are associated with the Copper leadframe.

The next highest contributor is the SAW wafer (6.6%). The high usage of nitrogen in

manufacturing processes contributes about 1.3% while the PVC packaging material used

for packing of the final product and inorganics chemicals used during production both

contributes about 0.75%.

Overal uman

ealth impacts (65%). Within the manufacturing line, 42.3% of the impacts come from the

nd-of-line production. The facilities support, factory environment control and utilities for

roduction contributes a total of about 31% of the human health impacts associated with the

l, the actual production stage (manufacturing line), contribute mainly to h

h

e

p

manufacturing of a SAW filter.

Figure 6.14: Network analysis of damage category - Human health

89

Page 106: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Damage to Human health

Figure 6.15: Network showing human health damage assessment (EOL)

From figure 6.15, the contribution from EOL to human health damage comes mainly from

the sub processes, assembly (die-bonding) and encapsulation (molding). The total human

health score for EOL is 3.12e-8 DALY. Copper is the main contributor with a 56.6% share

of the environmental burdens followed by energy consumption of the machines. Other

notable contributions include PVC tubing used for final packing (1.77%) and deionised

water (1.59%).

90

Page 107: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

FOL - Damage to Human health

The major contributor from FOL to human health damage is the photolithography (53.5%)

processes followed by Protec and pre-assembly processes. Energy consumption of the

machines is again the highest contributing factor followed by SAW wafer (29%). The

contribution from deionised water is a combined total of 14.9% of the impact.

Figure 6.16: Network showing human health damage assessment (EOL)

91

Page 108: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.2.1 Impact Category – Respiratory inorganics

ome of the biggest sources of particulates in the air are caused by combustion sources

uch as burning of fossil fuels and industrial sources such as melting of copper (Copper

smelting 1998). This explains the high contributions related to electricity from oil (36.9%)

and copper leadframes (32.1%) shown in the network below in figure 6.17.

Other major contributors include SAW wafer (6.61%), nitrogen (1.15%) and inert waste to

incinerator (0.32%). Overall, about two-thirds of the respiratory inorganics originate from

the manufacturing line. The contribution from EOL processes accounts for almost half of

the total respiratory inorganics (48.8 %).

Damage category respiratory inorganics refers to the microscopic inorganic airborne

particles that can travel into human lungs and cause a variety of respiratory problems.

Particulates such as nitrogen dioxide and sulphur dioxide are some of the major threats.

S

s

Figure 6.17: Network analysis of impact category - Respiratory inorganics

92

Page 109: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL – Respiratory inorganics

and

ackaging materials.

Figure 6.18 shows the characterized results of EOL. The major contributor to the impact is

quite clearly the copper leadframe (65.8%) used in assembly. The next highest contributor

is the electricity consumption of the production machines followed by encapsulation

p

Figure 6.18: Network showing contributions to respiratory inorganics (EOL)

FOL – Respiratory inorganics

Figure 6.19: Network showing contributions to respiratory inorganics (FOL)

Energy consumption of the production machines is the highest contributor from FOL

followed closely by the SAW wafer. The total contribution from all the deionised water

used in the FOL processes is 14.29% of the total contribution while nitrogen and organic

chemicals each contribute 2.68% and 1.57% respectively.

93

Page 110: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.2.2 Impact Category – Climate change

k analysis of impact category - Climate change

The impact score for climate change is 7.37E-8 DALY. This impact category, otherwise

known as global warming refers to change in earth’s temperature due to the emission of

greenhouse gases (Pennington, Norris, Hoagland & Bare 2000). The electricity consumed

during the manufacture of a SAW filter is the largest contributor to the climate change with

86.2% out of total impact score (see figure 6.20). This is largely due to the combustion of

fossil fuels that emits a high amount of greenhouse gases such carbon dioxide.

The sec d by

roduction of copper leadframes (3.75%) and nitrogen (1.27%). Waste send to incinerators,

Figure 6.20: Networ

ond highest contribution comes from the production of silicon (4.55%), followe

p

both inert and hazardous contribute only in small percentages of 0.747% and 0.59%

respectively to climate change. Overall, the contribution from the manufacturing line is just

about the half of the total impact score because of the high energy consumption of the

facilities modules.

94

Page 111: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Climate change

Figure 6.21: Network showing contributions to climate change (EOL)

The climate change score for EOL is 3.12E-9 DALY. Of this, 83.6% of the contributions

are related to assembly and encapsulation. Overall, consumption of energy is the highest

contributor to climate change from EOL (60.3%) followed by copper leadframe (14%) and

deionised water (3.29%).

FOL - Climate change

Figure 6.22: Network showing contributions to climate change (FOL)

ate change include SAW wafer (17.7%) and DI water (17.3%).

From FOL production, almost half of the contributions to climate change can be linked to

photolithography (45.4%) processes. The rest of the load is shared almost equally by pre-

assembly and wafer passivation processes. Hazardous waste sent to special waste

incinerator contributes about 2% to the impacts associated with FOL. Other major

contributors to clim

95

Page 112: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.3 Damage to Ecosystem Quality

The ecosystem quality damage score related to SAW filter production is 0.00418

PDF* *yr. The ecosystem damage assessment network shown below in figure 6.23

indicates that the energy consumption is again the major contributor to this end-point

category. Copper leadframe is the next highest contributor (18.7%) followed by SAW

wafer (8.3%), melamine formaldehyde (6.21%) and deionised water (4.04%).

2m

Figure 6.23: Network analysis of damage category - Ecosystem quality

Overall, the main contributions to Ecosystem age comes from the manufacturing line

(67%). The rest of the contribution comes from facilities modules (factory Environment

dam

control and utilities for production – 29%) and staff use (3.86%). Of the impacts associated

with the manufacturing line, 42.8% is linked to end-of-line (EOL) production.

96

Page 113: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Ecosystem Quality

Figure 6.24: Network showing contributions to ecosystem Quality (EOL)

For EOL, over 90% of the contributions come from assembly/encapsulation processes. The

highest contributor to ecosystem quality is the copper leadframe. Other than the energy

consumption of the production machines, melamine Formaldehyde used for deflashing

process is the next highest contributor with 14.5% share of the impacts.

FOL - Ecosystem Quality

fer (34.2%).

verall, the energy and deionised water consumption of the FOL amounts for a share of

5.1% and 11.38% of the ecosystem quality impacts linked to FOL respectively.

Figure 6.25: Network showing contributions to ecosystem quality (FOL)

From FOL production, more than half of the total contribution originates from

photolithography. This is mainly due to the high impact caused by the SAW wa

O

3

97

Page 114: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.3.1 Impact Category – Acidification/Eutrophication

Figure 6.26: Network analysis of impact category - Acidification/Eutrophication

From figure 6.26, the energy consumption during the production of a SAW filter is the

largest contributor to acidification/eutrophi

are emitted to the atmosphere. Examples of gaseous

pollutants include sulphur dioxide, nitrogen oxides, ammonia and solvents that are

commonly used in industries (Cofala et al. 2000). Fuel combustion is one of the major

sources of sulphur dioxide and nitrogen oxides. Smelting of copper emits large quantities of

sulphur oxide in to the atmosphere (Copper smelting 1998). This explains the significant

contribution to acidification/eutrophication from copper leadframe, presumably linked to its

production (21.2%).

The nex sed for

analysis). This is mainly because the production of silicon also releases some sulphur oxide

cation (64.5%). Acidification/eutrophication

occurs when gaseous pollutants

t highest contributor is the SAW wafer (note that silicon wafer was u

pollutants into the atmosphere. Other notable contributions come from thermoset plastic

(2.58%) used for molding and diebonding (epoxy resin), nitrogen use (0.98%), and organic

chemicals (0.58%). Overall, the manufacturing line accounts for 64.4% of

acidification/eutrophication damage.

98

Page 115: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Acidification/Eutrophication

Figure 6.27: Network showing contributions to acidification/eutrophication (EOL)

From EOL production processes, the main contribution to acidification/eutrophication

comes from the copper leadframes. The next highest contributors are the energy

onsumption of the machines followed by thermoset plastic (epoxy resin) used for

ncap

c

e sulation of the filter.

FOL - Acidification/Eutrophication

Figure 6.28: Network showing contributions to acidification/eutrophication (EOL)

More than half of the Acidification/Eutrophication impact from FOL production is linked to

photolithography, where the SAW wafer the major contributor. Overall though, the major

contribution comes from the energy consumption of the machines of the FOL machines.

99

Page 116: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.3.2 Impact Category – Ecotoxicity

alysis of impact category - Ecotoxicity Some the major causes of ecotoxicity include the release of organic pollutants with waste

water, release of metals, emission of oil from oil extraction and atmospheric disposition of

metals and dioxins (Danish Environmental Protection Agency 2005). In comparison with

other metals, Zinc is one of the largest contributors to ecotoxicity.

These facts can clearly be seen from the figure 6.29, the electricity consumption accounts

for 91.4% of the ecotoxicity. 74.2% of this comes specifically from electricity from oil,

eve inc

(in weight) used for the plating of the leadf

Figure 6.29: Network an

n though only 30% of the overall electricity generation is from oil. The amount of z

rames is less than 0.001% of total inventory

data, but a disproportional impact can be seen. The contribution related to zinc used for

plating is 1.43%. Waste send to incinerators, both inert and hazardous contribute about

0.85% and 0.4% to ecotoxicity respectively.

100

Page 117: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

EOL - Ecotoxicity

Figure 6.30: Network showing contributions to ecotoxicity (EOL)

From figure 6.29, it can be seen that EOL of the manufacturing accounts for roughly one

quarter of the ecotoxicity impacts related to SAW filter production. Out of this one quarter,

82.9% impacts are linked to the assembly and encapsulation processes. One the whole, the

major contributor from EOL is the energy consumption of the production machines. The

next highest contribution comes from zinc that is used for the plating of the copper

leadframes and deionised water.

FOL - Ecotoxicity

Figure 6.31: Network showing contributions to ecotoxicity (FOL)

From FOL, the major contribution again comes from photolithography process. Overall

energy consumption is the major contributor followed by the deionised water (18.4%),

AW wafer (13.8%) and hazardous waste send to incinerator. S

101

Page 118: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.5.3.3 Impact Category –Land use

Figure 6.32: Network showing contributions to impact category - Land use

pact category that is difficult to comprehend. Land use could be

interpreted as a decrease in biodiversity and the possible impairment of life support systems

due to use of land by man. Some of the major causes of land use include mining and loss of

forest. All the land use impacts associated with the SAW filter comes from the

manufacturing line (figure 6.16). The main contributor is copper (44.2%) followed by

melamine formaldehyde used for the deflashing process. The contribution related to copper

is most probably linked to the mining of copper ore.

The same re ilicon chip.

he deflashing media blast, melamine formaldehyde is an engineered wood that is made

Land use is an im

asoning can be extended to the high contribution (18.2%) from s

T

from silver fir wood and hence can be seen as contributing to forest loss. Other notable

contribution to land use includes nitrogen (4.83%), organic chemicals (1.89%) and epoxy

resin (1.44).

102

Page 119: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

6.6 Conclusions and Analysis of LCIA Results

This section draws conclusions regarding the impact assessment using the results obtained

from the previous section. At the same time it also analyses the results for the reliability

and accuracy. It should be noted that the single score (aggregation of weighted impacted

category scores) charts used in this section are not used as basis for drawing conclusion on

this LCIA, but rather used to substantiate the analysis and the findings from the previous

the section.

From the analysis, it was clearly seen that the highest contributing factors to the

environmental burdens associated with a SAW filter is linked to the high energy

consumption of production machines and facilities modules.

Taking an overall picture, it was seen that on average about two-thirds of the impacts are

linked to the manufacturing line (for almost all of the impact categories). The rest of the

impacts are linked to facilities modules with a small percentage share linked to staff and

office use (~ 5%). The weighted single score chart of the SAW production shown below

backs th

e reasonings made.

Figure 6.33: Single score results for the SAW filter production

103

Page 120: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The manufacturing Line

Majority of the environmental impacts from the manufacturing line are linked to the End of

Line (EOL) production. It was seen that the highest contribution is again linked to the

energy consumption of the production machines. Shown in figure 6.34 on below is the

single score result for the manufacturing line.

Figure 6.34: Single score results for the SAW filter manufacturing line

For environmental impacts related to EOL, on average almost 90% of the contributions

originate from the assembly and encapsulation production area. The highest contributors

from the assembly/encapsulation area are the copper leadframe and energy consumption of

the production machines. From the LCIA results in the previous chapter, it was noted that

copper contributes significantly to impact categories respiratory inorganics and mineral

depletion.

Other notable contributors include the thermoset plastic (epoxy resin) used for

encapsulation of the chip and diebonding purposes, tin based solder used for tinning of

SAW filters leads, melamine formaldehyde used for deflashing media blast and the

deionised water used in the deflashing process.

104

Page 121: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

As for testing and packaging processes, the main contribution to the environmental load

ther than the energy consumption of the machines comes from the PVC tubes that are used o

as packing materials for finished SAW filters. Shown in figures 6.35 and 6.36 below are the

single-score results for assembly/encapsulation and testing/packing processes respectively.

Figure 6.35: Single score results for the Assembly/Encapsulation processes

Figure 6.36: Single score results for the Testing/Packing processes

105

Page 122: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

For FOL, quite predictably, the highest contributor is the energy consumption of the

production machines. Photolithography processes are the main contributors to the

environmental load. All impact categories analysed in the last chapter showed that

photolithography processes are environmentally more culpable than pre-assembly and

Protec processes. The main reason for this is the SAW wafer. Wafer contributes

ignificantly to impact categories respiratory inorganics, fossil fuels, respiratory inorganics

and land use.

The next highest contributor from FOL is the deionised water that is quite extensively used

in all processes. On comparison, the impacts related to deionised water are more significant

for Protec and pre-assembly processes than photolithography processes. The significance

factor is directly opposite in the case of nitrogen, which is next highest contributing

material. Shown below in 6.37 is the single score result for FOL.

s

Figure 6.37: Single score results for the FOL processes

106

Page 123: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Facilities modules and staff/office use From the results, it can be concluded that the environmental impact contribution from

facilities modules is roughly about one-third of the total impacts associated with a SAW

filter. The vast majority of the contribution within the facilities comes from the energy

consumption of the heavy machineries used; chillers, air-compressors, fresh air make units,

exhaust and so on. Quite expectedly, majority of the contribution is related to the factory

nvironment control (HVAC system).

nother interesting point that was noted during analysis is minute positive impacts made by

the water recycling plant. The positive environmental impact made is extremely small in

comparison to the overall negative impacts. The reason for this could be the geographical

difference in the data used for the analysis. Had the recycling been modelled using local

data (of course none was available!) the positive impacts made would have been much

greater. In Singapore, water is definitely much more precious and expensive than in Europe

because of the perpetual water shortage.

In the case of staff and office use, again the major contributing factor is the energy

consumption.

Waste

Only the hazardous waste send to special incinerator contributes noticeably to the total

impact score (contribution of 0.5%, on single score results).

e

Overall it was seen that the chemicals and water consumption of the facilities modules are

rarely highlighted in the results. This is because the environmental impacts associated with

the energy requirements of these modules far outweigh the impacts from the other inputs.

A

107

Page 124: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Chapter 7 LIFE CYCLE INTERPRETATION

assumptions, and other choices made during the

ourse of the study. For LCAs to be used as a decision making tool, its results should be

rmulate the conclusions drawn from a LCA study.

d recommendations for this LCA.

dology

ccording to the International organisation for standardisation (ISO), ‘life cycle

ation stage is a systematic technique to identify, quantify, check and evaluate

formation from the life cycle inventory (LCI) and/or life cycle impact assessment

to,

• Analyse results, reach conclusions, explain limitations and provide

recommendations based on the findings of the preceding phases of the LCA and to

report the results of the life cycle interpretation in a transparent manner.

7.1 Introduction

Interpreting the results of a complex and detailed study such as a LCA is not simple

because of the engineering estimates,

c

robust against these uncertainties and variables. Interpretation stage provides this

robustness to a LCA study, as it scrutinises and analyses the results the obtained from the

previous LCA stages and validates them. The other main objective of this stage is to

fo

This chapter documents the final stage of this life cycle assessment study, ‘Life Cycle

Interpretation’. In the first section, some background information and the methodologies

used in the interpretation stage are explored. Following that, life cycle interpretation steps

done for this LCA are documented, beginning with the identification of significant issues in

section two, the evaluation of LCIA results for completeness, sensitivity and consistency in

section three and lastly, the conclusions an

7.2 Metho

A

interpret

in

(LCIA)’ and communicate them effectively (Skone 2000). The objectives for the

interpretation stage is defined in ISO document, ISO 14043 as

108

Page 125: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• esults

interconnectedness of the ISO’s LCA framework shown in figure 2.2).

an be divided into three major steps as shown in figure 7.1, which

is an extension of the LCA framework diagram shown in chapter 2. The three major steps

Figure 7.1:

Provide a readily understandable, complete and consistent presentation of the r

of a LCA, in accordance with the goal and scope of the study (this explains the

The interpretation stage c

are,

• The identification of major/significant issues.

• Evaluation of the completeness, sensitivity and consistency of the data.

• Conclusion and recommendations.

Life Cycle Assessment

Interpretation Goal and

scope definition

Evaluation of: - Completeness - Sensitivity - Consistency

Identification of significant

issues Inventory analysis

Impact assessment

Conclusion and Recommendations

Relationship between interpretation and other stages (LCA101 2000, p. 26)

109

Page 126: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

7.2

The ide which the

ritical processes/products and other ‘hotspots’ in a life cycle of a product can be identified.

(if any), the modelling of the product in a software, impact assessment methods used

nd finally the results obtained.

ome of ‘issues’ that could be identified include the inventory items (energy, water,

che c idification,

nd use, etc), the individual processes and the life cycle stages involved (manufacturing,

del r however, because the complexities involved in

LCA study, the significant issues are identified mainly based on the impact assessment

scores. That is to say, the process or products that have the greatest influence on the

pacts assessment results are identified for further analysis.

The very first step before the identification of the significant issues is to review the results

of the LCIA in tandem with the goal and scope stage to check if the objectives set have

been achieved. Once this step is accomplished, the following steps could be adopted to

identify the significant issues (Skone 2000).

• Contribution analysis – whereby the magnitude of environmental impacts

associated with life cycle stages, processes and the by-product used are

compared to the total impacts associated with the product of the study.

• Anomaly assessments – evaluation of the results to check if any of the results

shows any unusual or surprising trends. The results are usually compared to

studies conducted on similar products.

• Dominance analysis – identification of significant issues using statistical

methods or other tools such qualitative or quantitative rankings.

.1 Identification of Significant Issues

ntification of significant issues involve the reviewing of information from

c

The review is usually based on all three previous stages which involves details such as the

study goals, study scope, life cycle inventory, the weighing and allocation methodologies

used

a

S

mi al, raw material use etc), the impact categories used (Climate change, ac

la

ive y to customer etc). Often in practice

a

im

110

Page 127: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Another important data that could be identified is the disproportionalilty of the inventory

ata towards the final LCA results. In a LCA study, it is common that some of the

.2.2 Evaluation

• Sensitivity check

he purpose of the completeness check is to ensure that all relevant information and data

needed r

usually com

LCA expert can examine the study for issues such as the methodologies adopted for the

differen h

important

mass flow s who are familiar with the product’s

characteristics. Another easy way in which a completeness check can be conducted is by

compar

d

inventory items are quantitatively insignificant but contribute rather significantly towards

the final results and hence, it is important that the data regarding these items should be

known most precisely. At the same time, data uncertainties in large quantity inventory

items that contribute minimally to the environmental impacts can be tolerated.

7

The evaluation step of the interpretation stage analyses in detail the ‘significant issues

identified’ to establish validity and credibility for the final results of a LCA. The following

three major tasks are involved.

• Completeness check

• Consistency check

T

fo the Interpretation phase is available and complete (Heijungs 2004). This is

pleted with the help of an independent LCA expert and technical expert/s.

t p ases, the software models created, the results and conclusion of the study. Other

issues such as the assumptions used, the process flows, inventory data and the

s can be analysed by technical expert

ing the LCA to other studies done on similar products.

111

Page 128: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Sensitivity check is the stage in which the uncertainties and other expected variations in

identified significant issues are evaluated to determine their sensitivity towards the final

results of the LCA. The sensitivity check can be done using the following two techniques,

• Uncertainty analysis – determines the degree of expected variation in the significant

issues relative to the originally calculated data in life cycle inventory (base data that

was used for life cycle impact assessment).

• Sensitivity analysis – determines the effect of these variations on the final results of

ults are usually presented as a percentage variation from the original

results or in comparative graphs.

ccordance to the goal and scope of the study. This check is

f great importance in comparative LCAs, where a selective decision is based on results.

Thu h s such as data sources, data quality indicators, temporal and

geographical representations have to be taken in to account to get a highly accurate result.

.2.3 Conclusion and Recommendations

this last step of the interpretation stage, the conclusions are drawn and recommendations

re made based on the results of the previous stages of a LCA in combination with the

he conclusions presented should not only underline the major results of the study but

the study. Res

The purpose of the consistency check is to determine if the assumptions, models, methods

and data used in a LCA are in a

o

s, t e differences in issue

7

In

a

information drawn from the interpretation stage. ISO defines this step as, “to draw

conclusions and make recommendations for the intended audience of the LCA study”.

T

should also include a discussion regarding the reliability and validation of these results. The

inconsistencies, incompleteness and other errors, which have been found during the

interpretation stage, should also be highlighted. A clear and concise conclusions and

recommendations at the end of a LCA, increases the confidence of the audience in the final

results of the study.

112

Page 129: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

7.3 Identification of Significant Issues

The identification of significant issues for this LCA was carried out using two of the

commended steps that were discussed in the previous section, namely, the contribution

analysi

the actu

on the To begin with, the results of the LCIA

wer r

accorda

analysi out.

d

om, and then subsequently the magnitude of these impacts. In fact, a detailed contribution

n the previous chapter in section 6.5

of LCIA results’. As such, the results presented here with the aid of pie-charts,

plements the results from chapter 6.

re

s and the anomaly assessment. Because of the time limitation and the restrictions in

al modelling of the SAW filter, the significant issues were identified mainly based

magnitude of the impact assessment results.

e eviewed in tandem with goal and scope stage to make sure the results were in

nce with goal and scope of the study. Once this task was accomplished, contribution

s and anomaly analysis were carried

7.3.1 Contribution Analysis

The first step in contribution analysis was to understand where impacts actually originate

fr

analysis for this LCA was already carried out i

‘Analysis

com

Figure 7.2: Pie-chart showing single score results for the SAW filter production

113

Page 130: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

To reiterate the magnitude of contributions from the cluster identified for SAW filter

roduction, a pie-chart based on single score for environmental impacts was plotted as

ie chart shown below.

p

shown in figure 7.2. It can be seen that almost three quarters of the total impacts are related

to manufacturing line. The contributions from facilities modules account for about 32%.

The next step was to conduct a contribution analysis for the life cycle inventory. Ideally,

this could have been done with outputs from the Simapro, but in this case because of the

modelling restrictions it was not possible. Hence, a network diagram showing the single

score results was used to gather the contribution data and then this data was manually

keyed in to an excel spreadsheet to produce the p

Figure 7.3: Contribution analysis of inventory data (Single score results)

From the pie-chart it can be seen that only a handful of items from over a hundred items

from the inventory list that was complied, actually contribute significantly to the final

environmental impact score. The results shown here confirm the fact that highest

contribution to total environmental score comes from energy consumption.

114

Page 131: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The second highest contributor is the copper leadframe used (15.4%) followed by sizeable

contribution from the mold compound that is used for filter encapsulation(5.9%), tin solder

that used for the tinning of filter’s leads (5%) and deionised water that is used extensively

in FOL production (4.1%) and the wafer used (3.2 %). The combined contribution from the

ther numerous inventory items accounts for a mere 2.7%.

final product. This

em was identified as it is not an absolute necessity in the actual production process of a

SAW filter compared to other inventory items such as energy, water or chemical usage and

hence, it could provide an avenue for improvement in future. Overall the inventory items

that have been highlighted here were identified as significant issues and these items will be

further evaluated in the following sections.

7.3.2 Anomaly Assessment

The easiest way to conduct an anomaly assessment is to compare the results obtained to

other studies that have been conducted on similar products. This meant comparing the

results of this LCA to other LCAs conducted on similar microelectronic products. Three

such LCAs were reviewed in chapter 2 section 8, “Life Cycle Assessments and

Microelectronics Industry”. Using these literatures as a basis for comparison, two anomaly

assessme

The first assessment was carried out to assess the reliability and accuracy of the inventory

cycle inventory

data.

o

Checking for the disproportionalilty of contribution from the pie-chart, an item can be

identified at once. Tin solder, which weighs about less than half a percentage of the total

inventory weight, actually contributes about 5% of the impacts. Another significant item

that could be identified is the PVC tubing used for the packing of the

it

nts were conducted.

data collected. Some of the important data from the life cycle inventory stage of this LCA

study were compared to the study on energy and material use in the production of the

microelectronic devices by Williams, Ayres & Heller (2001). This study was chosen for the

comparison mainly because of its detailed approach to documenting the life

115

Page 132: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Table 7.2 on the next page shows the comparison between energy, water, gas and chemical

consumptions calculated for a SAW filter and those calculated by Williams et al for a 32

DRAM chip.

Here, it should be noted that, all the data have been converted to a common unit, 1 of

put wafer. This was necessary not only for the ease of comparisons but also because

2cm

in

Williams et al used 1 2cm of input wafer as the functional unit for most of the data

collection. The inventory data for 1 2cm of input wafer represents the data for a device that

has an encapsulated chip (wafer) that is 1 2cm in surface area. The calculation details and

the results are illustrated in the table 7.1 and 7.2 respectively.

FOL EOL Facilities Staff use Total

Electricty Usage 0.0144 0.0191 0.0464 0.0057 0.086 0.40 KWh

Water Usage 0.2015 0.1397 0.0800 0.0370 0.458 2.12 liters

Elemental Gas Usage 3.1201 0.7430 0.0000 0.0000 3.863 17.86 grams

U.O.M(Total)

1 Cm2 of SAW Inventory dataSingle SAW Filter (0.2163 Cm2)

Chemical Usage 0.2920 0.1428 0.0454 0.0000 0.480 2.22 grams

Table 7.1: Calculation of

inventory data of a SAW filter for anomaly assessment

red to a DRAM device in table 7.2.

From the table 7.1, the second to sixth columns show the total inventory data collected

across the manufacturing clusters, for a single SAW filter (see chapter 5). The total data for

a single SAW filter is then converted in to 1 2cm of input SAW wafer in the next column.

The last column shows the unit of measurement. The calculated data from this table is then

compa

116

Page 133: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

InventSingle SAW

ory data filter (0.2163 cm2)

1 cm2 of SAW filter

1 cm2 of DRAM chip U.O.M

h

.863

45.00 grams

Electricty Usage 0.086 0.40 1.92 KW

Water Usage 0.458 2.12 20 - 22 liters

Elemental Gas Usage 3 17.86 437.50 grams

Chemical Usage 0.435 2.01

Table 7.2: Comparison between LCI for a SAW filter and DRAM chip

It can be seen from table 7.2, that the data calculated for a SAW filter pales very much in

comparison to a DRAM chip. The reason for this can be understood if one takes a detailed

look at the processing steps involved in the manufacturing of these two devices.

The manufacturing processes or rather specifically, the wafer fabrication of a DRAM chip

is much more complex in comparison to that of a SAW filter. The wafer fabrication for an

active microelectronic product such a DRAM device involves many patterned layers of

circuitry and insulations build one on top of the other. Hence, the wafer fabrication

processes for these devices are very repetitive. Fifteen to twenty-five layered devices are

quite common in the market today (Murphy et al. 2003, p. 3). In comparison, it can be seen

from ered

cess.

l in

in figure 7.3 and 7.4 respectively.

chapter 4 that wafer fabrication process for a SAW filter is a simple single lay

pro

This explains the reason why the inventories collected for a SAW filter pales in comparison

to the inventories calculated for a DRAM device. To substantiate this point and to check the

reliability of the data collected, a hypothetica ventory of a SAW filter with twenty-five

layers of circuitry was then calculated and compared to a DRAM device. The calculation

steps and the subsequent results are shown

117

Page 134: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The values shown in the table 7.4 were calculated by assuming that the wafer fabrication

(FOL) processes for the SAW filter is repeated 25 times. Since, only the data from FOL had

to be made-up for the analysis, the total inventory data was separated into ‘FOL’ and ‘rest

of the process’ (combination of EOL, facilities and staff use data) as shown in the second

and third columns of the table 7.3. This data was then converted into a common unit,

of input wafer, which is shown in the fifth and sixth columns of the table.

1 2cm

FOL Rest of the processes Total FOL Rest of the

processes Total

Electricty Usage 0.0144 0.0713 0.086 0.0666 0.3294 0.40 KWh

Wate liters

Elemental Gas Usage 3.1201 0.7425 863 14.4251 3.4327 17.86 grams

Inventory dataSingle SAW Filter (0.2163 Cm2) 1 Cm2 of SAW

U.O.M

r Usage 0.2015 0.2567 0.458 0.9316 1.1867 2.12

3.

Chemical Usage 0.2920 0.1428 0.435 1.3501 0.6602 2.01 grams

Table 7.3: Calculation of inventory data of a hypothetical SAW filter

The data from the fifth column (FOL) of the table 7.3 was then multiplied by 25 to simulate

the twenty-five repetitions of the wafer fabrication process (twenty-five layers of circuitry),

while the ‘rest of the process’ column was left as it is. The results are shown in table 7.4

below.

FOL Rest of the TotalInventory data U.O.M1cm2 of

DRAM device

1cm2 of SAW filter with 25 layers of circuitry

processes

Electricty Usage 1.665 0.3294 1.99 1.92 KWh

Water Usage 23.29 1.1867 24.48 20 - 22 liters

Elemental Gas Usage 360.6275 3.4327 364.06 437.50 grams

Chemical Usage 33.7525 0.6602 34.41 45.00 grams

Table 7.4: Comparison between LCI for a hypothetical SAW filter and DRAM chip

118

Page 135: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

From the results calculated and shown in figure 7.4, it can be seen that the inventory data

for a hypothetical SAW filter with 25 layers of circuitry is very similar to the calculations

made by Williams et al for typical microelectronic product. At the same time it should be

noted that, for this analysis all the possible increases in inventories from other factory

clusters were ignored. Taking a closer look, the inventory data from EOL processes are

expected to remain the same as the increase in layers of circuitry will not affect the

rocesses there. However, an increase in inventories items related to the facilities (could be

significant because the facilities would be expected to produce more compressed air,

vacuum, process water and other items needed for production ) and staff/office use (most

probably minor) can be expected. Then, the actual results could be even higher than those

shown in table 7.4! This compares well the inventory data calculated for a DRAM chip as

Williams (2004, p. 21) claims that the inventory data collected were the lower bound

values.

The aim of the second anomaly assessment was to check the reliability of the SAW filter

odelling used for Simapro analysis and the LCIA results obtained. Many of the literatures

reviewed (Williams, Ayres & Heller 2002; Murphy, Allen, & Laurent 2003), highlight the

fact that ab ked to its

facilities modules, which supports the production. Shown in Figure 7.4 below is the

p

m

out 50% of the energy consumption of a microelectronic factory is lin

distribution of electricity consumption for the manufacturing of 1 2cm of input SAW filter.

It can be seen that about half of the energy consumption (54.14%) is indeed associated with

the facilities modules.

Figure 7.4: Electricity consumption for the manufacturing of 1 2cm of SAW filter

119

Page 136: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The majority of the consumption from the manufacturing line is linked to its EOL

processes (22.32%), while the share of the FOL (wafer fabrication) processes is about

16.85%. This is in contrary to the findings of Williams et al and the common belief that

wafer fabrication processes are much more energy intensive compared to EOL processes.

Once again this variation can be justified if we adopt the same thinking as shown

previously regarding the number of layers on a microchip.

This anomaly assessment was restricted to the above mentioned two evaluations mainly

because of time limits. From the analysis done, it can be concluded with some degree of

confidence that the inventory data collected, modelling of a SAW filter for Simapro

analysis, and the subsequent results obtained are accurate and appropriate.

7.4 Evaluation of significant issues

The significant items identified in the previous section are evaluated here in detail to

understand their impact on the final results. On top of that, a completeness and consistency

check was also carried out to ensure that the parameters used through out this LCA study

was in accordance with the goal and scope of the study.

7.4.1 Completeness check

The completeness check for this LCA was carried out with the help of technical experts,

namely the process engineers involved in the production flow of the SAW filter and

facilities engineers/technicians who were in charge of the daily running and maintenance of

the facilities modules. The inventory data was checked for its reliability and accuracy by

adopting the following methods.

• Firstly, the process flows diagrams that were used as a basis for collection of

inventories were inspected for any anomalies.

120

Page 137: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• The completed inventory checklists that are shown in figures 5.2 To 5.13 were

checked and verified.

• The assumptions that were used to fill up the gap in inventory data were

counterchecked and verified.

Unfortunately, an analysis of the SAW filter mass flow (which would have been useful)

his LCA study was conducted very much in accordance with the international standards.

owever, it should be noted that the LCA lacks an independent review by an LCA expert.

a was carried out primarily for educational

rom the contribution analysis and the anomaly assessment done for this LCA, a number of

t issues were identified. These included life cycle inventory items such as energy

onsumption, copper leadframe, molding compound, tin solder, deionised water, wafer and

r packaging of the finished SAW filter before delivery to

customers.

ensitivity check was to conduct an uncertainty analysis.

he identified data were all examined in detail to check for any possible variance or

irre la

could not be carried out as the waste disposal from the factory was difficult to interpret and

broken down in to a functional unit. This limitation is mentioned in chapter 5, “Life Cycle

Inventory”.

T

H

The m in reason for this is that this LCA study

purposes.

7.4.2 Sensitivity Check

F

significan

c

the PVC material that is used fo

7.4.2.1 Uncertainty Analysis

The first step in conducting this s

T

gu rities that could influence the results of the LCIA.

121

Page 138: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Firs ,

checked for its accuracy and validity. No gap in any data or any issues regarding the

uantity of the data was detected. However, it was noted that the electricity model used for

the imp

to suit the local (Singapore) conditions. The model was created using a combination of

lectricity generation from oil (30%) and electricity generation from natural gas (70%).

or lithium niobate due to the unavailability of data in Simapro database. Since

ere were no other models or data set available in the Simapro database, it was not

ossible to do a sensitivity analysis on the wafer substrate used.

s for some of the other inventory items identified, namely copper leadframe, molding

the next page shows the relationship between the consumption of these

aterials and the amount of that actually reside on a SAW filter. The second column shows

e consumption data that was used for this LCA. The actual amount that should be used

ve d percent recyclability (no waste) is assumed, is shown

the third column. The excess material that could be waste or be recycled for future use

tly the highest contributor to environmental impacts, the energy consumption data was

q

act assessment was created using two of the electricity models available in Simapro

e

This issue is highlighted in section 6.3 of the previous chapter. Since the energy

consumption, turned out to be the major contributor of the environmental impacts, it was

appropriate to conduct a sensitivity analysis on the electricity model for its influence on the

LCIA results.

All the other inventory items identified were similarly analysed. No possible variance or

irregularities were found in the cases of deionised water or the PVC material. For the wafer

used, it was already highlighted in the goal and scope section that silicon was used as a

substitute f

th

p

A

compound, and tin solder, it was discovered that there could be some variance in the data

that could ultimately influence the final LCIA results. The data for three items had been

quantified based purely on their consumption rate, ignoring all recycling possibilities.

Table 7.5 on

m

th

for the in ntory data, if one hundre

in

and its percentage are shown on the fourth and fifth columns respectively.

122

Page 139: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Inventory Data Consumption (mg)

Actual amount used

(mg)

Waste / recycled (mg)

Waste / recycled (%)

Copper Leadframe 435.4 150 285.4 65.5%

Mold compound 447.3 196.6 250.7 56.0%

Tin Solder 20.26 2.5 17.76 87.7%

Table 7.5: Identifying the expected variance in the inventory data

The data shows that in all cases, less than half of these materials identified actually reside

n a finished SAW filter. As such, in the context of this LCA, it was important to

sessment results. The next

sk was to find out the degree of variance involved. Considering the amount of excess

70%

cyclability) were identified, as shown in table 7.6.

o

understand this variance in data. Upon investigation, it was understood that the company

sold these excess materials to licensed vendors and there was simply no data available on

how much of these devices were actually recycled.

Hence it was decided to conduct a sensitivity analysis on these inventory data to enhance

the understanding of this variance and its impact on the impact as

ta

material and the wastages produced during the production process flow it would have been

naïve to suggest at any time that either 0% or 100% of these materials are recycled. And so,

some plausible values had to be identified for the sensitivity analysis. After some

consultations with the sales personnel in the company, three values (20%, 45% and

re

The following methodology was used for the calculation of consumption at different rates

of recyclability. For example, if a recyclability of 20% (80% waste) was assumed for

copper leadframes, the consumption was calculated to be,

nConsumptio Actual Amount) Waste of (80% (mg) nConsupmtio +=

150 285.4) (80% +×=

grams 378.3 = m

123

Page 140: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Similarly, all values shown on the last three columns of the table were calculated. These

degrees of variances were then used for the sensitivity analysis which is documented in the

following pages.

Inventory Data

Actual Consumption

(mg) used (mg) recycled) recycled)

Actual amount Waste

(mg)

Usage in mg ( 20%

Usage in mg (45%

Usage in mg (70%

recycled)Copper

Leadframe 435.4 150 285.4 378.3 307.0 235.6

Mold compound 447.3 196.6 250.7 397.2 334.5 271.8

Tin Solder 20.26 2.5 17.76 16.7 12.3 7.8

Table 7.6: Calculation of consumption at different rates of recyclability

pacts assessment was created using a

ombination of electricity generation from oil (30%) and electricity generation from natural

analysis, the same allocation was used to develop electricity models from

ree different databases in Simapro. The databases used for the modelling, their

s and the alloc tions used are shown in table 7.7.

7.4.2.2 Sensitivity Analysis – Electricity models used

This objective of this sensitivity analysis was to find out the degree of variation in the

impact assessments results when different electricity models were used. The methodology

adopted for this task was to change the electricity models and analyse the single score

environmental impacts contribution data for any noticeable changes.

The base electricity model that was used for the im

c

gas (70%) from the BUWAL250 library/database in Simapro (highlighted in chapter 6).

This allocation was used to replicate the electricity generation in Singapore.

Hence, for this

th

description a

124

Page 141: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Library/Database Orgin

BUWAL

Allocation used for models

250 Switzerland

FRANKLIN USA 98 USA

ETH-ESU 96 Western Europe

IDEMAT 2001 Netherlands

Natural gas (70%), Oil (30%)

Natural gas (70%), Oil (30%)

Natural gas (70%), Oil (30%)

Natural gas (70%), Oil (30%)

Table 7.7: Electricity models used for sensitivity analysis

Once again, the contribution analysis from Simapro would have been the ideal in presenting

this data but it was not possible because of the modelling restrictions. Hence network

diagrams showing the single score results were used to gather the contribution data (the

network d keyed in

to an excel spreadsheet to produce the charts shown below in figure 7.5 and 7.6.

d from the Franklin USA 98

atabase varied significantly in comparison to the other models created. Shown in figure

iagrams are shown in Appendix D1 to D3). This data was then manually

From the analysis it was seen that the only the model create

d

7.5 is the contribution analysis data using electricity models created from Franklin USA 98

database. The difference can be easily noticed when compared to the contribution analysis

result shown for a BUWAL 250 in figure 7.3.

Figure 7.5: Contribution analysis using Franklin USA 98 electricity model

125

Page 142: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

The results from the other two models (IDEMAT 2001 and ETH-ESU 96) were identical.

This can be confirmed by the bar chart shown below in figure 7.6 which shows the

relationship between the contribution analysis data and different electricity models that

were created.

Figure 7.6: Contribution analysis data for different electricity models

7.3.2.3 Sensitivity Analysis – Copper, Mold Compound, Tin solder

Using the uncertainty data that was established in table 7.4, this sensitivity analysis for

copper leadframe, mold compound and tin solder was carried out. Firstly, these inventory

items were varied as 80%, 60% and 30% recyclable by changing their values accordingly in

Simapro. Then, using the same methods adopted in the previous sections, a bar chart

showing the resulting variance in contribution data was plotted as shown in figure 7.7. The

single score network diagrams used for this analysis are shown in Appendix (D4 to D7). It

should be noted that in the network diagrams, the weight of the mold compound (Epoxy

resin) shown includes 5mg of epoxy resin used for diebonding.

126

Page 143: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Figure 7.7: Contribution analysis data for different recyclable rates

From the figure 7.7, it can be seen that as the recycling rate of the three inventory items are

increased, the actual contribution from these items towards the final impact scores are

reduced as expected. On the contrary, the contributions from other inventory items

increased, which was rather significant in the case of electricity usage. Its contribution

jumps from

nother interesting factor that can be noticed is that, when a recyclability of 70% is

the epoxy

sin used for encapsulation (mold compound) and tin solder. This makes deionised water

about 63% for 0% recyclability to about 72% for 70% recyclability.

A

assumed, the contribution from deionised water is actually higher compared to

re

the third highest contributor to environmental impacts after energy consumption and copper

leadframe.

This analysis shows that the impact associated with copper, mold compound and tin solder

could be reduced if they are managed more efficiently. (this view is solely based on the

single score results shown here). It should also be noted that this sensitivity analysis had

been done ignoring additional impacts that could occur due to the recycling of these

products.

127

Page 144: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

7.4.3 Consistency Check

This LCA was carried out in accordance to the goal and scope of the study. All the

assumptions used, modelling restrictions and the consequent methodologies adopted were

indeed as stated in the scope of the study. For this LCA, being a non-comparative study,

this step of the evaluation process is of minor importance.

7.5 Conclusion and Recommendations

The results obtained from the contribution analysis substantiated the conclusions from

LCIA results regarding the environmentally culpable inventory items. Anomaly

assessments showed that the life cycle inventory data collected for this LCA is comparable

to other LCAs done on similar products. From the sensitivity analysis done on electricity

models, the ed that the

odel used for this LCA was the best choice under the circumstances. The sensitivity

erences in

e actual magnitude of the environmental impacts but again, the limitation of the software

een limited to analysis whereby the actual magnitude of the impacts was of

econdary importance. It has also been avoided in analysis whereby comparative assertions

stages to draw final conclusions and

recommendations in the next chapter.

similarity in results in between three of the four models created show

m

analysis done on copper leadframe, mold compound and tin solder, proved that the

uncertainties in these inventory items could influence the magnitude of the final

environmental scores. At the same time on a positive note, it showed that a significant

reduction in environmental impacts is possible if these materials are used more efficiently.

A more detailed sensitivity analysis would have been ideal to establish the diff

th

used, did not allow it.

The unpopular ‘single score’ results (aggregation of weighted impact categories) were used

for most of the analysis done in this chapter. But it has to be noted that all the use of ‘single

score’ has b

s

have made (with respect to similar products).

In conclusion, the interpretation stage has proved that the results obtained from the other

stages of the LCA are largely valid and reliable. The results of the interpretation stage are

used in conjunction with the other LCA

128

Page 145: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C SIONS AND RECOMMENDATIONS

he chapter documents the conclusion and recommendations for this LCA study. All the

y and validation of these results are

discussed based on the analysis carried out in the previous chapters. Following that, the

o begin with, a thorough literature review was conducted to understand the LCA

ned, Life Cycle Inventory (LCI) for the LCA was

hapter 8 CONCLU

8.1 Introduction

T

major results of the study are reiterated and the reliabilit

major limitations and other problems faced during this study are presented. Conclusions

and recommendations based on the results of this LCA are made and finally, some

recommendations are made for future/further work. But firstly, a summary of what has

been achieved so far.

8.2 Summary of Achievements

The main objectives of this research project were to assess and appreciate the

environmental performance of a typical microelectronic product through a Life Cycle

Assessment (LCA) and to use the results of the LCA to identify options for improving the

environmental performance of the product at a process level. The project specifications are

attached in Appendix. A.

T

methodologies, techniques, current international standards, uses, limitations (Chapter 2:

Literature Review)). Then, a LCA study was conducted largely in accordance with the

international LCA standards. Following that, the purpose, scope, system boundaries and the

functional unit of study were identified (Chapter 3: Goal and Scope).

A Surface Acoustic Wave (SAW) filter was chosen as the functional unit for the study. The

next step involved spending considerable time in a SAW manufacturing plant

understanding the processes involved in the manufacturing of a SAW filter (Chapter 4:

SAW filter). With the knowledge gai

129

Page 146: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

collected (Chapter 5: Life Cycle Inventory). Clusters were used for the ease of the data

collection and the subsequent modelling of the product in software for analysis. The

clusters identified were, the manufacturing line where the actual manufacturing takes place

ront of line (FOL) and End of line (EOL)), facilities modules which supports the

e use.

.3 Major Results

Summarised below are some of the major findings of this study.

Majority of the environmental impacts from the manufacturing line are linked to the

(F

production and staff/offic

Next, the collected inventory data was analysed using a demonstration version of Simapro

7.0 software (Chapter 6: Life Cycle Impact Assessment). The data was analysed using

impact assessment method ‘ECO-indicator 99’ for a number of impacts categories. Finally

life cycle interpretation was conducted to establish validity and reliability of the LCI and

LCIA results (Chapter 7: Life Cycle Impact Assessment).

8

• Overall, it was seen that almost two-thirds of the impacts is linked to the manufacturing

line. The rest of the impacts are linked to facilities modules with a small percentage

share linked to staff and office use (~ 5%).

End of Line (EOL) production where, the assembly, encapsulation and final testing of

the filter take place.

• For the facilities modules, the major contributions comes from the factory environment

control system that is made up of heavy machineries such as chillers, air-compressors,

fresh air make units, and air exhausts.

• In the case of staff and office use, the major contributing factor is the energy

consumption (electricity usage).

130

Page 147: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• The LCIA results and later, the contribution analysis (see figure 7.3) proved that the

highest contributing factors to the environmental burdens associated with a SAW filter

can be linked to the high energy consumption of production machines and facilities

modules.

• Overall, the total energy consumption between the production modules and the facilities

These items other than the energy consumption were, copper leadframe, mold

compound (epoxy resin) used for encapsulation of the chip and diebonding purposes,

n er and the wafer substrate (see figure 7.5).

sources (fossil fuels and

minerals) is the worst affected damage category (see figure 6.4). This is linked to the

high energy consumption of SAW filter manufacturing processes and the use of

• ction 6.5) Ecosystem quality is the least

Acidification /eutrophication are caused by emission of gaseous pollutants to the

tributions to respiratory inorganics came from the

burning of fossil fuels for electricity generation and smelting of copper. Burning of

fossil fuel was also the main the contributor to climate change.

modules was roughly equal (see figure 7.5).

• Though the total inventory list had over a hundred items, only a handful actually

contributed significantly to the environmental impacts.

ti solder, deionised wat

• Through normalization, it was found that damage to re

minerals such as copper and tin.

Of the analysed impact categories (see se

affected damage category, with acidification/eutrophication and ecotoxicity, the main

contributors.

atmosphere, and are attributed mainly to electricity generation and copper smelting

(copper leadframe). Ecotoxicity can be linked to the oil extraction for electricity

generation.

• Respiratory inorganics and climate change are the main contributors to human health

damage category. The main con

131

Page 148: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

• Only the abovementioned seven out of the eleven impact categories in ECO-indicator

99, contributed notably to the final environmental results. And hence, the rest of the

impact categories, namely, respiratory organics, radiation, carcinogens and ozone layer

depletion were not considered for further analysis.

rcentage of the total inventory weight, it

actually contributed about 5% of the environmental impacts (single score result).

• ce the environmental impacts

associated with three of the significant inventory items, copper leadframe, tin solder and

• rom assembly and

encapsulation. As for testing and packaging processes, the main contribution to the

• ography processes are the main contributors to the

environmental load. All impact categories analysed in the chapter 6 showed that

Environmental impacts associated with chemicals and water consumption of facilities

all in comparison to the total negative impacts and such are not reflected

significantly in results.

• An item that showed a major disproportionalilty of contribution was the tin solder.

Though its weight was less than half a pe

It was found that there was an opportunity to redu

mold compound through recycling.

Process wise for EOL, the majority of the impacts come f

environmental load other than the energy consumption of the machines came from the

PVC tubes that are used as packing materials.

Process wise for FOL, photolith

photolithography processes are environmentally more culpable than pre-assembly and

Protec processes.

modules paled in comparison to the impacts caused by their energy requirements.

In the case of water recycling plant the positive environmental impact made is

extremely sm

132

Page 149: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

8. Limitations and Assumptions

oughout the course of this LCA there were many limitations faced. Some of the

ortant ones are discussed here. The major limitation

4

Thr

imp s for the project involved the use of

demonstration version of Simapro software for the life cycle impact assessment stage.

actu

pos scope

f the study had to be altered accordingly (see chapter 3).

The

(inv ecause of the demonstration software used). The

atabases did not contain many of the specialised chemicals and other raw materials that

don

pro

in t entory, the following assumptions had to

e made when modelling the product in Simapro (see chapter 3 for justifications). All the

was

An Simapro was that almost all of the inventory datasets used for

odelling of the SAW filter and impact assessment methods used for LCIA are based

dam istent

arcinogenic substances and radiation. Assumptions also had to be used for some of the

con

hen hat this issue was of minor importance. Because of all these

ssumptions, it can be noticed that throughout this dissertation, the actual magnitude of the

pacts are rarely referred to, rather percentage values are used.

a

The use of demonstration version of Simapro software meant certain restrictions in the

al modelling of the product for analysis. The depth of analysis which could have been

sible with the detailed LCI was limited and hence system boundaries in goal and

o

next issue regarding Simapro, concerned the inventory database, or rather the lack of it

entory could have been limited b

d

are commonly used in the microelectronics industry. One of the major limitations of LCAs

e on microelectronics products have been the lack of clarity on data associated with the

duction of ultra pure chemicals used extensively in the industry. This was also a factor

his LCA. Because of these difficulties with inv

b

chemicals used were classified as either as organic or inorganic. Electronic grade silicon

used as a substitute for lithium niobate wafer that is used in SAW filters.

other limitation of

m

mostly on European data. The only exceptions to this are the damages to resources,

ages created by climate changes, ozone layer depletion, air emissions of pers

c

data during the inventory collection stage. All the assumptions used were done in

sultations with technical experts who were familiar with SAW filter characteristics and

ce it can be concluded t

a

im

133

Page 150: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

8.5 Recommendations based on Results

n the final product. The excess

aterial is waste and is cut out during various assembly processes. The feasibility of

rials that are

nvironmentally friendlier than PVC.

e losses then machine and

ther modifications may result, which would inevitably mean time and money.

Sensitivity analysis done in section 7.2 on copper leadframe, mold compound (epoxy resin)

and tin solder showed that by reducing the amount of waste associated with these materials,

their environmental impacts can be reduced. One of the ways of achieving this would be a

more efficient use of these materials in production.

Take for example, the copper leadframe. The consumption of copper per SAW filter is 435

milligrams (mg), of which only 150 mg is left behind o

m

recycling this waste material was explored in the sensitivity analysis. Recycling a material

in itself cost energy, it is always better not to use the material in the first place than to

recycle it later. Hence, a more efficient way of using these identified materials (may be a

better design of the leadframe to reduce the losses) would significantly improve the

environmental performance of a SAW filter.

Another item that was identified during the contribution analysis was the PVC tubing that

is used for packaging of finished SAW filters. From LCIA it was seen that these materials

made sizeable contributions to some of the impact categories. A better environmental

performance can be achieved if this material is replaced by some other mate

e

The easiest recommendation that could have been made here would have concerned the use

of electrical energy in the factory. But in this case it has to realised that minimising the

energy consumption of production machines that are operated with over of 95% of

production time daily for 365 days a year is not an easy task!

It should be noted that the recommendations made here are based solely on results of this

LCA. In a practical engineering world other constraints and criteria have to be taken in to

account before a major decision can be made. Again take for example the copper

leadframe. If the design of the leadframe is changed to reduce th

o

134

Page 151: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

8.6 Recommendations for Future work In view of limitations that were faced during the course of this research project, the

following recommendations for future work can be formulated.

An area where the further work can be done with regards to this research project is to use

etter quality and accurate Simapro data for impact assessment. As mentioned earlier in this

ent is carried out in future using the

CI from this project, these differences should be addressed.

ch versions were found for other regions of Asia,

hich is major manufacturer of microelectronic products. As such, it is recommended that

pacts analysis. Many of the

CA databases do contain a vast number of chemical, but they do no specify the grade of

problem.

b

chapter, many of the inventory data were unavailable in the demonstration version of

Simapro libraries and many of those available were ambiguous. Hence, an even more

reliable and accurate LCIA results could probably be achieved by doing the same analysis

on a full version of Simapro.

An important issue that needs further consideration is the data quality indicators (DQI)

used. Both geographical and temporal differences in datasets were ignored for this LCA

because of the limited resources. If an impact assessm

L

Some of the LCA softwares in the market today do address these differences found in data

quality. They do have various versions of the software suitable for different regions. For

example, Simapro do have a Japanese version and most likely the dataset is made for use in

Japanese conditions. However, no su

w

more research should be done on this area.

Another issue that should be addressed in future LCAs done on microelectronics products

is the quality and clarity of chemical data that are used for im

L

the chemicals. The microelectronic industry in general use specialised high grade chemicals

(high purity) whose manufacturing processes are energy intensive and hence it is important

that they are taken into account in an LCA. Actually, this problem is not just confined to

LCA databases and softwares. There is a lack of publicly available data on manufacturing

of these specialised chemicals generally. Researches in future should address this

135

Page 152: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

8.7 Final Conclusions

Overall this research project was able to meet most of the objectives set. It was able to

establish with a certain degree of confidence, the environmental impacts associated with a

pical microelectronic product.

information can be beneficial to researchers who hope to do

CA on similar products

sults from the impact

ssessments done in chapter 6 further strengthen these findings.

e impacts, it is expected that the major result

tained, the identification of environmentally culpable product/processes is accurate and

ty

Thorough literature review conducted at beginning of this project ensured that the LCA was

conducted mostly in accordance with the existing international standards. The life cycle

inventory data was collected meticulously and systematically. The validity and reliability of

the life cycle inventory data was established by the anomaly assessments conducted in

chapter 7. It is hoped that this

L

The project was successful in assessing and appreciating the environmental performance of

a SAW filter. Through the inventory analysis done at the end of chapter 5, an idea of

impacts associated with a SAW filter was first established. The re

a

The major limitation of this project was the use of demonstration software for the impact

assessment and interpretation analysis. The analysis was conducted using the best available

options but a much clearer and accurate environmental impact scores could have been

achieved if proper software was available for analysis. Though, some valid concerns can be

raised regarding the actual magnitude of th

ob

reliable. The interpretation stage, which is documented in chapter 7, proves this.

Based on the results of the LCA, some recommendations were made. However, if this LCA

is to be used in future to make major decision regarding the SAW filter or its manufacturing

processes, it should be kept in mind that the LCA results presented in this dissertation are

not the answers to environmental impacts associated with a SAW filter but simply a guide

to better the environmental performance. LCA is a tool that helps in decision making, but it

does not replace it.

136

Page 153: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

REFERENCES

for Energy and Environment Resources, University of

exas at Austin, viewed 08 July 2006,

cola, 145 p.

ment of Mineral’s

roduction’, Environment Workshop – Mineral council of Australia, Perth, WA,

http://ec.europa.eu/environment/enveco/priority_study/acidification.pdf>

Allen, DT n.d., Life Cycle Assessment Lesson 2: Life-Cycle Inventories, Life Cycle

Assessment Lecture Notes, Center

T

<http://www.utexas.edu/research/ceer/che302/greenproduct/dfe/PDF/Lci.PDF>

Barnthouse, L, Fava, J, Humphreys, K, Hunt, R, Laibson, L, Noesen, S, Norris, G, Owens,

J, Todd, J, Vigon, B, Weitz, K & Young, J 1998, Life cycle impact assessment: The State-

of-the-Art, 2nd edition, Report of the SETAC North American Workgroup on Life Cycle

Impact Assessment, Pensa

Bengtsson, M & Steen, B 2000, ‘Weighting in LCA – Approaches and Applications’,

Environmental Progress, vol. 19, no. 2, pp. 101-109.

Berkel, RV 2000, ‘Life Cycle Assessment for Environmental Improve

P

Cleanroom 2006, Wikipedia - The free Encyclopaedia, Wikimedia Foundation, viewed 04

June 2006, < http://en.wikipedia.org/wiki/Cleanroom>.

Cofala, J, Heyes, C, Klimont, Z, Amann, M, Pearce, DW & Howarth, A 2000, Technical

Report on Acidification, Eutrophication and Tropospheric Ozone, RIVM report 48150514,

viewed 16 September 2006,

<

Copper smelting 1998, Pollution Prevention and Abatement Handbook, WORLD BANK

GROUP, viewed 20 September 2006,

<www.ifc.org/ifcext/enviro.nsf/AttachmentsByTitle/gui_copper_WB/$FILE/copper_PPAH

.pdf>

137

Page 154: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Curran, MA 2000, ‘Life Cycle A l Experience’, Environmental

r

ase/document/4261atr.pdf>

Danish Environmental Protection Agency 2005, Update on Impact Categories,

A, København K.

plica

e, M 2006, Introduction to LCA with Simapro 7, PRé

>

f>

en, BL & Weidema, BP 2001, Life cycle assessment. An

ousing, Spatial Planning and the Environment (VROM) and Centre for Environmental

jects/lca2/lca2.html>

ssessment: An internationa

Pr

ogress, vol. 19, no. 2, pp. 65-71.

Dahlgren, P 2002, Environment, Safety and Health (ESH) Metrics for Semiconducto

Manufacturing Equipment, International SEMATECH, Austin, Texas, viewed 20 March

2006, < http://www.sematech.org/docub

Normalisation and Weighting in LC

Frankl, P & Rubik, F 2000, Life Cycle Assessment in Industry and Business - Adoption

Patterns, Ap tions and Implications, Springer, Singapore.

Goedkoop, M, Schryver, AD & Oel

Consultants, Amersfoort, Netherlands, viewed 26 March 2006,

< www.pre.nl/download/manuals/SimaPro7IntroductionToLCA.pdf

Goedkoop, M & Spriensma, R 2001, The Eco-indicator 99 A damage orientated method for

Life Cycle Assessment, Methodology Report third edition, 22 June, PRé Consultants,

Amersfoort, Netherlands, viewed 08 August 2006,

< http://www.pre.nl/download/EI99_methodology_v3.pd

Guinée, JB, Gorree, M, Heijungs, R, Huppes, G, Kleijn, R, Koning, AD, Oers VO,

Sleewijk, AW, Suh, S, Udo de Haes, HA, Bruijn, HD , Duin, Rv, Huijbregts, MAJ,

Lindeijer, E, Roorda, AAH, V

operational guide to the ISO standards, Final Report, May 2001, Leiden: Ministry of

H

Science, Leiden University (CML), viewed 18 April 2006,

<http://www.leidenuniv.nl/cml/ssp/pro

138

Page 155: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Heijungs, R 2004, Interpretation, Topical Course on LCA, 18-22 October, Leiden

University for the SENSE research school, viewed on 20 September 2006,

http://www.leidenuniv.nl/cml/ssp/education/material/topicalcourse/sense_interpretation.p

mmary 2005, ITRS,

iewed on 03 April 2006, <http:///www.itrs.net/Links/2005ITRS/ExecSum2005.pdf

onmental Protection Agency and

cience Applications International Corporation, viewed 30 April 2006,

eyers, J, Maroulis, P, Reagan, B & Green, D 2001, Guidelines for Environmental

nt/4197axfr.pdf >.

itchell, P & Hyde, R 1999, ‘`Bottom-up' approach to the implementation of

urphy, CF, Kenig, GA, Allen, DT, Laurent, JP & Dyer, DE 2003 ‘Development of

ics

r

ol., vol. 37, no. 23, pp. 5373–

orwood, K, Boyd, S & Dornfeld, D 2004, ‘Chemical Energy Use in the Semiconductor

dustry’, University of California at Berkeley.

<

df>

International Technology Roadmap for Semiconductors Executive Su

v

LCA101 – INTRODUCTION TO LCA 2001, U.S Envir

S

<http://www.p2pays.org/ref/37/36385.pdf>

M

Characterization of Semiconductor Equipment, International SEMATECH, Austin, Texas,

viewed 20 March 2006, < www.sematech.org/docubase/docume

M

environmental life cycle assessment (LCA)’, Proceedings of EcoDesign '99: First

International Symposium on Environmentally Conscious Design and Inverse

Manufacturing, Tokyo, pp.509-514.

M

parametric material, energy, and emission inventories for wafer fabrication in the

semiconductor industry’, Proceedings of IEEE International Symposium on Electron

and the Environment, Boston., pp. 276–281.

Murphy, GA, Allen, DT & Laurent JP 2003 ‘Life Cycle Inventory Development for Wafe

Fabrication in Semiconductor Industry’, Environ. Sci Techn

5382.

N

In

139

Page 156: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Pennington, DW, Norris, G, Hoagland, T & Bare, JC 2000, ‘Environmental Compa

Metrics for Life Cycle Impact Assessment and Process Design’, Environmen

rison

tal Progress,

lepys, A 2004, ‘The environmental impacts of electronics - Going beyond the walls of

e

ection Agency,

http://www.mst.dk/homepage/default.asp?Sub=http://www..../publications/2005/87-7614-

y

nalysis and Identification of Environmentally Significant Aspects and Semiconductor

and the

rsfoort, Netherlands, viewed 17 September 2006,

http://www.pre.nl/simapro/>.

19, no. 2,

voboda, S 1995, Note on Life Cycle Analysis, National Pollution Prevention Center for

aiariol, F, Fea, P, Papuzza, C, Casalino, R, Galbiati, E, & Zappa, S 2001 ‘Life cycle

m

. 128–133.

vol. 19, no. 2, pp. 83-91.

P

semiconductor fabs’, Proceedings of IEEE International Symposium on Electronics and th

Environment, Denver, pp.159-165

Potting, J & Hauschild, M 2005, Background for Spatial differentiation in LCA impact

assessment, Environmental Project no. 996, Danish Environmental Prot

København K, viewed 06 August 2006,

<

581-6/html/helepubl_eng.htm>

Schischke, K, Stutz, M, Ruelle, JP, Griese, H & Reichl, H 2001, ‘Lifecycle Inventor

a

Manufacturing,’ Proceedings of IEEE International Symposium on Electronics

Environment, Denver, pp. 145–150.

Simapro 2006, PRé Consultants, Ame

<

Skone, TJ 2000, ‘What is Life cycle Interpretation’, Environmental Progress, vol.

pp. 92-100.

S

Higher Education, University of Michigan, viewed 15 April 2006,

<www.umich.edu/~nppcpub/resources/compendia/CORPpdfs/CORPlca.pdf>

T

assessment of an integrated circuit product,’ Proceedings of IEEE International Symposiu

on Electronics and the Environment, Denver, pp

140

Page 157: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Tan, RR & Culaba, AB 2002, Environmental Life-Cycle Assessment: A Tool for Public an

Corporate Policy Development, American Center for Life Cycle Assessment, viewed 28

d

cacenter.org/library/pdf/PSME2002a.pdf>

ar Energy Conversion, Vienna, Austria.

nger, N, Wassermann & G, Beigl, P 2004, ‘General Requirements for LCA Software-

RG

d

14/13578.pdf>

,

d 07 June 2006,

http://www.lca-net.com/publications/critical_review/>

Ayres, R & Heller, H 2002, ‘The 1.7 Kilogram Microchip: Energy and

aterial Use in the Production of Semiconductor Devices’, Environmental Science and

ction,

nvironmental future project, viewed 15 October 2006,

May 2006, <http://www.l

Tsuo, YS, Gee, JM, Menna, P, Strebkov, DS, Pinov, A & Zadde, V 1998, ‘Environmentally

Benign Silicon Solar Cell Manufacturing’, Proceedings of the second World Conference

and Exhibition on Photovoltaic Sol

U

Tools’, Transactions of the 2nd Biennial Meeting of the International Environmental

Modelling and Software Society, iEMSs: Manno, Switzerland, pp. 468-473.

United States Department of Energy 2003, U.S. LCI Database Project – Phase 1 Final

Report, Oak Ridge.

Vigon, BW, Tolle, DA, Cornaby, BW, Latham, HC, Harrison, CL, Boguski, TL, Hunt,

& Sellers JD 1993, LIFE-CYCLE ASSESSMENT: INVENTORY GUIDELINES AND

PRINCIPLES, United States Environmental Protection Agency, Cincinnati, Ohio, viewe

15 May 2006, <www.p2pays.org/ref/

Weidema, BP 1997, Guidelines for critical review of product LCA, 2.-0 LCA consultants

København K, Denmark, viewe

<

Williams, E,

M

Technology, vol. 36, no.24: p. 5504-5510.

Williams, E 2004, Environmental Impacts of Microchip and Computer Produ

E

<http://www.environmentalfutures.org/Images/williams.PDF>

141

Page 158: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

BIBLIOGRAPHY

Boyd, S, Dornfeld, D & Krishnan, N, 2006, ‘Life Cycle Inventory of a CMOS Chip’,

ducation, viewed 30 May 2006, <http://www.setac.org/files/lca.pdf>.

y Quantification on Semiconductor Manufacturing Equipment and

tilities 2003, Energy-saving committee, Semiconductor Equipment Association of Japan,

rce - Energy Conservation

ati, R 2004, ‘Using a Hybrid

ental Issues’, Proceedings of

gency and Science Applications International Corporation, viewed 30 April

l Symposium on Environmentally Conscious Design and

ufacturing, Tokyo, pp 114-119.

Proceedings of IEEE International Symposium on Electronics and the Environment, pp.

253-257.

Curran, MA & Todd, JA 1999, Streamlined Life-Cycle Assessment: A Final Report from

the SETAC North America Streamlined LCA Workgroup, Society of Environmental

Toxicology and Chemistry (SETAC) and SETAC Foundation for Environmental

E

Guidelines for Energ

U

Tokyo, viewed 20 April 2006, <www.seaj.or.jp/section/data/sec10-2.pdf>.

Guidelines for Conducting an LCA of Semiconductor Manufacturing Equipment

- Energy Saving Perspective 2003, Environment Task Fo

Committee, Semiconductor Equipment Association of Japan, Tokyo, viewed 20 April

2006, <http://www.seaj.or.jp/section/data/sec11-2.pdf>.

Krishnan, N, Boyd, S, Rosales, J, Dornfeld, D, Raoux, S & Sm

Approach to Evaluate Semiconductor Life Cycle Environm

IEEE International Symposium on Electronics and the Environment, pp. 86–90.

LIFE CYCLE ASSESSMENT: PRINCIPLES AND PRACTICE 2006, U.S Environmental

Protection A

2006, <http://www.epa.gov/ORD/NRMRL/lcaccess/pdfs/600r06060.pdf>

Mueller, KG & Colin, BB 1999, ‘Streamlining Life Cycle Analysis: A Method’,

Proceedings of IEEE Internationa

Inverse Man

142

Page 159: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Owens, JW 1998, ‘Life Cycle I Subjective Judgments in

vol.3, no.3, pp. 43–46.

y-

mittee, Semiconductor Equipment Association of Japan, Tokyo, viewed 20

pril 2006, <http://www.seaj.or.jp/section/data/sec9-1.pdf>.

tronic

orum on

ystem and

ervices, EPF-Lausanne.

dies Symposium,

mpact Assessment: The Use of

Classification and Characterization’, The International Journal of Life Cycle Assessment,

Power Measurement Protocol for Semiconductor Manufacturing Equipment 2001, Energ

saving com

A

Schischke, K, Spielmann, M 2001, ‘Environmental Assessment in Production of Elec

Components – Possibilities and Obstacles of LCA Methodology, 13th Discussion F

Life Cycle Assessment Environmental Impacts of Telecommunication S

S

Weidema, BP 2001, ‘Two cases of misleading environmental declarations due to system

boundary choices’, Presentation for the 9th SETAC Europe LCA Case Stu

Noordwijkerhout.

Weidema, BP 2001, ‘Avoiding Co-Product Allocation in Life Cycle Assessment’, Journal

of Industrial Ecology, Volume 4, Number 3.

143

Page 160: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Appendix A – Project Specification

A1. Project Specification

144

Page 161: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Faculty of Engineering and Surveying

u Mohan

OPIC: f a SAW filter.

UPERVISOR: David Parsons

PONSERSHIP: Own

ROJECT AIM: This project aims to evaluate the environmental impacts of a SAW filter using the ife cycle assessment methodology.

ROGRAMME: Issue A, 27

ENG 4111/4112 Research Project PROJECT SPECIFICATION

FOR: Deep T Life Cycle Assessment (LCA) o S S PL

thP March 2006

1. esearch information on using life cycle assessment techniques to evaluate environmental impacts y reviewing past and present literatures published on similar topics.

2. eview the current life cycle assessment techniques to identify concept and ideas being used such s building model of process, finding specific data, using models of environmental impact based on cientific knowledge and how LCAs are done using software.

3. nderstanding how Life cycle assessment fits in to general International situation and review ternational life cycle assessment Standards that are being used.

4. vestigating the processes involved in the manufacturing of a SAW filter.

5. entifying life cycle assessment’s scope such as the purpose, the product of the study, the system oundaries and impact categories.

6. ollection of Life cycle inventory data (LCI). Quantifying industry data for all inputs and outputs from ach stages of product life cycle.

7. ife cycle impact assessment (LCIA). A

Rb Ras Uin In Idb Ce L nalysing the data collected for its impact on the physical nvironment.

8. alidation of results to show that they are sensible, that they compare well with any other related sults, and that any data uncertainties do not dramatically change the outcomes.

9. ife cycle interpretations to identify, quantify, check, and evaluate information from the results of the CI and LCIA, and communicate them effectively.

s time permits:

10. valuate opportunities to mitigate energy inputs, material usage, and environmental impacts at ach stage of product life cycle.

GREED: __________________ (Student) __________________ (Supervisor)

e Vre LL A Ee

A

145

Page 162: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

Appendix B – Life Cycle Inventory Checklist

B1. C

ont of Line (FOL)

hecklist for Front of Line (FOL)

B2. Checklist for Fr

146

Page 163: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

B

1. Sample Checklist for Front of Line (FOL)

quipme ame: ___________________ No of Machines: __________

Wafer P Lot Size: _________ (Wafers)

onsumption Detail: Continuous / Discontinuous

Pr : ____ocess ________________________ Date: ___________________

E nt N

rocessing: Single Wafer / Batch Wafer

C

Rated Voltage (V) Load Active (A) Load Idle (A)

Energy Consumption

Week 1 Week 2 Week 3 Week 4

Production Time (%)

Idle Time (%)

Down Time (%)

Machine Utilisation

Deionized Water

Process cooling Water

Cutting Water

Dicing Water

Nitrogen (N2)

Oxygen (02)

Others (Please specify)

Flow rate (Continuous Mode)

Flow rate (if appli) Discontinuous Mode

Process Water

Water and Gas Consumption

Elemental Gas

Consumption Detail

147

Page 164: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

B2. Sample Checklist for Front of Line (EOL) Process: ____________________________ Date: ___________________

Equipment Name: ___________________ No of Machines: __________

Consumption Detail: Continuous / Discontinuou Lot Size: __________ (Filters) s

Rated Voltage (V) Load Active (A) Load Idle (A)

Energy Consumption

Week 1 Week 2 Week 3 Week 4

Production Time (%)

Idle Time (%)

Down Time (%)

Machine Utilisation

Deionized Water

Process cooling Water

Cutting Water

Dicing Water

Nitrogen (N2)

Oxygen (02)

Others (Please specify)

Flow rate (Continuous Mode)

Flow rate (if appli) Discontinuous Mode

Process Water

Water and Gas Consumption

Elemental Gas

Consumption Detail

148

Page 165: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

149

C1. Damage to Resources

C3. Damage to Resources – FOL

C4. Impact category – Fossil fuel depletion

C5. Fossil fuel depletion - EOL

C6. Fossil fuel depletion - FOL

C7. Impact category - Minerals depletion

C8. Damage to Human health

C9. Damage to Human health - EOL

C10. Damage to Human health - FOL

C11. Impact Category – Respiratory inorganics

C12. Respiratory inorganics - EOL

C13. FOL – Respiratory inorganics

C14. Impact Category – Climate change

C15. Climate change - EOL

C16. Climate change – FOL

C17. Damage to Ecosystem Quality

C18. Ecosystem Quality - EOL

C19. Ecosystem Quality - FOL

C20. Impact Category – Acidification/Eutrophication

C21. Acidification/Eutrophication - EOL

C22. Acidification/Eutrophication - FOL

C23. Impact Category – Ecotoxicity

C24. Ecotoxicity - EOL

C25. Ecotoxicity – FOL

C26. Impact Category –Land use

Appendix C – Network Analysis (LCIA)

C2. Damage to Resources - EOL

Page 166: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

150 150

C1. Damage to Resources

Page 167: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

151

EOL

C2. Damage to Resources -

Page 168: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C3. Damage to Resources – FOL

152

Page 169: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

153

l depletion C4. Impact category – Fossil fue

Page 170: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C5. Fossil fuel depletion - EOL

154

Page 171: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C6. Fossil fuel depletion - FOL

155

Page 172: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C7. Impact category - Mineral

156

s depletion

Page 173: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C8. Damage to Human health

157

Page 174: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

158

L C9. Damage to Human health - EO

Page 175: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C10. Damage to Human health - FOL

159

Figure 6.16: Network showing hum n health damage assessment (EOL) a

Page 176: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

160

inorganics

C11. Impact Category – Respiratory

Page 177: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

161

C12. Respiratory inorganics - EOL

Figure 6.18: Characterized network showing contributions to respiratory inorganics (EOL)

Page 178: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

162

C13. FOL – Respiratory inorganics

Page 179: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

163

ange

C14. Impact Category – Climate ch

Page 180: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C15. Climate change - EOL

164

Page 181: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C16. Climate change – FOL

165

Page 182: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

166

m Quality C17. Damage to Ecosyste

Page 183: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C18. Ecosystem Quality - EOL

167

Page 184: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C19. Ecosystem Quality – FOL

Figure 6.25: Network showing Ecosystem quality health damage assessment (FOL)

168

Page 185: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

169

20. Impact Category – Acidification/Eutrophication C

Page 186: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

170

C21. Acidification/Eutrophication - EOL

Page 187: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C22. Acidification/Eutrophication - FOL

171

Page 188: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C23. Impact Category – Ecotoxicity

172

Page 189: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C24. Ecotoxicity - EOL

173

Page 190: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

C25. Ecotoxicity – FOL

174

Page 191: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

175

Land use C26. Impact Category –

Page 192: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

176

Appendix D –Sensitivity Analysis

D1. Single score result using Buwal 250 electricity model

D2. Single score result using ETS-EHU 96 electricity model

D3. Single score result using Franklin USA 98 electricity model

D4. Sensitivity analysis – 0% recyclable (Single score)

D5. Sensitivity analysis – 20% recyclable (Single score)

D6. Sensitivity analysis – 45% recyclable (Single score)

D7. Sensitivity analysis – 70% recyclable (Single score)

Page 193: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

177

D1. Single score result using Buwal 250 electricity model

Page 194: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

178

model D2. Single score result using ETS-EHU model electricity

Page 195: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

179

model D3. Single score result using Franklin USA 98 model electricity

Page 196: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

D4. Sensitivity analysis – 0% recyclable (Single score)

180

Page 197: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

181

D5. Sensitivity analysis – 20% recyclable (Single score)

Page 198: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

D6. Sensitivity analysis – 45% recyclable (Single score)

182

Page 199: University of Southern Queenslandeprints.usq.edu.au/2527/1/MOHAN_Deepu-2006.pdf · Deepu Mohan in fulfilment of the requirements of Courses ENG4111 and 4112 Research Project towards

D7. Sensitivity analysis – 70% recyclable (Single score)

183