ultra-low power wake-up radio for low activity wireless system · ultra‐low power wake‐up radio...

Click here to load reader

Upload: others

Post on 16-Jul-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

  • Ultra-low Power Wake-up Radio for Low Activity WirelessSystem

    Wenting Zhou

    Electrical Engineering and Computer SciencesUniversity of California at Berkeley

    Technical Report No. UCB/EECS-2016-177http://www2.eecs.berkeley.edu/Pubs/TechRpts/2016/EECS-2016-177.html

    December 1, 2016

  • Copyright © 2016, by the author(s).All rights reserved.

    Permission to make digital or hard copies of all or part of this work forpersonal or classroom use is granted without fee provided that copies arenot made or distributed for profit or commercial advantage and that copiesbear this notice and the full citation on the first page. To copy otherwise, torepublish, to post on servers or to redistribute to lists, requires priorspecific permission.

  • Ultra‐lowPowerWake‐upRadioforLowActivityWirelessSystem

    By

    WentingZhou

    Adissertationsubmittedinpartialsatisfactionoftherequirementsforthedegreeof

    DoctorofPhilosophy

    inEngineering‐ElectricalEngineeringandComputerScience

    intheGraduateDivision

    oftheUniversityofCalifornia,Berkeley

    Committeeincharge:

    ProfessorJanM.Rabaey,ChairProfessorEladAlon

    ProfessorPaulK.Wright

    Fall2014

  • ThedissertationofWentingZhouisapproved.

    Chair Date

    Date

    Date

    UniversityofCalifornia,Berkeley

    Fall2014

  • Ultra‐lowPowerWake‐upRadioforLowActivityWirelessSystem

    Copyright©2014by

    WentingZhou

  • 1

    Abstract

    Ultra‐lowPowerWake‐upRadioforLowActivityWirelessSystemby

    WentingZhouDoctorofPhilosophyinEngineering‐ElectricalEngineeringandComputerScience

    UniversityofCalifornia,BerkeleyProfessorJanM.Rabaey,Chair

    Recent advances in low power radio design have enabled a broad range of low activityapplicationssuchassmartutilities,healthmonitoring,buildingand industryautomation,automotive control and monitoring, wireless control and etc. The key challenge toimplement these applications is to reduce the average power consumption spent onwireless communications.A traditionalway to solve this is to implementprotocol baseddutycyclingwhich leads toa tradeoffbetweenreducedaveragepowerconsumptionandincreased system latency. A smarter solution is to use a dedicated wake‐up receivercontinuouslymonitoringthechannelandactivatingthemainreceiverupondetectionofawake‐up signal. The use of a wake‐up receiver helps to improve the overall powerperformancewhilekeepingthesystemlatencybounded.Thisdissertationaddresseschallengesandconcernsofdesigninganultra‐lowpowerhighperformancewake‐upradio.Itproposesatwo‐stepwake‐uparchitectureincludingenergydetection mode and address detection mode to reduce the active power dissipationmeanwhileimprovingtherobustnessandreliabilityofthesystem.Designmetricshasbeenprovided to serve as a guideline for detailed circuit implementations. Based on that, awake‐upradioprototypehasbeenbuiltinTSMC65nmstandardCMOStargeting915MHzbandforIEEE802.15.4g.Thisprototypefocusesonimprovingthesensitivityperformanceatanultra‐lowpowerlevel.Itconsumesonly45µWinenergydetectionmode.With20µsdetectiontime, it isabletoachieveasensitivityof ‐90dBmat10‐2errorrates. Inaddressdetectionmode,itconsumes300µWandisabletoachieveasensitivityof‐74.5dBmat10‐3BER.

  • i

    Tomybelovedparentsandhusband

  • ii

    Contents

    ListofFiguresListofTablesChapter1Introduction.........................................................................................................................................11.1 Motivation..................................................................................................................................................11.2 ProtocolBasedDuty‐CyclingVsReactiveWake‐upReceiver.............................................21.3 DissertationOutline..............................................................................................................................6

    Chapter2SystemLevelDesign.........................................................................................................................72.1 DesignConsideration............................................................................................................................72.1.1 SystemIntegration........................................................................................................................72.1.2 ActivePowerOriented................................................................................................................82.1.3 PerformanceMetrics....................................................................................................................92.1.4 Sensitivity..........................................................................................................................................9

    2.2 ProposedTwo‐StepWuRx...............................................................................................................102.3 DesignMetrics......................................................................................................................................132.4 Summary.................................................................................................................................................17

    Chapter3ReceiverforEnergyDetectionMode......................................................................................183.1 ArchitectureDevelopment..............................................................................................................183.1.1 PassiveRectifier..........................................................................................................................183.1.2 TunedRadioFrequency(TRF)Receiver..........................................................................193.1.3 Super‐RegenerativeReceiver................................................................................................203.1.4 SuperheterodyneReceiver.....................................................................................................213.1.5 ProposedArchitecture.............................................................................................................23

    3.2 SensitivityAnalysis.............................................................................................................................253.2.1 TheoreticalModelforEnergyDetection..........................................................................253.2.2 SimulationResult........................................................................................................................303.2.3 PerformanceBoundary............................................................................................................35

    3.3 CircuitDesign........................................................................................................................................373.3.1 InputMatchingNetwork.........................................................................................................373.3.2 PassiveMixer................................................................................................................................453.3.3 CurrentStarvedOscillator......................................................................................................503.3.4 IFAmplifiers.................................................................................................................................523.3.5 EnvelopeDetector......................................................................................................................543.3.6 Integrator.......................................................................................................................................56

  • iii

    3.4 Summary.................................................................................................................................................57Chapter4ReceiverforAddressDetectionMode...................................................................................584.1 ArchitectureDevelopment..............................................................................................................584.2 CircuitDesign........................................................................................................................................604.2.1 InjectionLockedOscillator.....................................................................................................614.2.2 IFStages..........................................................................................................................................644.2.3 DigitalDemodulator..................................................................................................................664.2.4 FrequencyDivider......................................................................................................................684.2.5 BasebandSynchronization.....................................................................................................69

    4.3 Summary.................................................................................................................................................70Chapter5MeasurementResult......................................................................................................................715.1 FrequencyResponse..........................................................................................................................715.2 LOMeasurement..................................................................................................................................735.3 ReceiverSensitivityinEnergyDetectionMode.....................................................................755.4 ReceiverSensitivityinAddressDetectionMode...................................................................775.5 PerformanceSummary.....................................................................................................................79

    Chapter6ConclusionsandFutureWork...................................................................................................846.1 ResearchSummary.............................................................................................................................846.2 FutureWork...........................................................................................................................................85

    Bibliography............................................................................................................................................................87

  • iv

    ListofFigures

    Figure1.1ProtocolBasedDuty‐CyclingExample:CSLfor802.15.4e...............................................2Figure1.2ProtocolBasedDuty‐CyclingExample:RITfor802.15.4e...............................................3Figure1.3CommunicationwithReactiveWake‐upReceiver...............................................................4Figure1.4PerformanceComparisonbetweenProtocolBasedDuty‐CyclingandReactiveWake‐upReceiver....................................................................................................................................................5Figure2.1SystemIntegrationwithWuRx....................................................................................................8Figure2.2PerformanceofRecentlyPublishedLowPowerReceivers..........................................10Figure2.3Wake‐upSchemewithSimpleEnergyDetection..............................................................11Figure2.4Wake‐upSchemewithProposedTwo‐StepWuRx...........................................................11Figure2.5Wake‐upFrameforProposedTwo‐StepWuRx.................................................................12Figure2.6SixDifferentWorkingCategoriesforWuRx........................................................................13Figure2.7SixDifferentWorkingCategoriesforWuRx........................................................................16Figure2.8SixDifferentWorkingCategoriesforWuRx........................................................................16Figure3.1PassiveRectifierBasedReceiver..............................................................................................19Figure3.2TunedRadioFrequency(TRF)Receiver...............................................................................19Figure3.4SingleConversionSuperheterodyneReceiver...................................................................21Figure3.5Wideband‐IFReceiver...................................................................................................................22Figure3.6ProposedReceiverArchitectureinEnergyDetectionMode........................................24Figure3.7FrequencyPlanningforReceiverinEnergyDetectionMode......................................24Figure3.3Super‐RegenerativeReceiver.....................................................................................................20Figure3.8TheoreticalModelforEnergyDetection...............................................................................26Figure3.9ProbabilityofMissDetection(Pm)andProbabilityofFalseAlarm(Pf)VsSWNR.......................................................................................................................................................................................31Figure3.10DetectionErrorRate(DER)VsDecisionThresholdFactorβfor.............................31Figure3.11DetectionErrorRateVsDecisionThresholdFactorβfor..........................................32Figure3.12DetectionErrorRateVsSWNRforVariousIntegrationTime..................................33Figure3.13MinimumRequiredSWNRVsNoiseBandwidthfor0.1%DER................................33Figure3.14ReceiverSensitivity@0.1%DERVsNoiseBandwidthforVariousIntegrationTime.............................................................................................................................................................................35Figure3.15FBARResonatorCircuitEquivalentModel........................................................................38

  • v

    Figure3.16ImpedanceResponseofaFBARResonator.......................................................................39Figure3.17ImpedanceResponseofFBARresonatorwithDifferentShuntCapacitance.....40Figure3.18SchematicofCapacitiveTransformer..................................................................................41Figure3.19EquivalentCapacitancefromCapacitiveTransformerNetworkwithC1=1pF,f=915M.......................................................................................................................................................................42Figure3.20CompleteschematicofFBARresonateinputmatchingnetworkwithparasitics.......................................................................................................................................................................................43Figure3.21SimulatedS11andvoltagegain..............................................................................................44Figure3.22Schematicofsinglebalancedpassivemixer.....................................................................46Figure3.23Equivalentcircuitmodelforsingle‐balancedpassivemixer.....................................46Figure3.24Switchingconductancewaveform........................................................................................46Figure3.25Conversiongainofasinglebalancedpassivemixerwithdifferent∆T/T...........47Figure3.26Noisefigureofasinglebalancedpassivemixerwithdifferent∆T/TandRsgmax.......................................................................................................................................................................................48Figure3.27Inputimpedanceofasinglebalancedmixerwithdifferent∆T/T..........................50Figure3.28Schematicofcurrentstarvedringoscillator...................................................................51Figure3.29Schematicofdifferentialoscillator......................................................................................51Figure3.30SchematicofIFAmplifiers........................................................................................................53Figure3.31SimulatedIFAmplifierFrequencyResponse...................................................................53Figure3.32SchematicofEnvelopeDetector.............................................................................................54Figure3.33ConversionGainofEnvelopeDetector................................................................................55Figure3.34SchematicofIntegrator..............................................................................................................55Figure3.35PerformanceComparisonbetweenUsingIdealandPracticalIntegrator...........57Figure4.1DirectConversionArchitecture................................................................................................59Figure4.2Low‐IFArchitecture.......................................................................................................................59Figure4.3ProposedReceiverArchitectureinAddressDetectionMode......................................60Figure4.4FrequencyPlanningforReceiverinAddressDetectionMode....................................60Figure4.5ModelofanOscillator....................................................................................................................61Figure4.6SchematicofDifferentialFBAROscillator............................................................................61Figure4.7RingOscillatorinDifferentWorkingMode..........................................................................63Figure4.8SchematicofIFStages...................................................................................................................64Figure4.9SimulatedIFAmplifierFrequencyResponse......................................................................65Figure4.10DigitalFSKDemodulator...........................................................................................................66Figure4.11BERVsSNRforFSKDemodulatorwithDifferentModulationIndex.....................67Figure4.12BERVsSNRforFSKDemodulatorwithDifferentSamplingRatio..........................67Figure4.13FrequencyDivider........................................................................................................................69Figure4.14SchematicofDividingby5Core.............................................................................................69Figure4.15SchemeofDigitalSynchronization.......................................................................................70

  • vi

    Figure5.1DiePhotoofReceiverPrototypeBondedtoPackagedFBARResonators..............72Figure5.2AnnotatedDiePhoto......................................................................................................................72Figure5.3Measured|S11|andGainFrequencyResponse...................................................................73Figure5.4MeasurementResultofThree‐StageRingOscillator.......................................................74Figure5.5OutputSpectrumWhenOscillatoris(left)FreeRunningand(right)Locked......74Figure5.6TestSetupforPFAandPMDMeasurementsinEnergyDetectionMode....................75Figure5.7MeasuredErrorRateversusSensitivityforDifferentPowerConsumption.........76Figure5.8MeasuredErrorRateversusSensitivityforDifferentIntegrationTime.................76Figure5.9RevisedFSKDemodulatorImplementedonFPGA...........................................................78Figure5.10TestSetupforBERMeasurementinAddressDetectionMode.................................78Figure5.11MeasuredBERversusSensitivity..........................................................................................79Figure5.12ReceiverPowerBreakdowninEnergyDetectionMode..............................................80Figure5.13ReceiverPowerBreakdowninAddressDetectionMode..........................................81Figure6.1ANovelWake‐upFrameDesignExample............................................................................85Figure6.2MEMSBasedMulti‐channelWake‐upRadio.......................................................................86Figure6.3Crystal‐lessEnergyDetectionReceiver.................................................................................86

  • vii

    ListofTables

    Table2.1SpecificationofWuRxPrototype...................................................................................................9Table2.2SpecificationofProposedWuRxinDifferentModes.........................................................15Table3.1TypicalParameterValuesfora920MHzFBARResonator.............................................38Table3.2PerformanceSummaryofa920MHzFBARResonator....................................................40Table5.1PerformanceSummaryofReceiverinEnergyDetectionMode....................................80Table5.2PerformanceComparisonofEnergyDetectionReceiver................................................81Table5.3PerformanceSummaryofReceiverinAddressDetectionMode.................................82Table5.4PerformanceComparisonofAddressDetectionReceiver..............................................83

  • viii

    Acknowledgments

    Iwould like to take this opportunity to expressmy gratitude tomany peoplewho havesupportedme throughoutmy graduate career, andwithoutwhom this thesiswould nothavebeenpossible.Firstand foremost,mysinceregratitudegoes tomyadvisor,Professor JanM.Rabaey forhisconsistentsupportandguidanceduringmystudyatBerkeley.Ibenefitedtremendouslyfromhisvisionandabilitytodreambig.Hisunwaveringhighstandardhasbeenadmirable.I am also grateful to Professor Elad Alon and Professor Paul K. Wright for being mycommittee,givingvaluablefeedbacksandreviewingmydissertation.IwouldliketothankProfessorAnantSahaitoserveasmyqualifyexamchair.ManyBWRCmembercompanieshavegivengreatsupportforthisresearchproject.ThankstoLoriCallaghanatAvagoTechnologiesforprovidingMEMSresonators.ThankstoTSMCforchipdonation.ThankstoSamsungandPanasonicforfundingthisproject.I would also like to appreciatemany students and staffs from BWRC;more specifically,Jesse Richmond, Camilo Salazar for helpful discussion on project ideas; Yue Lv,Wen Li,Steven Callender for help in chip taping out and broad design; Fred Burghardt, BrianRichardforCADtoolsandlabmeasurementsupport;fellowclassmates:LingkaiKong,PaulLiu, Jiashu Chen, Yida Duan, Hanh‐Phuc Le, Ping‐Chen Huang, Namseog Kim for beingthroughthechallengestogether.Apartfromresearchlife,IwouldliketothankJingYang,ShanLi,YueLiu,YapingLi,HaiLin,Jenny Zhu for their contribution and support tomakemy life inBerkeley enjoyable andcolorful.I give special thanks to my master advisor Alex Lee at my days in Shanghai JiaotongUniversity.Heguidedmeintotheanalogintegratedcircuitdesignandgavestrongsupportformygraduateapplication.Withouthim,Icouldnevercometomydreamschool.Finally, I am forever grateful to my parents and my husband. My parents have alwaysbelieved inwhatever Idoandgivenmeendless love,encouragementandsupport in thislong journey in Berkeley. My husband Feng is so kind, caring, loving and tremendouslysupportivewhenImadeadecisiontogooverseastopursuemyPh.D.degree.Hehastakeneveryopportunityandflownmorethan300,000milesinthepastyeartovisitmedespiteofhisbusycareerinShanghai.Ilovehimfromthebottomofmyheartandlookforwardtostartinganewchapterinourstorytogether.

  • 1

    Chapter1

    Introduction

    1.1 Motivation

    Recent advances in low‐power radio design have enabled a broad range of newapplications, such as wireless senor networks (WSNs) [Rabaey02], wireless body areanetworks (WBANs) [IEEE12‐1],wireless personal area networks (WPANs) [IEEE03] andetc. Inmanyoftheseapplications,thewirelessnodesareusedtomonitorcertaineventswhichoccur at lowactivity rate.The radio link remains inactiveuntil events occurs andthen information is gathered, processed and exchanged among nodes or between nodesandcentralhubwithlimitedprotocoloverheadandcommunicationdelay.Suchscenarioscan be found in smart utilities, health monitoring, building and industry automation,automotive control and monitoring, wireless control, and many other applications. Allthese applications require extremely low power wireless nodes so as to last for yearswithout battery recharge or replacement. Some applications require usage of energyharvesters to supplement or completely replace the battery, yet state‐of‐the‐art energyharvesters,forexample,sub‐mmsolarcellsundermoderateilluminationcanonlyprovideup to 100µW power [Ingram11] for a wireless node. Therefore the key challenge toimplementtheselowactivityapplicationsremainsindesigningawirelessnodeconsumingthelowestamountofenergypossible.A classic wireless node implementation includes radios, sensing interfaces, memory, aprocessor and powermanagement. Among all the functions, the dominant component isthe wireless communication energy which is usually spent on data exchanging, idlemonitoring,collisionavoidance,controlpacketoverhead,overhearing[Lin05].Foratypicallowactivityapplication,communicationsaresparse,inotherwords,thepackettrafficloadisusually lightandpacketsareusuallyshort.Withsuchtrafficcharacteristics,nodeswillspendmostof thetime idlemonitoringthechannel.Therefore, thehigh‐levelgoalof thisresearchistoreducetheenergydedicatedtotheidlemonitoring.

  • 2

    1.2 ProtocolBasedDuty‐CyclingVsReactiveWake‐up

    Receiver

    Atraditionalwaytoreducetheenergyforidlemonitoringistoimplementprotocol‐basedduty‐cycling.Depending on the protocol, communication could be initiatedby either thetransmittingdeviceorthereceivingone.Taking802.15.4easanexample,itprovidestwolow‐energy mechanisms: coordinated sampled listening (CSL) and receiver initiatedtransmission(RIT)[IEEE12‐2].Figure1.1showsanexampleofCSL. Itallowsthereceivingdevicetoperiodicallysamplethechannelforincomingtransmissionsatlowdutycycles.Ifthechannelsampledoesnotdetect energy on the channel, CSL disables the receiving device until the next channelsample. If the channel sample receives a wake‐up frame, CSL checks the destinationaddress in thewake‐up frame. If it does notmatch, CSL disables receiver until the nextchannel sample. Otherwise, CSL disables receiving devices until theRendezvousTime inthe wake‐up frame from now and then enables receiving device to receive the payloadframe, sendback a secure acknowledgment frameand returnback toperiodical channelsampling.

    Channel Sampling

    Sending Wake-up Frame

    Sending Payload Frame

    Sending Acknowledgment Frame

    Rx Off

    Address Mismatch

    Address Match

    Sender

    Receiver A

    Receiver B

    Transmitter on

    Receiver on

    Figure1.1Protocol Based Duty-Cycling Example: CSL for 802.15.4e

  • 3

    Figure 1.2 shows another example of RIT. In RIT mode, a receiving device periodicallytransmitsaRITdatarequestcommandandthen listensto thechannel forawhile foranincomingframeatlowdutycycles.Ifnoincomingframeisdetected,thedevicegoesbacktoidle state till the next periodic transmission of RIT data request command. If anacknowledgmentframeisreceived,thedevicestopsperiodictransmissionandpreparestoreceivethepayloadframe.ThetransmittingdevicestaysawakeuntilitreceivesaRITdatarequest packet from the destination, and then sends out a secure acknowledgment andpayloadframes.BothCSLandRITutilizelowduty‐cyclingofreceivingnodetoreducetheenergydedicatedto idlemonitoringsoas toreduce the totalenergyconsumedbyeachnode.CSLandRITare used under different latency requirements. CSL is suitable for applications with arelatively low latency requirement, e.g., less than 1 second while RIT is suitable forapplicationswithahighlatencytolerance,e.g.,tensofseconds.AlthoughCSLandRITarelowenergymechanisms,significantenergymaystillbewastedonsender’ssidebysendinglong wake‐up sequence or monitoring the channel long time for data request and onreceiver’s side by periodic channel sampling or sending data request.More importantly,there exists an inherent trade‐off between average power consumption and averagenetwork latency. For many low latency applications, the protocol must be adjusted toincreaseduty‐cyclingofreceivingnode,thusincreasingaveragepowerconsumption.

    Figure1.2 Protocol Based Duty-Cycling Example: RIT for 802.15.4e

  • 4

    Analternativetoprotocol‐basedduty‐cyclingistoaddanauxiliaryreceivercalledwake‐upreceiver (WuRx) to each node. As shown in figure 1.3, during idlemonitoring, themaintransceiverisoffandonlyWuRxison.Itcontinuouslymonitorsthechannelforincomingtransmissions.Whenitdetectsawake‐upsequence, itwillwakeupthemainreceiverfordatacommunicationimmediately.Figure1.4comparestheperformanceofaveragepowerconsumption and average network latency between protocol‐based duty‐cycling (CSLmechanism) and reactive wake‐up receiver by using the power numbers from WuRxliterature [Pletcher09] andAtmel AT86RF233 [Atmel14]. It assumes the control packets(ACK,Wake‐upFrame) are40bits long and thedatapacket is 200bits long, allwith an18bit preamble. The transceiver data rate is 50k bits per second and each device has 5neighbors.Itcanbeclearlyseenfromthefigurethattheuseofawake‐upreceiverbreaksthe trade‐off between network latency and average power consumption. It can help toeffectivelyreducetheaveragepowerconsumptionofeachnodewhilekeepingthelatencybounded. However, when traffic load (network activity) becomes low, the powerconsumptionofWuRxstartstodominatetheenergyconsumedbyeachnode.Therefore,itiscrucialtokeepthepowerbudgetofWuRxatreallylowlevel.

    Figure1.3 Communication with Reactive Wake-up Receiver

  • 5

    Figure1.4PerformanceComparisonbetweenProtocolBasedDuty‐CyclingandReactiveWake‐upReceiver

  • 6

    1.3 DissertationOutline

    Thisdissertationinvestigatesthepossibilityofdesigninganultra‐lowpower, lowlatencyreceiver for lowactivitywireless links. It provides system level analysismethodology aswellascircuitleveldesigntechniquesforimplementationofapracticalwake‐upreceiver.It is organized in six chapters. After this introduction, Chapter 2 presents a high leveloverview of the design considerations and functional specifications for the wake‐upreceiver. It also proposes a two‐step wake‐up architecture including energy detectionmodeandaddressingmodeforthewake‐upreceiveranddiscussesitssystemleveldesignmetricsindetails.Chapter3describesthedesignandimplementationofenergydetectionmode. It proposes an effective technique to achieve high sensitivity with limited powerbudget. Following that, Chapter 4 details the design and implementation of addressingmode. Chapter 5 presents themeasurement results. Finally, Chapter 6 concludeswith abrief summary of this dissertation and discussion of future research directions. Abibliographyisincludedintheappendix.

  • 7

    Chapter2

    SystemLevelDesign

    2.1 DesignConsideration

    The specifications and implementation of the wake‐up receiver rely heavily on theintended applications. In this research, our goal is to design awake‐up receiver for lowactivitywirelessnetworkandtobuildaprototypecompatiblewith802.15.4g[IEEE10].

    2.1.1 SystemIntegration

    At the system level, the wake‐up receiver must integrate conveniently with the maintransceiver. Theoverall systemarchitecture togetherwithWuRx is shown in figure2.1.TheWuRxsharesthesameantennawiththemaintransceiverforminimumsizeandeasyintegration.Toreducehardwarecost,itisdesirablefortheWuRxtoreceiversignalsfromthe same transmitter used for data communications, such that no additional wake‐uptransmitter isrequired.Therefore,theimplementationofWuRxwillusethesamecarrierfrequency, modulation scheme and data rate as the main transceiver. In 802.15.4g, itspecifies nine different frequency bands for narrow band operation including 450MHz,470MHz, 863MHz, 896/901MHz, 901/902MHz, 915MHz, 928/960MHz, 1427/1518MHz and 2450 MHz as well as ultra‐wideband (UWB) operation; It also specifies threedifferent modulation schemes including FSK, OFDM and QPSK and various data raterangingfrom10kbpsupto200kbps.Inthisresearch,wetargettobuildaWuRXprototypefor 915MHz ISM band with FSK modulation scheme and 50kbps data rate. WhenimplementingtheWuRx,compatibilitywith802.15.4gattheMAClayerisnotnecessary.Adedicatedwake‐up command or sequence can be designed and used, as it only add oneadditional instruction set toDSP or one additional state to the statemachine. Its poweroverheadisnegligible.

  • 8

    Figure2.1SystemIntegrationwithWuRx

    2.1.2 ActivePowerOriented

    For a general purpose lowpower transceiverdesign, the energyefficiency is usually themostimportantmetricittargetsfor,asitistypicallyusedindatadrivenapplicationswhereenergy per transferred bit is more closely tied to the battery life of a wireless device.During its communication, protocol‐based duty cycling is implemented. As previouslymentioned, with extremely low duty cycling, high active power consumption can betoleratedaslongasthedatarateishighenoughtoresultinanoveralllowenergyperbit.However, a WuRx is essentially an event driven receiver that detects whether there iscommunication inthechannelandassertsasignal towakeupthemaindatareceiver.Atthemost basic level, it is just a one bit RF energy detector. Therefore, energy per bit ismeaninglesstoWuRxandactivepowerconsumptionisthemostcriticalmetricforWuRxdesign.Moreover theWuRxneeds to listen to the channel continuously and cannot takeadvantage of duty‐cycling. Thismeans that transceiver architecture such as UWB is notsuitable forWuRxsince itdependsonheavyduty‐cyclingandsynchronizationtoachievelowenergyperpit.The active power consumption specification depends heavily on the application. Energyharvestersinsteadofbatterybecomemoreattractiveforrecentapplications,yetstate‐of‐the‐art energy harvesters, for example, sub‐mm solar cells undermoderate illuminationcanonlyprovideupto100µWpower[Ingram11]forawirelessdevice.Fromtheprevioussimulationshowninfigure1.4,consideringtheoverallwirelesssystemhasanactivityrateas lowasonepacketper second, thepowerconsumptionofWuRxneeds tobe less than50µWsoastomeetthetotal100µWpowerbudget.

  • 9

    2.1.3 PerformanceMetrics

    Another important difference between a general purpose low power transceiver and aWuRx is the performance metrics. For a general purpose receiver, bit error rate (BER)performance is the most important as they are mainly used in data communications.However,BERisnotapropermetrictomeasuretheWuRxfromitsfunctionalperspective.Instead, the performance metrics of interest are probability of miss detection andprobability of false alarm. These two errors have strong relationship with the powerdissipation.MissdetectionmeansthattheWuRxmissesthecurrentwake‐uprequestandthe transmitter must re‐transmit the request which increases both the power and thesystem latency. False alarm means that the WuRx wakes up the main data receiverunnecessarily which also costs additional power consumption. Detailed analysis for thespecificationofmissdetectionrateandfalsealarmratewillbediscussedinalatersection.

    2.1.4 Sensitivity

    The sensitivity requirement is typically related to communication distance, transmittedpowerandantennagain.Asdiscussedpreviously,theWuRxandthemaintransceiverwillsharethesameantennaandusethesametransmitter.Besides,theWuRxshouldbeabletocommunicateoverthesamedistanceasthemaintransceiver.Therefore,forWuRxdesign,it is desirable to achieve sensitivity comparable to that of the main data transceiver.Otherwise, itmayeitherdecreasethecommunicationrangewhich limitstheapplicationsorrequireanadditionalpoweramplifieratthetransmittersidetoincreasethetransmittedpowerwhich costs extrapower consumption. In802.15.4gprotocol [IEEE10] it specifiesthereceiversensitivitytobeatleast‐90dBm,thereforeinthisresearchourtargetreceiversensitivityshouldbebetterthan‐90dBm.Fromtheabovediscussion,theoverallWuRxspecificationsaresummarizedintable2.1.

    Table2.1SpecificationofWuRxPrototype

    Parameter SpecificationArchitecture NarrowBand

    CarrierFrequency 915MHzModulationScheme FSK

    DataRate 50kSensitivity ‐90dBm

    ActivePowerConsumption <50µW

  • 10

    Figure2.2PerformanceofRecentlyPublishedLowPowerReceivers

    Figure 2.2 shows the performance comparison of previously published low powerreceivers.Althoughseveral implementationsachievehigh levelofsensitivity,theirpowerconsumptionismorethan2to10timeshigherthanthepowerbudgetfortheWuRx.Ontheotherhand,someimplementationsareabletoconsumewithinthepowerbudget,yettheirachievablesensitivity ismorethan15dBmawayfromthesensitivityrequirement for theWuRx.Obviously,thefeasibilityofimplementingahighsensitivityreceiverwithlessthan50µWofpowerconsumptionrepresentsthemostcriticalchallengefortheWuRxdesign.

    2.2 ProposedTwo‐StepWuRx

    Themostbasicway todesign aWuRx is tobuild a simpleRF energydetector.Howeverfromreliability andpower savingpurpose, amore sophisticatepractical implementationshould be considered. Figure 2.3 shows an example wake‐up schemewith only simpleenergydetection. In this example, the senderwants to communicatewithdeviceA.AsAand B are neighboring devices, bothWuRx A andWuRx B can detect energy from thewake‐up frame transmittedby thesenderandwillwakeup thedata receiverofAandBsimultaneously. This means that the data receiver B is unnecessarily activated whichresultsinanadditionalenergywaste.Thereforetoavoidfalsealarmstriggeredbyregular

  • 11

    Figure2.3Wake‐upSchemewithSimpleEnergyDetection

    Figure2.4Wake‐upSchemewithProposedTwo‐StepWuRx

  • 12

    data communication between neighboring devices, theWuRx design should contain thefunctionality of selective wake‐up among different devices which means unique deviceID/address detection should be included. Upon this principle, we propose a two‐stepWuRx architecture. The wake‐up strategy and corresponding wake‐up frame design isshowninfigure2.4andfigure2.5.TheWuRxhastwooperationmodes:energydetectionmodeandaddressdetectionmode.Mostoftime,itisinenergydetectionmodemonitoringwhetherthereiscommunicationenergyinthechannel.Afteritdetectsenergy,itswitchestoaddressdetectionmodecheckingwhether theaddress required in thewake‐up framematches the device address. Ifmatches, it will wake up themain data receiver for datacommunication, otherwise it switches back to energy detection mode for channelmonitoring. Obviously, due to the function complexity, the power dissipation in energydetectionmode is smaller than in address detectionmode. According to somepreviousliterature,anRFenergydetectordissipatestensofmicrowatts[Pletcher07],whileanFSKdemodulatordissipateshundredsofmicrowatts[Lont12].Yetbothofthemconsumemuchless power than the main data receiver whose typical power consumption is a fewmilliwatts.Therefore,byusingthetwo‐stepwakeupstrategy,theunnecessarywake‐upofmain data receiver due to the communication initiated by neighboring devices has beenavoided.CommandsdestinationcheckinghasbeenreleasedfrommaindatareceivertotheWuRxwhichresultsinahugeamountofpowersaving.Theaveragepowerconsumptionofthe proposed WuRx is mainly dominated by the power dissipated in energy detectionmode, yet it is also related to the power consumption of address detection mode andprobabilitiesoferrorrates.Detailedanalysiswillbedescribedinnextsection.

    Wake-up Sequence Preamble Device Address/ID

    TED TADTW

    AddressDetection

    Mode

    EnergyDetection

    Mode

    Energy DetectedWakeup

    Address MatchesWakeup Main Receiver

    Address Mismatches

    Figure2.5Wake‐upFrameforProposedTwo‐StepWuRx

  • 13

    2.3 DesignMetrics

    Asmentionedinchapter1,therearetwomajordesignmetricswhencomparingtheWuRxaided wireless system with the traditional protocol‐based duty‐cycling system: averagepower consumption and average latency. These twometrics are not only related to thepowerconsumptionindifferentmodesandthelengthofwake‐upframe,butalsorelatedtotheprobabilitiesoferrors.Beforegoingthroughdetailsofthedesignmetrics,wefirstlistthemainnotationsthatareusedintheanalysis.

    P :powerconsumptioninenergydetectionmode p :probabilityoffalsealarminenergydetectionmode p :probabilityofmissdetectioninenergydetectionmode T :totaltimeinenergydetectionmode P :powerconsumptioninaddressdetectionmode p :probabilityoffalsealarminaddressdetectionmode p :probabilityofmissdetectioninaddressdetectionmode T :totaltimeinaddressdetectionmode P :powerconsumptionofmaindatareceiver α:receiverwake‐upactivityrate. T :totaltimeofthewake‐upframe

    Figure2.6SixDifferentWorkingCategoriesforWuRx

  • 14

    As shown in the figure 2.6, the working scheme of a WuRx can be divided into sixcategories.1,2,3refertothescenariowherenodatacommunicationexistsinthechannel.1 is thenormaloperation.2stands for falsealarminenergydetectionmodewhichcostsextrapowerforwakingupaddressdetectionmode.3representsfalsealarminbothmodeswhich triggers an unnecessary wake up of the main data receiver. 4, 5, 6 refer to thescenariowhereawake‐up frame is sentby the transmitter. 4 is thenormaloperation.5indicatesmissdetectioninenergydetectionmodeandthetransmitterneedstoresentthewake‐upframewhichcostsadditionallatency.6meansmissdetectioninaddressdetectionmodewhichresultsinnotonlyadditionallatencybutalsoadditionalpowerdissipationtooperate inaddressdetectionmodeoncemore. Combiningall sixof theabovecategoriestogether, the average power consumption and the average latency of theWuRx can bederivedas

    Power P 1 α P ∙ p P ∙ p ∙ p α ∙ P 1 p p p …… P 1 α P ∙ p P ∙ p ∙ p α ∙ P1 p 2.1 Latency T 1 p 1 p 1 2p 2p 3 p p ∙ p p

    T 1 p ∙ p1 p 1 p 2.2

    From(2.1)and(2.2),onecanfigureoutthatboththeaveragepowerconsumptionandtheaverage latency are proportional to the probability of error rates. The higher the errorrates,thelargerthepowerdissipationandlatencyare.Asthewake‐upactivityαislow,thepower consumption is mainly dominated by false alarm errors while the latency isdeterminedbymissdetectionerrors.Therefore, to satisfy thedesiredpowerbudgetandmeanwhile to keep the latency bounded, it is important to set the specification of falsealarmrateandmissdetectionratesinbothoperationmodes.In address detection mode, the WuRx performs as an ultra‐low power FSK receiver. Itshould be able to correctly demodulate N bits in a wake‐up frame used for address/IDmatching. In this prototype,N is designed to be 50bitswith 16bits of preamble for datasynchronizationpurpose,32bitsrepresentingdeviceuniqueaddressand2bitsindicatingtheendofthewake‐upframe.AstherequiredFSKdatarate(f is50kbps,thetotaltimespentonaddressdetectionmodeis

    T N 1f 1ms 2.3

  • 15

    Itsprobabilityoffalsealarmandmissdetectionarerelatedtothenumberofbits(N)anditsbiterrorrate(BER).

    p 2.4 p 1 1 ∙ 2.5

    ConsideringatypicalBERrequirementforwirelessreceiveras10‐3,p 10 10 2.6 p 50 10 0.02 2.7

    Obviously,p is such a small number that it can be neglected. Therefore, the averagepowerconsumptionoftheWuRxheavilydependsontheperformanceofenergydetectionmode,notonlyonitspowerdissipationbutalsoonitsprobabilityoffalsealarm.Figure2.7and2.8plots thepowerand the latencyperformancebasedonprobabilityof errors.Weassume45uWforenergydetectionmode,400uWforaddressdetectionmodeand30mWformainreceiver.Itcanbeclearlyseenfromthegraphthatwhenp becomessmaller,theaveragepowerconsumptionisdominatedbythepowerdissipationinenergydetectionmodeandwouldnotbefurtherreducedbydecreasingp .Thereforeit isunnecessaryto require a lowp . Rather 10‐2 roughly the corner probability of the graph is areasonablerequirementforourprototypedesigntosatisfy50µWpowerbudget.Similarly,p alsotargetsfor10‐2,whichresultsinextra4%averagelatency.ForT requirement,itisatleast1bitlong(20us)forenergydetectionasthetransmittercanonlysendoutdatabased on a fixed data rate. Yet analysis in chapter 3 will prove that T has a strongrelationshipwiththeachievablesensitivity.The longertheT , thehigherthesensitivitythatcanbeachieved.Therefore,consideringareasonableoverheadtothewholewake–upframe,T isdesignedtobe1/10ofT whichisabout5bitslength(100us).Basedonthepreviousdiscussionofdesignmetrics,table2.2summarizesthespecificationofWuRxindifferentmodes.

    Table2.2SpecificationofProposedWuRxinDifferentModes

    Modes EnergyDetectionMode AddressDetectionModePower <45µW <400µW

    FrameLength <5bits(100us) 50bits(1ms)ProbabilityofFalseAlarm 10‐2 10‐150ProbabilityofMissDetection 10‐2 0.02

    BER N/A 10‐3

  • 16

    50uW Power Budget

    Figure2.7SixDifferentWorkingCategoriesforWuRx

    Figure2.8SixDifferentWorkingCategoriesforWuRx

  • 17

    2.4 Summary

    This chapter presents a system level design for thewake‐up receiver. It has proposed atwo‐stepwake‐uparchitecturetoavoidtheunnecessaryactivationofmaindatareceivertoachieveanultra‐lowpowerbudget.Italsoprovidesdesignmetricsintermsofpowerandlatency performance optimization. Based on that, wake‐up receiver specifications havebeendiscussed indetails forbothworkingmodeswhichcanbeservedasaguideline forcircuitdesignsinlaterchapters.

  • 18

    Chapter3

    ReceiverforEnergyDetectionMode

    3.1 ArchitectureDevelopment

    Asdescribed in Chapter 2, in energydetectionmode, themain function of receiver is toperform a simple energy detection of the input RF signal. The major performancespecificationofthereceiverremainstobeultra‐lowpowerconsumption(45µW)andhighsensitivity(‐90dBm).Thereareawidevarietyofwaystoimplementtheenergydetectionreceiver ranging from simple passive rectifier to complex super‐heterodyne receiver.Beforedevelopingasuitablearchitecture, it isworth tomakeaperformancecomparisonamongdifferentreceiverarchitecturesintermsofpowerconsumptionandsensitivity.

    3.1.1 PassiveRectifier

    Thepassiverectifier isatypicalcircuitusedinACtoDCconversionandisabletoderivepowerfromtheincomingRFwaveform.Thisperformsexactlythedesiredfunctionalityofthe receiver in energy detectionmode. Therefore, passive rectifier based receiver is thesimplestandlowestpowerarchitecture.Asshowninfigure3.1,theinputRFsignalpassesthroughthefrontendfilterandtherectifierandisthencomparedwithagiventhresholdtodecidewhether there isenoughenergyreceived in thechannel.Since frontend filterandrectifier do not consume power and comparator operates at really low frequency, thepower consumptionof rectifierbased receiver is extremely low, typically less than1µW.However due to the nature of rectifier, the sensitivity of this receiver is very poor.[Roberts12]reportsa98nWwake‐upreceiverwithonly‐41dBmsensitivity.In[Oh13],theauthors add a 31‐bit correlator after comparator for better interference rejection andsensitivity boosting. With 116nW power consumption, it is able to achieve ‐45dBmsensitivity.Althoughtheactivepowerconsumptionofthepassiverectifierbasedreceiveris well below our power budget, its achievable RF sensitivity is far away from the

  • 19

    desired specification. This architecture is not suitable to build the receiver for energydetectionmode.

    3.1.2 TunedRadioFrequency(TRF)Receiver

    The tuned radio frequency (TRF) receiver is another type of simple receiverwhichwasinventedandcommonlyused in theearlynineteencentury. As shown in figure3.2, it isimplementedwitha frontendfilter,RFamplificationstages,anonlinearenvelopdetectorandbasebandamplifiers.Asimpliedbythename,theenvelopedetectionprocessdiscardsallfrequencyandphasecontentoftheinputsignalandsimplydetectstheamplitudeoftheRF carrier which implies the energy of the RF input. The TRF receiver is actually anenhanced version of the passive rectifier based receiverwhich is shown earlier to havepoorsensitivity. It includeshigh frequencygainstageswhichareexpensive frompowerperspective to improve the receiver sensitivity, thus it suffers from the tradeoffbetweenpower consumption and sensitivity performance. In [Pletcher08], the author proves thatwithin a certainpowerbudget, it is beneficial to increase gain in the front‐endof aTRFreceivertoachievebettersensitivity,eveniftheincreaseingainresultsindegradedfront‐endnoiseperformance.Withthisprinciple,theauthorbuildsareceiverwith65µWpowerconsumption, 75% of which is spent on RF amplification and achieves a sensitivity of ‐

    Rectifier

    VTH

    Wakeup Signal

    Figure3.1PassiveRectifierBasedReceiver

    Figure3.2TunedRadioFrequency(TRF)Receiver

  • 20

    50dBm. Some following up researches have tried different techniques to improve thesensitivitywithout increasingthepowerconsumptionofRFamplification.[Huang10]hasadopteddoublesampling techniqueapplied to thedown‐convertingenvelopedetector tosuppresstheoffsetand1/fnoise,althoughitmeansthatthereceiverissensitivetotheRFsignalonlyhalfofthetime.Byflatteningouttheoutputnoisefloor,thereceiverisabletoachieveanimprovedsensitivityof‐75dBmwith51µWpowerconsumption,58%ofwhichis burnt on RF amplifiers. [Cheng12] implements a ΣΔADC after the baseband amplifier.This first‐order low‐pass ΣΔADC oversamples thewake‐up request to enhance SNR andlessen the false detection, thus the receiver is able to achieve a sensitivity of ‐65dBm.Althoughalldifferenttechniqueshavebeentried,yet limitedsensitivitycanbe improvedfor a TRF receiver. The fundamental problem to use TRF architecture lies in providingsufficientgainatRFrequireslargeamountofpower.ItlimitstheachievablesensitivityofaTRFreceiverwithinacertainbudgetofpowerconsumption.

    3.1.3 Super‐RegenerativeReceiver

    One technique to enhance RF gain so as to improve sensitivity is the use of positivefeedback, or regeneration, in the amplifier. This super‐regenerative concept was firstintroduced by Armstrong in the 1920s and was used in the early days of wirelesscommunication due to its ability to reach a high RF gain from active devices (vacuumelectron tubes) and to operate at high RF frequencies above the fT of RF devices[Whitehead50].Figure3.3showsthetypicalarchitectureofasuper‐regenerativereceiver.The frontend consists of a passivematching network, an isolation amplifier, a quenchedoscillator,aRFenvelopdetectorandabasebanddemodulator.Theoscillatorisperiodicallyturnedonandoffbyaquenchedsignal.Thestart‐uptimeoftheoscillatorisexponentiallydependentupon the initial voltageof theoscillator tankand thisdependencyprovidesalargeamountofgainachievablebyasinglestage.TheresultinghighRFgaininfrontoftheenvelope detector improves the receiver sensitivity substantially, to better than ‐99dBm

    Figure3.3Super‐RegenerativeReceiver

  • 21

    [Bohorquez09], [Otis05]. The super‐regenerative receiver is fundamentally a TRFarchitecture using the quenched oscillator as a super‐regenerative amplifier to achievelargeRFgainandimpressiveperformance.Thedrawbackofthisarchitectureisthatahighaccuracylocaloscillator(LO)isnowrequired.ThisstringentfrequencyaccuracytypicallyrequiresaresonantLCoscillator,yetthelimitedqualityfactor(Q)oftheintegratedpassiveelements leads to a power floor of a few hundred microwatts. For example, in[Bohorquez09] the LC oscillator consumes 315µWwhich ismore than 78% of the totalreceiverpower.Thusforsuper‐regenerativearchitecture,thehighpowerconsumptionofaccurate LO generation makes it difficult to meet the power budget of the wake‐upreceiver.

    3.1.4 SuperheterodyneReceiver

    The superheterodyne receiver was first invented by Edwin Armstrong in 1918 duringWorldWarI.Itisthemostwidelyusedarchitectureinnowadayswirelesscommunicationandalmostallmodernradioreceiversusethesuperheterodyneprinciple.Thebasicideaofsuperheterodyneistousefrequencymixingtoconvertareceivedsignaltoanintermediatefrequency (IF)whichcanbemoreconvenientlyprocessed than theoriginal radiocarrierfrequency.Atthecostofanextrafrequencyconverterstage,thesuperheterodynereceiverprovides superior selectivity and sensitivity compared with simpler designs describedpreviously.Figure3.4showsanexampleofasingle‐conversionsuperheterodynereceiverdesign.TheinputRFsignalpassesthroughthematchingnetworkandisamplifiedbyalownoiseamplifier(LNA)soastorelaxthenoiserequirementoftherestofthereceiverchain.Then,theRFsignalisdown‐convertedtoIFwithahigh‐accuracyLO.TheresultingIFsignalisamplifiedandfilteredtoremovetheimageandinterferers.Finally,thedemodulatoruses

    Figure3.4SingleConversionSuperheterodyneReceiver

  • 22

    the IF signal to recreate a copy of the original data information. In the superheterodynearchitecture, an RF LO with high frequency accuracy and spectral purity is required todrive the mixer. Similar to previous super‐regenerative receiver, it almost invariablyrequiresanLCoscillatorembeddedinaphase‐lockedloopanditisdifficulttodesignalowpower LC oscillator with on‐chip low Q integrated components. Thus, the powerconsumptionofthisarchitectureisoftenlimitedbythepowerconsumptionoftheLO.Recentlyresearchershaveadopteddifferenttechniquestoreducethepowerconsumptionof LO generation. One technique is to apply duty‐cycling either on LO blocks or on thewhole receiver. For example, in [Drago10] the author duty‐cycles the digital controloscillator(DCO)basedPLL(DCPLL)at10%(turnedon100nsevery1us)and theDCPLLstill draws an average current of 180uA from a 1.2V supply. In [Milosiu13], the wholereceiverisduty‐cycledheavilytoaslowas0.8%(turned‐on4×250nsevery125us).Inthisway,thepowerconsumptionofthereceiverisbroughtdownto217µWandcanbereducedfurther with even more duty‐cycling (e.g. 27µW with 0.1% duty‐cycling). Duty‐cyclinghelpstoreducethepowerofareceiver,yetiteitherrequiresanoveldesignoffastsettlingoscillator/amplifier so as to decrease the minimum turned‐on time or suffers from atradeoffbetweendetectionrateandaveragepowerconsumption.AnothertechniqueistoreplacetheLCoscillatorwithaCMOSringoscillatorwhosepowerwould be 20X smaller than its LC counterpart [Pletcher09]. The drawback of thisreplacementisthatthefrequencyaccuracyandphasenoiseoftheringoscillatorisprettypoor,usuallyprohibititsuseastheLO.Yet,in[Pletcher09],theauthorhasovercomethisfundamental disadvantage at the architectural level by proposing a superheterodynereceiverwithwideband‐IFasshowninfigure3.5.Duetothefrequencyinaccuracyoftheringoscillator,theRFinputsignalisconverteddowntoawidebandIFinsteadofafixedIF

    Figure 3. 5 Wideband-IF Receiver

  • 23

    and this wideband IF signal could be further converted to baseband by an envelopedetector which is similarly used in a TRF receiver. Compared to a TRF receiver, thiswideband‐IFreceiverutilizesalowqualityringoscillatortogeneratetheLOsoastobringthegainamplificationbeforetheenvelopedetectorfromRFsidetoIFsidewhichresultsinamajorpowersaving.Theproposedreceiverisabletoachieveasensitivityof‐72dBmwith52µWpowerconsumption.

    3.1.5 ProposedArchitecture

    Frompreviousarchitecturereviewing,theTRFreceiverandthesuperheterodynereceiverwith wideband‐IF could be two potential choices for designing a receiver for energydetection mode. Both of them eliminate the power hungry and high precision timingelementwhichmakeitpossibletobringthetotalpowerofreceiverdownwithinourtightbudget,yetthepublishedachievablesensitivityofthesetwotypereceiversisstillfarawayfromourtarget,withthebestperformancearound‐75dBm[Huang10].ForaTRFreceiver,the bottleneck of performance improvement remains in the tradeoff between achievablesensitivity and expensive power spent on the front‐end RF gain stages. For example, in[Huang14] the TRF receiver is able to reach a sensitivity of ‐61dBmwith 64µW powerconsumption and can be improved to ‐86.5dBm with extra 82µW spent on the RFamplification.Forawideband‐IFreceiver,thedominantnoisecontributioncomesfromthenoise folding from wideband IF to baseband when performing envelope detection[Pletcher09]. As the bandwidth of IF is several orders larger than that of baseband, byenvelope detection, this wideband IF noise will be superposed on top of the basebandsignal which largely degrades the achievable SNR at the receiver output. Therefore, toimprove the sensitivity performance of a wideband‐IF receiver, one need to find aneffectivewaytoeliminatethecontributionfromwidebandIFnoise.TruecircuitnoiseisarandomprocessfollowingGaussiandistributionandisstillarandomprocess following a certain distribution after a square operation. If a randomprocess isaveraged for sufficient time, it converges to its mean value which can be estimateddependingonitsdistribution.Basedonthisprinciple,weproposeareceiverarchitectureforenergydetectionmodebasedon“wideband‐IF”and“noiseaveraging”.Figure3.6showsthe proposed receiver architecture and its frequency planning. The input RF signal firstpasses through amatching networkwhich contains a FBAR resonator to simultaneouslyfiltertheinputwithasharpband‐passresponse.WiththeMEMSresonatorembedded,thefrontendnetworkprovidesahighselectivityandhelpstoeliminateinterferingsignalsthatlieclosetothedesiredchannel.ThentheRFsignalisdown‐convertedtothewidebandIFwithamixerandalowpowerringoscillatorwhichcanbecalibratedwithin30MHzofthedesiredfrequency.TheresultingIFsignalisamplifiedwithgainblocksthatcovertheentireIFbandwidth(BWIF)andisfurtherdown‐convertedtobasebandthroughenergydetection

  • 24

    whichconsistsofaself‐drivenmixer(envelopedetector),anintegratorandacomparator.As the integrator performs averaging functionality, it also helps to shape the widebandnoisetoitsmeanvaluewhichcanbeeffectivelyeliminatedbyturningthethresholdatthecomparator. The proposed receiver architecture adopts the “wideband‐IF” concept toutilizealowpowerringoscillatorinsteadofaLCoscillatorforLOgenerationwhichresultsin huge power saving to satisfy the power budget. Meanwhile, it also adopts the “noiseaveraging”concepttoeliminatetheeffectofwidebandnoisecausedby“wideband‐IF”soastoimprovethesensitivitytothedesirednumber.Detailedsensitivityanalysisisprovidedinnextsection.

    Figure 3.6 Proposed Receiver Architecture in Energy Detection Mode

    Figure 3.7 Frequency Planning for Receiver in Energy Detection Mode

  • 25

    3.2 SensitivityAnalysis

    Traditionally,thesensitivityofareceiveriscalculatedas:Sens=−174dBm+10logBW+NF+SNRMIN (3.1)

    where SNRMIN is the minimum required signal to noise ratio for a certain detection.Typically, energy detection performed by using envelope detector needs around 11 dBSNRMIN for a decent error rate [Proakis01]. NF is the noise figure of thewhole receiverwhichistypicallyproportionaltothebiascurrentandcouldnotbeeasilyreducedwithoutincreasing the power budget significantly. BW is the noise bandwidth in Hz, usuallycomparable to the bandwidth of baseband data. Yet, due to the nonlinear nature of theenvelopedetector, it isnotstraightforwardtoanalyzethenoisefiguredirectly.Thereforewemodifythesensitivityequationfrom(3.1)to

    Sens=−174dBm+10logBWIF+NFFE+SWNRMIN (3.2)whereBWIF is thenoisebandwidthofwideband IF.NFFE is the linearnoise figureof thereceiver frontend includingmatchingnetwork,mixer and IF gain stages. SWNRMIN is theminimumrequiredsignaltowidebandnoiserationeededforenergydetectiontoachieveacertain error rate. In this calculation, the noise generated by energy detection circuitryitself is neglected as the frontend gain stages can easily achieve 60~70 dB of gain. Thismakes the noise contribution of energy detection a trivial factor of the total noiseperformance [Gambini08]. In this section, we will build a theoretical model for energydetectionsoas toanalyzeSWNRMIN itneedstoachieveacertainerrorrate.ThenweusethisSWNRMINtogetherwithNFFEandBWIFtocalculatethesensitivityofreceiver.

    3.2.1 TheoreticalModelforEnergyDetection

    Before calculate SWNRMIN, we first list themain notations that are used to describe thetheoreticalmodelforenergydetectionshowninFigure3.8.

    x(t):inputwaveformofenergydetection y:integratoroutput s(t):IFsignalwaveform n(t): noise waveform which is modeled as zero‐mean, white Gaussian random

    processwithvarianceσ . B:noisebandwidthwhichisequaltoBWIF

  • 26

    N0:noisepowerspectrumdensity SWNR:signaltowidebandnoiseratioattheinputofenergydetection T:integrationtimealsotheenergydetectionlatency. λ:energythresholdusedbycomparator H0:hypothesiscorrespondingtonosignaltransmitted. H1:hypothesiscorrespondingtosignaltransmitted. Pf:probabilityoffalsealarm Pm:probabilityofmissdetection Fn(x):cdfofnormalizedchi‐squareddistributionwithndegreeoffreedom Fn,s(x):cdfofnon‐centralchi‐squareddistributionwithndegreeof freedomanda

    non‐centralparameterofs.Theinputsignalofenergydetectiontakestheform

    x t h s t n t 3.4 whereh=0or1underhypothesesH0orH1respectively.Theoutputoftheintegratory0andy1willactastheteststatistictotestthetwohypothesesH0orH1respectively.LetusfirststartwithhypothesesH0whenthereisnosignaltransmitted

    Figure 3. 8 Theoretical Model for Energy Detection

    ( )2 T

    dt0

    x(t) y

    Envelope Detector

    Integrator Comparator

    Energy Detection

  • 27

    x t n t 3.4

    y x t dt n t dt 3.5

    AsthenoisesignalhasbandwidthB,accordingtothesamplingtheorem[Shannon49],itcanbeexpressedas

    n t a sinc 2Bt i 3.6

    where

    sinc sin πxπx , a ni2B

    Clearly,eachai isthesampleofzero‐meanwhiteGaussianrandomprocessn(t),so it isaGaussian random variablewith zeromean andwith the same varianceσ , which is thevarianceofn(t).

    σ N B 3.7 a ∼ N 0, N B 3.8 aN B ∼ N 0,1 3.9

    Usingthefactthat

    sinc 2Bt i sinc 2Bt j dt12B i j

    0 i j 3.10

    Wemaywrite

    n t dt 12B a 3.11

  • 28

    [Urkowitz67]hasprovedthatif1/TissmallenoughcomparedtoB,ontheinterval(0,T),signalcanbeapproximatedbyafinitesumof2BT.Thisassumptionisexactlytrueforourenergy detection, since the noise bandwidth B is much larger than the desired databandwidth1/T.ItalsomeanstheintegrationtimeTneedstobelongenoughtomaketheassumptionwork.Therefore

    y n t dt 12B a 3.12

    Accordingto(3.9)and(3.12),theteststatisticy canberewrittenas

    2yN

    aN B 3.13

    isasumof thesquaresof2BTGaussianvariableswithzeromeanandunitvariance,thusfollowingcentralchi‐squareddistributionwith2BTdegreeoffreedom.Next,letusfocusonwithhypothesesH1whenthereissignalpresent.

    x t s t n t 3.14

    y x t dt s t n t dt 3.15

    As signal bandwidth is much smaller than noise bandwidth, according to the samplingtheorem[Shannon49],thesignalcanbeexpressedas

    s t b sinc 2Bt i 3.16

    x t a b sinc 2Bt i 3.17

    where

    sinc sin πxπx , b si2B

  • 29

    Byusingthefact(3.10)

    s t dt 12B b 3.18

    x t dt 12B a b 3.19

    Similarly,ontheinterval(0,T),signalcanbeapproximatedbyafinitesumof2BT.

    s t dt 12B b 3.20

    y x t dt 12B a b 3.21

    Accordingto(3.9),(3.20)and(3.21),theteststatisticy canberewrittenas

    2yN

    a bN B 3.22

    bN B

    2BT ∙ 1T s t dtN B 2BT ∙ SWNR 3.23

    Similarto , followsnon‐centralchi‐squareddistributionwith2BTdegreeoffreedomandanon‐centralparameterof2BT ∙ SWNR.Theprobabilityofmissdetection(Pm)andfalsealarm(Pf)canbegeneratedby

    P P y λ|H P 2yN2λN 3.24

    P P y λ|H P 2yN2λN 3.25

  • 30

    whereλ isthedecisionthresholdandit isreasonabletoassumethatλ isproportionaltonoisepowerBN0andintegrationtimeT

    λ β ∙ BN ∙ T (3.26)where β is the normalized factor. Using (3.13), (3.22) and (3.26) to evaluate (3.24) and(3.25)yields

    P F , ∙ 2BT ∙ β (3.27)P 1 F 2BT ∙ β (3.28)

    Fromtheaboveanalysis,wecanfigureoutthattheprobabilityofmissdetection(Pm)oftheproposedenergydetection is related to thecumulativedistribution function (cdf)ofnon‐centralchi‐squareddistributionwhiletheprobabilityoffalsealarm(Pf)isrelatedtothecdfofchi‐squareddistribution.Theyaredeterminedbyfourparameters:1)Decisionthreshold(β) 2) Noise bandwidth (B) 3) Integration time (T) 4) Signal to wideband noise ratio(SWNR).Noisebandwidth,integrationtimeanddecisionthresholdtogetherdeterminetheamountofnoisereductionthatcanbeachievedby“noiseaveraging”andtheeffectofeachparameterwillbeexploredfurtherinthenextsection.

    3.2.2 SimulationResult

    Simulationsbasedon(3.27)and(3.28)aredoneinmatlabtoverifytheperformanceoftheproposed energy detection. Effects of different design parameters ‐ decision threshold β,noisebandwidthBandintegrationtimeTwillbediscussedrespectivelyandanestimationofreceiversensitivitywillalsobeprovided.DecisionThresholdFigure3.9plotstheprobabilityofdetectionerrors(PmandPf)versusSWNRwithfixednoisebandwidth, fixedintegrationtimeandvariousdecisionthreshold. ItcanbeclearlyviewedfromthefigurethatPfisalwaysflatwithdifferentSWNRasitisonlyrelatedtohypothesisH0whenthereisnosignalpresentedwhilePmiseffectedbySWNRandcouldbeimprovedwithanincreasingofSWNR.Itisalsoobviousthatwhendecisionthresholdislow,onecangetbettermissdetectionrate.Onthecontrast,whenthedecisionthresholdishigh,onecanachieve better false alarm rate. To take both Pm and Pf into account, we define energydetectionerrorrate(DER)as

    DER=α∙Pm+(1−α)∙Pf (3.29)

  • 31

    Figure 3.9 Probability of Miss Detection (Pm) and Probability of False Alarm (Pf) Vs SWNR for Various Threshold Factor β with 30MHz Noise Bandwidth and 10us Integration Time.

    Figure 3.10 Detection Error Rate (DER) Vs Decision Threshold Factor β for Various Wake-up Activity Rate α with 30MHz noise bandwidth, 10us integration time and -5.5dB SWNR

  • 32

    whereαisreceiverwake‐upactivityrate.Asbyvaryingdecisionthresholdβ,PmandPfarevariedaccordingly,themosteffectivewaytoachieveminimumDERistosetβatthepointwhere α ∙ Pm and (1 − α) ∙ Pf equal to each other. Figure 3.10 plots detection error rateversusdecisionthresholdwithvariouswake‐upactivityrate, fixednoisebandwidth, fixedintegrationtimeandfixedSWNR.ItshowsthatwithdifferentαonecanalwaysachieveanoptimumDERbychangingβandtheworstoptimumDERoccursatthepointwhenαequals0.5.Thereforeinlatersimulationsweonlyconsidertheworstcasescenariowhenαequals0.5.Onethingtonoticeisthatwhenαequals0.5,DERhasthesamedefinitionasbiterrorrate (BER) for OOK demodulation. Thus our energy detector could also be used as OOKdemodulatorforanultra‐lowpowerreceiver.Figure 3.11 plots the detection error rate versus decision threshold for different SWNRwith fixed noise bandwidth and fixed integration time. Obviously, for each SWNR, therealways exists a different optimum β to achieve the minimum DER and when SWNR isincreased,theoptimumβshiftstoalargervaluewhichhelpstoreducetheprobabilityoffalsealarmandyieldsalowerDER.Inourdesign,foradesiredsettingofnoisebandwidthandintegrationtime,weonlychooseafixedβlocatedattheoptimumpoint(asshownintheredstraightline)wherewithSWNRMIN,itcanachieveaDERlessthan10‐3.ThusforanySWNRlargerthanSWNRMIN,abetterDERcanalwaysbeguaranteed.

    Figure 3.11 Detection Error Rate Vs Decision Threshold Factor β for

    Various SWNR with 30MHz noise bandwidth and 10us integration time

  • 33

    Figure 3.12 Detection Error Rate Vs SWNR for Various Integration Time

    with Fixed Decision Threshold Factor β = 1.2 and 30MHz noise bandwidth

    Figure 3.13 Minimum Required SWNR Vs Noise Bandwidth for 0.1% DER

    with 20us Integration Time and Optimum Decision Threshold

  • 34

    IntegrationTimeFigure3.12plotsthedetectionerrorrateversusSWNRfordifferentintegrationtimewithafixed decision threshold. It demonstrates the effect of integration time that the systemperformance can always be improvedby increasing the timeof integration, yet this alsoleadstoanincreaseinwake‐uplatency.Forexample,theminimumrequiredSWNRfor10‐3DER can be reduced by more than 2dB when increasing integration time from 10us to100us.Thispresentsatradeoffbetweenachievablesensitivityandsystemlatency.AnothernoticeablethingisthatwhenSWNRincreasestoacertainlevel,DERsaturatesandcouldnolongerbe improved.This isbecausethedecisionthreshold is fixedandtheprobabilityoffalsealarmstartstodominateDERwithhigherSWNR.NoiseBandwidthFromthedefinitionofPm(3.27)andPf(3.28),wecanfigureoutthatthenoisebandwidthhasthesameeffectastheintegrationtime.Thismeansthatthelargerthenoisebandwidth,thelowertherequiredSWNRMINtoachieveacertainDERasshowninfigure3.13.Yetthisdoesnotimplythatbyincreasingthenoisebandwidthabettersensitivitycanbeachieveasthe sensitivity needs to take noise power into account. When the noise bandwidth isincreased,althoughSWNRMIN isreduced, thenoisepower is increasedmuchfasterwhichleadstoanevenworseperformance.Figure3.13actuallydemonstratestheeffectivenessofwidebandnoisereductionbyperforming“noiseaveraging”.Forexample,for30MHznoisebandwidth,with20us integration time, itonly requiresaSWNRof ‐4dB toachieve0.1%DER.Comparedwiththeoretical11dBSNRfornon‐coherentenergydetection[Proakis01],our proposed strategy helps to remove more than 15dB excess noise power, thus itimproves the sensitivity performance substantially. However when noise bandwidthdecreases, “noise averaging” becomes less effective in noise reduction because moreportion of noise is correlatedwith desired signal and could not be removed by averagefunction. Especially, when noise bandwidth is close to data bandwidth (1/T), SWNRMINreachesitstheoreticalvalueand“noiseaveraging”isnolongeruseful.ReceiverSensitivityCombining(3.2),(3.27),(3.28)andpreviouslydiscusseddesignparametertogether,figure3.14 shows the simulation result for receiver sensitivityversusnoisebandwidthwithanestimated13dBfrontendnoisefigure.ConsideringIFbandwidthof30MHztoprovideanadequatelevelofprecisionforalowpowerringoscillator,thereceivercouldachievebetterthan‐91.2dBmsensitivitywith20usintegrationtime(onebitperiod)andsensitivitycouldbeimprovedtoaround‐96dBmwith180usintegrationtime(ninebitsperiod).Onecouldalso reduce the noise bandwidth to get better performance, yet this requires a moreprecisely controlled ring oscillator that may lead to a high power budget. This result

  • 35

    presents a tradeoff among achievable receiver sensitivity, latency (integration time) andpowerconsumption.

    3.2.3 PerformanceBoundary

    It has been observed that by either increasing integration time T or decreasing noisebandwidthB,thesensitivityoftheproposedenergydetectionreceivercanbeimproved.Inthis section, we will prove this observation theoretically and quantify the performanceimprovementintermsofvariousdesignparameters.Firstly, recall the definition of false alarm rate from (3.28), Pf is related to chi‐squareddistributionwithndegreeoffreedom( )wheren=2BT.LetusdefinerandomvariablesYiwhichfollowschi‐squareddistributionwithonedegreeoffreedom( )

    Y ~χ i 1, 2, …… , n 3.30

    Y ~χ 3.31

    Accordingtothecentrallimittheorems[Billingsley95]

    Figure 3.14 Receiver Sensitivity@ 0.1% DER Vs Noise Bandwidth for Various Integration Time

  • 36

    Y → N n ∙ E Y , n ∙ Var Y n → ∞ 3.32

    where N(µ, σ2) represents normal distribution, E(Yi) and Var(Yi) represent mean andvarianceofrandomvariableYirespectively.Usingthefactthat

    E χ 1,Var χ 2 3.33 Yields

    χ → N n, 2n n → ∞ 3.34 Therefore

    P 1 F 2BT ∙ β Q n ∙ β n√2n n → ∞ 3.35

    whereQ ∙ istheQfunctionofstandardnormaldistribution.Next, recall thedefinitionofmissdetectionrate from(3.27),Pm is related tonon‐centralchi‐squareddistributionwithndegreeoffreedomandanon‐centralparameterofs( ,2 )wheren=2BT,s=2BT∙SWNR,similartopreviousdiscussion

    χ → N n s, 2 n 2s → ∞ 3.36 Therefore

    P F , ∙ 2BT ∙ β Q n 1 SWNR β2n 1 2 ∙ SWNR n → ∞ 3.37

    ConsiderbothofPmandPfsmallerthan10‐3andusethefactthatQ 3.1 10 3.38

    Yieldsn ∙ β n√2n 3.1 3.39

  • 37

    n 1 SWNR β2n 1 2 ∙ SWNR 3.1 3.40

    Combining(3.39)and(3.40)togethertocancelβ

    SWNR 6.2√2n 4 ∙ 3.1n 6.2√2√n n 2BT → ∞ 3.41

    Usingsensitivityequation(3.2)andminimumSWNR(3.41)Sens 166dBm NF 5 log B 5 log T 3.42

    (3.42) illustrates the relation among receiver sensitivity, frontend noise figure, noisebandwidth and integration time. With 13dB NFFE, 30MHz noise bandwidth and 20usintegration time, the receiver is estimated to achieve a sensitivity of ‐92dBm which isprettyclosetothesimulationresults.Thesensitivitycanbefurtherimprovedby5dBwhenincreasingintegrationtimeordecreasingnoisebandwidthby10timesandthisleadstoatradeoffamongachievablesensitivity,systemlatencyandpowerconsumption.

    3.3 CircuitDesign

    This section presents the detailed design of receiver circuitry shown in figure 3.6. Forpowerconsiderations,theentirereceiverisoptimizedforsub‐thresholdoperationfromasingle0.5Vsupply.

    3.3.1 InputMatchingNetwork

    The inputmatchingnetworkmainly serves twopurposes. First, itmust provide a stableimpedance match to the 50 ohm input source within the operation frequency range.Second,thenetworkshouldalsoprovideanarrowRFfiltertorejectout‐of‐bandnoiseandinterfering signals. Instead of using on chip LC elements in matching network, in thisresearchwetakeadvantageofmatureMEMStechnology,utilizingtheFilmBulkAcousticResonator(FBAR)manufacturedbyAvagoTechnologies.Fromafilteringperspective,thehigh quality factor of FBAR is attractive. Compared to the quality factor of an on‐chipinductor, usually less than 20, the quality factor of FBAR resonator could bemore thanseveralthousandwhichmakesthebandwidthofRFfrontendfilteronlyafewMHz.Fromamatchingperspective,thematchingnetworktransformsthe50ohmsourceimpedancetothehighparallelimpedanceofFBARresonatorwhichalsoservesastheinputimpedanceof

  • 38

    the next stage. As noise figure of circuitry is typically reverse proportional to inputimpedance [Cook05], thehighparallel impedanceofFBARresonatorhelps to reduce therequiredcurrentconsumptiontoachieveacertainnoiseperformance.3.3.1.1 FBARCharacteristics

    Figure3.15depictsthecircuitschematicequivalentmodelofaFBARresonator.Themodelcontains a series resonant branch includingmotional resistance Rm, inductance Lm andcapacitanceCmanda largeshuntcapacitanceCo.Table3.1givessome typicalvalues forthemodelparameters.Theexamplemodelparametersarefora920MHzFBARresonator.Figure3.16plotstheimpedanceoftheexampleresonatorversusfrequency.Thoughmostofthefrequencyrange,theresponseisdeterminedbytheresponseofshuntcapacitorCo.However when frequency increases, the series resonance first occurs at a frequency fswhichisdeterminedbyLmandCmandtheimpedancereachesitsminimumvalueZs.Soonaftertheseriesresonance,theseriesbranchperformsinductivelyandresonateswiththeshunt capacitor Cowhich provides the parallel resonance fp and impedance reaches itspeakvalueZp.Iftheresonatorisusedinseriesresonanceasashortcircuit,Costillallowssignaltofeedthroughawayfromresonance.Forthisreason,ifonlyasingleresonatoristobe used, it is better to use the parallel resonant mode to build a filter. More detailedexpressionsforfs,fp,Zs,Zparederivedinfollowing.

    Figure3.15FBARResonatorCircuitEquivalentModel

    Table3.1TypicalParameterValuesfora920MHzFBARResonator

    ModelParameter ValueRm 3ohmLm 947.78nHCm 32.25fFCo 1.98pRcap 0.8ohm

  • 39

    Figure3.16ImpedanceResponseofaFBARResonator

    SeriesResonance:

    f 12π L C 3.43

    Q ω LR 3.44

    Z R // 1sC R R 3.45

    ParallelResonance:

    f 12π L C CC C

    f 1 CC 3.46

  • 40

    Table3.2PerformanceSummaryofa920MHzFBARResonator

    ModelParameter Valuefs 910.3MHzQs 1807Rs ~3ohmfp 917.68MHzQp 1438Rp ~2019ohm

    Figure3.17ImpedanceResponseofFBARresonatorwithDifferentShuntCapacitance

    Q ω LR R 3.47

    Z R sL 1sC //1sC R

    1ω C R R 3.48

  • 41

    Basedonthe(3.43)~(3.48),table3.2summarizestheperformanceoftheexampleFBARresonator.TheaboveanalysisalsoshowsthatvaryingtheshuntcapacitanceCohasalargeeffectontheparallelresonanceandparallelimpedanceoftheresonatorwhileleavesseriesresonanceunchanged[Otis02].ThisphenomenonisimportantaswhenintegratingaFBARresonatorwith an IC chip, the parasitic capacitance due to the extra circuitry orwiringparasiticisquitelargeandcanbecombinedwithCo.Figure3.17illustratesthiseffectbyshunting the resonator with an additional parasitic capacitance Cp in parallel with Co,varyingfrom0pto3p.ItshowsthatRpdecreasesquicklywithanincreaseofCp.Therefore,whentheFBARresonatorisusedinparallelresonantmodewherehighRpisdesirable,itisverycriticaltominimizetheextraparasiticcapacitance.

    3.3.1.2 CapacitiveTransformer

    There are variousways to buildmatching network amongwhich capacitive transformerseemsattractivesince theFBARresonator itself alreadyprovides inductance to resonatewiththecapacitivenetwork,noextra‐largeandlossyinductorisneeded.Figure3.18showsthe schematic of a capacitive transformer. From [Lee04], the admittance of network isderivedas

    Y jωC ω R C CjωR C C 1 3.49

    Withrealpart

    G ω R Cω R C C 1 3.50

    Figure3.18SchematicofCapacitiveTransformer

  • 42

    C2 (pF) Figure3.19EquivalentCapacitancefromCapacitiveTransformerNetworkwithC1=1pF,f=915M

    Andimaginarypart

    B ωC ω R C C C Cω R C C 1 ωCω R C C C 1ω R C C 1 3.51

    ForagivenC1value,theimaginarypartofthenetworkadmittancewillhavelargervalueifC2iszeroorinfinite,withsomewhatsmallervalueatintermediatevaluesofC2.Figure3.19plotstheequivalentcapacitancefromnetworkadmittancewithfixedvalueofC1whileC2varyingfrom0to10pF.Notethatthemaximumdeviationis lessthan7%.Therefore,theimaginarypartofadmittanceismainlydominatedbyvalueofC1whichcouldbecombinedwithFBARshuntcapacitance todetermineparallel resonance.This isamajorbenefit forthisnetwork.Figure 3.20 shows a complete schematic of input matching network. A more complexresonatormodel includingparasiticeffectsis included.Intheprototype,theFBARchipissimplyplacedadjacenttotheCMOSchipandwire‐bondeddirectlytopadsoftheCMOSdie.In this configuration, the pad capacitance Cpad ismodeled as 100 fF and thewire bonds(Lbond)canbemodeledwithabout500pHofinductance.Thequalityfactorofthesebondsisquitehighduetotheshortlengthandlowloss,soaQof30isassumedfordesign.The

  • 43

    Off Chip

    Figure3.20CompleteschematicofFBARresonateinputmatchingnetworkwithparasitics

  • 44

    input capacitance of the following mixer stage Cmixer can then be absorbed with theresonator shunt capacitance Co. As Co is about 2pF, the relatively small Cmixer has littleinfluenceonnetworkresponseandcanbeneglected.Transformer capacitanceC1andC2are built with Metal‐ oxide ‐metal (MOM) capacitors. Since they connect to an off‐chipantenna or RF input source, an extra capacitor Cpar is used to model the substantialparasiticcapacitancearisingfromchipandprintedcircuitboard(PCB)padsandtraces.CparappearsinparallelwithC2andmayrangefrom500fFto1.5pF.For matching purpose, the impedance of capacitive transform network at resonantfrequencyneedstomatchtheparallelimpedanceofFBARresonator.Onemajordrawbackof thismethod is that it degrades the noise figure by 3dB. Combining (3.48) and (3.50)togother

    R ω R C C 1ω R C R1

    ω C R R 3.52

    ω 2πf 1 CC 3.53

    Frequency (MHz) Figure3.21SimulatedS11andvoltagegain

  • 45

    whereCTisthetotalcapacitanceinshuntwiththeresonatorC C C C C WiththetypicalvalueofFBARresonatormodelandestimatedparasitics,C1isdesignedtobe 1.1pF and C2 is designed to be 1.5pFwith 4 bits switched capacitor tuning networkranging from 0~1pF. Any value of Cpar between 500fF and 1.5pF can then beaccommodated with the tunable C2. The simulated |S11| and voltage gain of matchingnetwork are plotted in figure 3.21, including all parasitics. It shows another benefit ofcapacitivetransformerthatitprovidesanadditional13.5dBpassivevoltagegain.

    3.3.2 PassiveMixer

    Aftermatchingnetwork,amixerdownconvertstheRFsignaltoIFband.Themixermustpresentrelativelyhigh impedance to thematchingnetwork toavoidreducing itsgain. Inthisdesign,apassivemixer is implementeddue to its lowpowerconsumption.Asingle‐balanced topology is chosen rather than a double‐balanced one as it burns less drivingpower,besidesitisabletoachievepositivevoltageconversiongaininsteadofloss.Detailedanalysiswillbederivedinthefollowingsection.Figure3.22showstheschematicofsinglebalancedpassivemixeranditsequivalentcircuitmodelisshowninfigure3.23.TheNMOSswitchesinthepassivemixeraredrivenfromaringoscillator.Thedrivensignalisapproximatelysinusoidal.AstheconductanceofNMOSin triode region is linearwithVgs, the resulting time‐variant switching conductance g(t)resemblesarectifiedsinewave.HoweverwhenLOmagnitudeislargeenough,g(t)canbetreatedassquarewavewithturnontime∆T[Zhou05]asshowninfigure3.24.

    ∆T g t dtg 3.54

    With rail‐to‐railLOmagnitude, variationof∆T is realized simplybyvarying theDC levelVbiasofthedrivingwaveform.Theconversiongainofthepassivemixercanbederivedbyconsidering sampling a sinusoid that is perfectly in‐phase with switch conductancewaveform g(t) [Cook06]. The output voltage is simply the average of the input voltagewhiletheswitchisconducting.Theconversiongainisexpressedbelow:

    G2 cos 2πft dt

    ∆∆

    ∆T2sin π∆TT

    π∆TT 3.55

  • 46

    Figure3.22Schematicofsinglebalancedpassivemixer

    VRF

    RS

    RSW RSW

    2CL 2CL

    VIF VRF

    RS

    RSW

    2CL

    VIF2

    LOLO LO

    g(t)

    Figure3.23Equivalentcircuitmodelforsingle‐balancedpassivemixer

    Figure3.24Switchingconductancewaveform

  • 47

    Figure3.25Conversiongainofasinglebalancedpassivemixerwithdifferent∆T/T

    Figure3.25plots theconversiongainofasinglebalancedmixerwithdifferentswitchonratio∆T/T.Forverysmall∆T,theconversiongainishigh,approaching6dBanddecreasesmonotonicallywithanincreaseof∆T.When∆T=½T,gainreaches2.1dB.Theconversiongain of a single‐balance passivemixer is positive as it is defined in voltage domain notpower domain, thus it does not violate the basic physical rule. Note that the loadingcapacitanceCLisnotrelatedtotheconversiongain.ItdefinesthebandwidthattheoutputofmixerwhichneedstobewiderthantheinterestedIFbandfrequency.Themixeralsohasgainattheharmonicsoftheswitchingfrequency.Theconversiongainforthenthharmonicis

    G ,2sin nπ∆TT

    nπ∆TT 3.56

    Therefore,allnLOharmonicscandumptheRFthermalnoisetotheoutput.AssumingthattheRFthermalnoisesourceiswhiteinthenthharmonicband,thetotalmixeroutputnoisepoweristheinfinitesummationofthenoiseatharmonicsweightedbytheconversiongainateachharmonic.ThenoiseofswitchresistanceRSWisinverselyproportionaltogmax.

  • 48

    T/T

    Noi

    se F

    igur

    e (d

    B)

    Figure3.26Noisefigureofasinglebalancedpassivemixerwithdifferent∆T/Tand

    v ,∆f 4KT R

    1g

    2sin nπ∆TTnπ∆TT

    3.57

    Giventhesignalconversiongain(3.55),totaloutputnoise(3.57),thenoisefactorofasinglebalancedpassivemixerfollows

    F 1 1R g 2 2sin nπ∆TTnsin π∆TT

    3.58

    Figure 3.26 plots the noise figure of a single balanced mixer with different switch onratio∆T/Tassuming equals1,2,4,8respectively.Thenoisefigureincludesupto5thorderharmonics.Itshowsthatwhen∆T/Tismuchlessthan0.3,thenoisefigureishighdue to lowaverageswitchconductanceandplentyofLOharmonics.When∆T/T ismuchlarger than0.6, thenoise figure increasesdue to lessmixing function. It also shows thatwith an increase of switch conductance, the noise figure canbe improved.However thisrequireslargersizeofswitcheswhichleadstoanextrapowerconsumptiononLOdriving.

  • 49

    The input impedance the mixer also needs to be considered so as not to overload thepreviousmatchingnetworkstagetoreduceitsgain.AstheloadingcapacitanceCLisprettylargewhichmakestheoutputbandwidthofthemixermuchsmallerthantheRFfrequency.One can assume the mixer output voltage holds a quasi‐static value during a singleconductionperiod.Thus,themixeroutputcapacitorcouldbemodeledasanidealvoltagesourceforthiscalculationwithaDCvalueequaltotheaverageoftheinputvoltageduringthesamplingperiod.[Cook06]Sincethiscircuitmodelcontainsnoimaginarycomponents,the resulting input impedance is real. The input impedance Rin can be derived bycalculating the total energy transferred during one complete period. With sourceimpedanceRs,theenergydeliveredfromthesourcewouldbe:

    E VR R cos2πT t dt

    V T2 R R 3.59

    Usingtheassumptionsdescribedintheaboveparagraph,theactualenergydeliveredfromthe source to the mixer is shown below. Note that this calculation must consider theaverageofbothin‐phaseandorthogonal inputsbecausetheenergydelivered,evenwhenthenormalizedbytheperiod,isdependentonthephaserelationshipoftheinputsignaltothemixingfunction.

    E VR 1g

    cos 2πT tsin π∆TTπ∆TT

    sin 2πT t dt∆

    V ∆TR 1g

    1 sinπ∆TT

    π∆TT

    3.60

    Therefore,setting(3.59)to(3.60),theresultofinputimpedanceRinisshownbelow.

    RR 1 1g R

    ∆TT 1

    sin π∆TTπ∆TT

    R 3.61

  • 50

    Figure3.27Inputimpedanceofasinglebalancedmixerwithdifferent∆T/T

    Figure3.27plotstheinputimpedanceRinofasinglebalancedmixernormalizedtosourceimpedance Rs. A smaller ratio of∆T/T should be picked to prevent the mixer takingsignificant effect on thematching network. Hence, taking voltage conversion gain, noisefigure and input impedance into account,∆T/T is designed to be around 0.4. The singlebalancedmixerhasasimulatedconversiongainof3dBandnoisefigureof7.5dB.

    3.3.3 CurrentStarvedOscillator

    TheLOgenerationisimplementedwithasimple3stageringoscillatorutilizingthecurrentstarved architecture as shown in figure 3.28. Its operation is similar to a typical ringoscillator.MOSFETsM1andM2operateasaninverter,whileMOSFETsM3andM4operateas current source. The current source M3 and M4 limit the current available to thetransistorM1andM2. Inotherwords, the inverter is starved for current.The current istunedbyanoff‐chip resistorDACandmirrored to each stage throughMOSFETsM5,M6andM7.Thefrequencyoftheringoscillatorcanbederivedas:

    ∝ ∝ 3.62

  • 51

    Figure3.28Schematicofcurrentstarvedringoscillator

    Figure3.29Schematicofdifferentialoscillator

    whereNisthenumberofstages,CeffisthetotalcapacitanceonthedrainofM1andM2.Itshowsthatthefrequencyoftheoscillatorcanbeturnedlinearlywithbiascurrent.As the single balanced mixer needs two phase of LO, two 3 stage current starved ringoscillatorsareimplementedwithsecondandthirdstagecross‐coupledwitheachothertoalignthephaseasshowninfigure3.29.ThefollowingscaledinverterchainservesasbuffertodrivethemixerLOport.Lowthresholddevicesareusedtoensuresufficientspeedwith0.5Vsupply.Allcoredevicesaresizedwithminimumsizetoreducetheeffective loadingcapacitancesoastominimizethetotalpowerconsumption.With5bitsresistorDACwhichisasimpleswitchedresistornetwork,thefrequencyofoscillatorcanbecalibratedwithin30MHzofdesignedfrequency.Toautocalibrateprocess,voltagesupplyandtemperature

  • 52

    (PVT)variation,anultra‐lowpowerphase lock loop(PLL)couldbe included[Abe14]. Itsreferenceclockissuppliedbya32.77kHzcrystaloscillatorthatcanworkwithlowerthan1µW.Toachieveultra‐lowpowerconsumption, it sacrifices itsphasenoiseperformancewhichcanbetoleratedwithinourproposedarchitecture.ThePLLincludingthereferenceclock consumes only 23.8 µW at 925.4MHz, achieving phase noise of ‐66.69 dBc/Hz at1MHzoffset.

    3.3.4 IFAmplifiers

    As specified in architecturedesign, the IF stages shouldprovide sufficient gain such thatthe intrinsic characteristic of envelope detection will not degrade the sensitivityperformance. Also the gain must be provided across a wide bandwidth due to theinaccuracy of ring oscillator. These requirements are fulfilled by cascading threedifferential amplification stages with each differential pair biased in the sub‐thresholdregimeforhightrans‐conductanceefficiency(gm/Id).ThefirststageservesasanIFLNAandburnsmostofthepowerbudget.Asshowninfigure3.30,itutilizesapseudo‐differentialpairwithcurrentreusetopologytominimizethenoisefigureforagivencurrent.ThePMOSandNMOSdevicesaresizedtoachievesimilargmsothat at very low frequencywhere the input signal only appears at the NMOS gates andthese devices drive diode connected PMOS loads, the amplifier provides approximatelyunitygaintomitigateaccumulatedoffsetvoltage.ThecombinationofCandMOSresistorM5/M6createsahigh‐passfilter,passingtheinputsignaltothegatesofthePMOSdevices.Thus,thecurrentrequiredtomeetnoiseconstraintsisreducedbecauseamplifierutili