top down e botton up.pdf

54
FABRICATION METHODS Manufacturing takes place in very large facilities. lf you want to build a computei chip, you need a giant semiconductor fabrication facility. But nature can grow complex molecular machines using nothing more than a planto RALPH MERKLE

Upload: ross-jones

Post on 17-Dec-2015

264 views

Category:

Documents


0 download

TRANSCRIPT

  • FABRICATION METHODS

    Manufacturing takes place in very large facilities. lf you want to build a computeichip, you need a giant semiconductor fabrication facility. But nature can growcomplex molecular machines using nothing more than a planto

    RALPH MERKLE

  • 178

    time and time again later in the texto The physicsdivision of the text-chapter 5 through chapter 8-engages the study of nanomaterial properties andphenomena.

    Please take note that the fabrication methodslisted in this chapter are but a few of the multi-tude that actually exist. We have tried to catego-rize in a generic sense the major forms and triedto illustrate the processes with commonly prac-ticed fabrication techniques. Much can be learnedabout nanomaterials by understanding how theyare made.

    Introduction to Nanoscience and Nanotechnology

    Characterization methods have been presented,addressed, and discussed, albeit without providingsignificam detail. The catalog nature of chapter 3 isdeliberately extended into this chapter, which isthe last chapter in the "Nanotools" division of thetext ..Because reference is made continually to vari-ous kinds of fabrication techniques throughout thetext, it is prudent to place introductory materialconcerning fabrication early in the book. In this way,the student should be able to establish a levei ofcomfort with, perspective on. and understandingof fabrication methods when the subjects emerge

    THREADS

    4.0 FABRICATION OF NANOMATERIALSThere is nothing more gratifying, arguably, than holding in one's hand the physicalmanifestation of an idea, concept, or theory. The link between the idea, concept, ortheory and its physical form is the process of fabrication. The fabrication processbegins in a laboratory with atomistic simulations, experiments, mock-ups, andprototypes. Eventually, after a battery of testing, the physical embodiment of theidea. concept, theory, simulation, mock-up, and prototype makes it way into amanufacturing facility. We have already listed several characterization methods. Itis now time to discuss the fabrication of nanomaterials.

    Nanomaterials are made by two generalized processes: top down (e.g., sub-traction from bulk starting materiais] or bottom up (e.g., addition of atomic ormolecular starting materiaIs). Each scheme has a unique set of advantages anddisadvantages. We recommend that you make a checklist of the advantages, dis-advantages, limitations, and issues confronting each method as we discuss themthrough the course of this chapter.

    We also add a brief section on molecular modeling, which is a fabricationtoo1. It is part of the design processo Molecular modeling has become one of themost powerful tools in nanoscale research, developrnent, and material designoThere exists a perfect fit between simulation and nanomaterials since atoms andmolecules in nan~scale materiaIs are finite and countable, and computer capa-bility in this day and age is stilllimited with regard to capacity. Depending onthe quality of input parameters, molecular simulation is able to generate anaccurate rendition of nanoscale material behavior. Low-energy states, structure,dynamical behavior, chemical reactions, fluxes and flows, and more have beenmodeled with some form of atomistic-molecular simulation.

    4.0.1 BackgroundLike anything else that we present in this text, boundaries are drawn for thesake of convenience and clarity, although sharp ones are not always possible.

  • Pabrication Methods 179

    Boundaries defining fabrication methods are no different; however, weproceed unabated and present the first bifurcation in the road. Like the greatbaseball player Yogi Berra said, "When you come to a fork in the road, take it."

    There are two generic strategies for nanomaterial fabrication: top down andbottom up. Top-down fabrication methods begin with bulk materials (top) thatare subsequently reduced into nanoparticles (down) by way of physical, chemical,or mechanical processes. Bottom-up methods, on the other hand, begin with

    r atoms and molecules (bottom). These atoms or molecules react under chemicalor physical circumstances to form nanomaterials (up ). Growth proceeds in zero,one, or two dimensions to form dots, wires, or thin films, respectively. There aretwo generic types of bottom-up procedures: In the first, nanomaterials retainsome level of structural and functional independence; in the second, nanoma-terials become identical components of a bulk material. An example of theformer is an array of gold quantum dots in an electronic device. Examples of thelatter case include bulk metals formed from nanocrystallites and the structure ofbone tissue.

    :i There is, of course, further blurring of boundaries. Two general kinds ofoverlap, and possibly a third kind, occur between the two types (bottom up andtop down) of fabrication strategies. In one case, a technique may be designatedas top down but its microscopic mechanism suggests otherwise. The bestexample of this is the formation of carbon nanotubes by laser ablation. Thestarting material is a target made of compacted graphite and catalyst particles-certainly considered to be a bulk material in a compacted formo However, carbonnanotubes form from atoms and molecules via a catalytic process-definitelyfrom the bottom up. Bismuth metal, obtained in bulk form, is melted andsubsequently evaporated into atoms that deposit on the surface of a templatematerial. Evaporation of a melted metal source to produce atoms (and perhapsnanoclusters) is a top-down procedure, but the formation of the thin layer ofbismuth from those evaporated atoms is certainly from the bottom up.

    In the second case, a manufacturing process may consist ofboth top-down andbottom-up methods. During the course of the fabrication of a computer chip,application of a photoresist material by a process called spin-coating is top down(from a bulk liquid phase). Photolithography is top down; chemical etching ofthe photoresist or the silicon substrate to reveal features is top down, but chemicalderivatization to form a monolayer comprising different materials is bottom up.

    Hybrid fabrication technology is a combination of distinct top-down andbottom-up mechanisms that occur simultaneously. This category of fabricationis exclusive to the nanoscale, where top-down and bottom-up techniques con-verge at the 30-nm size scale [1]. At the 3-nm scale, even hybrid technologieswill be challenged by supramolecular and molecular technology that in tummay give way to atomic and nuclear technologies at the subnanometer scale-the realm of the single atom, single electron, single spin, and single photon [1].These developments will require that we redefine top-down, bottom-up, andhybrid fabrication technologies. In the final analysis, it matters not whichdesignation is assigned to a specific procedure, but for the sake of pedagogicalpurposes, we will continue to explore many types of fabrication methods andlabel them as one or the other or both.

    Nanofabrication methods, just like characterization methods, have a longhistory. Fabrication and synthesis processes are the descendents of well-developed

  • 180 lntroduction to Nanoscience and Nanotechnology

    chemical and physical techniques developed over millennia. Engineers tend tomanufacture components from the top down and then assemble them to makea device. Chernists. on the other hand, have always made materiais by reactingatoms and molecules to form chemicals in bulk quantities-from the bottom-up.Chemical synthesis is by definition a bottom-up processo With regard to thebiological processes, ali structures are formed from the bottom up. Are you ableto think of any exceptions to this mie?

    The convergent nature of nanotechnology is well represented by fabricationmethods. Engineers, physicists, chernists, and biologists respectively bring top-down, top-down, bottorn-up, and bottom-up methods to the same table. Thefuture of fabrication will require more cooperation between and among thedisciplines, and the design parameters of future nanofabs must include such for-ward thinking in arder to accommodate diversity and to enhance interactionamong ali the participants.

    It is not practical to build an automobile engine from the bottom up and,conversely, it is not practical to synthesize aspirin from the top down, However,in nanotechnology, similar structures can be built from either fabricationperspective [2]. Features on a silicon wafer can be produced by a standard top-bottom procedure called lithography (bulk wafer ~ application of a photoresistlayer ~ mask-UV exposure ~ etch) ar by a bottom-up procedure (bulk wafer ~polymer or seed crystals ~ self-assembly) [2]. Once again, nanotechnology andnanoscience are changing the way we do things and fabrication methods are noexception.

    4.0.2 Types ofTop-Down Fabrication MethodsWe begin our catalog of fabrication methods with top-down methods. Physicalfabrication techniques are considered to be mostly from the top down. Top-downmethods are extremely diverse. Nanomaterials are farmed frorn the top downby mechanical-energy, high-energy, thermal, chemical, lithographic, and naturalmethods.

    Top-Down Mechanical-Energy Pabrication Methods. Cutting, rolling, beating,machining, compaction, millng, and atomization comprise a few examples ofmechanical methods used to produce nanomaterials from the bulk. A mechanicalmethod employs a physical process that does not involve chemical change-accarding to the traditional definition of chemical change (a reaction). Beatingmetais into a thin film is an ancient mechanical procedure used by the Egyptiansand other pre-Hellenistic cultures to make swords, spear tips, and ornamentalcoatings. Mechanical energy methods such as ball milling operate on the principieof mechanical attrition. Kinetic energy, translated by hard, high-speed pellets, isimparted to samples by collision and friction. Samples are ground into finepowders by this method. An overview of mechanical top-down methods is shownin Table 4.1.

    Top-Down Thermal Pabrication Methods. In the purest sense, a thermal fabri-cation method employs a physical process (heating) that does not initiatea chernical change in the sample-according to the traditional definitionof chemical change (a reaction). Once again, it has proven difficult to place

  • Pabrication Methods 181

    TABLE 4.1 'Iop-Doum Mechanical-Energy Pabrication Methods

    CommentsProduction of nanoparticles by mechanical attrition to produce grain size

  • 182

    TABLE 4.2MethodAnnealing

    Chill block meltspinningElectrohydrodynamicatomization (EHOA)

    Electrospinning

    Liquid dynamicompaction (LJlC)Gasatam ization

    Evaporation

    Electrospinning

    Extrusion

    Template synthesis +evaporation

    Sublimation

    Thermolysis;pyrolysis

    Combustion

    Carbonization ofcopolymers

    Introduction to Nanoscience and Nanotechnology

    Top-Dtrum. Thetml Fabticaton Methods

    CommentsThere are two applications of annealing: (1) anneal of bulk materiais to form nanocrystallites,and (2) transformation of nanomaterials into another physical phase [6].Microphase separation to form nanoscopic structures occurs in copolymer bulk materiaisupon application of thermal anneal above the glass transition temperature.Metal is melted with RF coil and forced through nozzle on rotating drum, where it solidifies;strips formed with nanostructure [7].Production of monodisperse droplets; melt or liquid materiais at nozzle with electric fieldbetween nozzle and surface: cone ~ thin jet ~ droplets

    EDHA + pyrolysis to produce 10-nm Pt nanoparticles [8]A high voltage is applied to a polymer melt solution to induce charging. Polymer solutions atroom temperature are also used routinely. At an acquired threshold, an electrospun fluid jetemerges from a needle tip to form a Taylor cone. The substrate, held at a lower potential, iscovered by the charged polymeric solutionMolten stream of metal is atomized by high-velocity pulses of an inert gas and thesemisolidified droplets are collected on a chilled, metallic substrate [9].Molten metal is subjected to high-velocity inert gas impact that forms metal droplets [7,10].Kinetic energy is transferred to metal, resulting in small droplets upon solidification to formpowders. Powders are then compacted to form high-strength bulk materiais.Evaporation of solid metal or other material samples to form thin films; usually performedunder high vacuum (10-6 torr). Heat is produced by electrical resistance. If nanoclusters areformed during the evaporation process, it is top down. If atoms or molecules are formedduring the evaporation process that recombine to form a thin layer without any chemicalreaction, it is a crossover technique.

    The process of electrospinning utilizes electricity to form thin layers of filaments from bulkpolymer, composite, or ceramic solutions; fibers with nanoscale diameter can be fabricated [11].

    Nanowires by extrusion of bismuth melt by pressure injection into porous template materialsuch as alumina [12]. Parallel Bi nanowires with diameter -l3 nmFormation of single-crystal Bi nanowires by a vapor-phase technique into porous aluminatemplate-7-nm Bi nanowires [13]; 400-500C with N2 trapo Only phase changes areinvolved in this processo

    The physical process of sublimation involves a phase change from a solid into gaseous formwithout a liquid intermediate phase. If nanoclusters are formed by this process, it isconsidered to be a top-down processo If atoms or molecules are formed first and thenagglomeration into nanoparticles occurs, it is considered to be a crossover technique inwhich both top-down and bottom-up processes occur nearly simultaneously. Sublimationdoes not involve a chemical change of the material.Oecomposition of bulk solids at high temperature (top-down). These terms are also appliedto the decomposition of molecules-nanomaterials are formed after decomposition in abottom-up way by agglomeration. Because of this crossover, it is hard to place pyrolysis/thermolysis into one category or the other. The most common sense of the terms impliesthat molecules are simply converted into other molecules. In this sense, pyrolysis andthermolysis are neither top-down-nor bottom-up methods. In such reactions (Iikedecomposition), chemical change does occur.

    Chemical combustion is a top-down process in which there is chemical coriverson of bulkorganic materiais + impurities into molecules like CO2, H20, and nanomaterials such as ashwith micron to submicron dimensions. The process of combustion involves oxygen.Spun fibers from polymethylmethacrylate (PMMA)--polyacrylonitrile (PAN) microspheres inPMMA matrix (top down? or bottom up?). Ternperature treatment at 900C removes PMMAand converts PAN into MWNTs [14]. Carbonization is another example of the difficultyencountered in cataloging such processes.

  • Pabrication Methods

    result in nanomaterials. Evaporation, a thermal method based on resistive heating,is considered to be a crossover technique in that a bulk material is convertedinto small partides (molecules or clusters)-a top-down process-that are thendeposited to form a nanomaterial (thin film)-a bottorn-up processo

    Top-Doum Chemical Pabrication Methods. If chemical transformations occurduring a fabrication process, we shall designate that process as a chemical fabri-cation method. AIthough fabrication (a.k.a. synthesis) methods that employchemical procedures rightfully reside within the domain of the bottom up. thereare several that can be considered to be top down. Combustion is an ambiguous

    TABLE 4.3MethodArc discharge

    Laser ablation

    Solar energyvaporizationRF sputtering

    lon milling

    Electron beamevaporation

    Reactive ionetching

    Pyrolysis

    CombustionHigh-energysonication

    Top-Doum High-Energy and Particle Fabrication Methods

    CommentsHigh-intensity electrical are discharge directed on a graphite target (anode) + catalyst to producesingle-walled carbon nanotubes that accumulate on the cathode

    Temperature -4000 K [15,16]High-intensity laser beam directed on a graphite target + catalyst to produce single-walled carbonnanotubes; sample warmed to 1200-1500C by lurnace, laser

    Sample is collected on water-cooled copper collector [17]. This process can be considered to be athermal and a high-energy method.Solar energy locused on graphite target + catalyst to produce single-walled carbon nanotubesTemperature -3000+K [18]lon bombardment 01 metal, oxide, or other material targets to lorm thin film coatingsUsually performed under moderate vacuum (10-3 torr). Atoms, molecules, and clusters are formedby this processo

    Argon ion plasma is used to subtract material from a surlace. The purpose is to clean surface orremove (thin) materiais for TEM. No change in the chemical nature of the sample happens duringthis processoThis is similar to evaporation in Table 4.2 but uses an electron beam source to heat material,Evaporated material condenses on target substrate. High vacuum is required. Thin-Iayerantireflection, scratch-resistant coatings are formed by this technique.Sensitive materiais are etched by reactive chemical species in charged plasma. Chemical change01 the etched material takes place during this processoThe etching process is guided bymaskant materiais.Pyrolysis can also be considered a high-energy method. Application 01 high-energy source likefire to bulk hydrocarbon materiais (Iike a steak) in the absence of oxygen creates polyaromatichydrocarbons (PAHs)-a top-down process (or if considering intermediates-for example,carbon atoms-it can be considered to be a bottom-up process).Pyrolysis 01 solid refractory nanoscale materiais like Si-C-N substrate to torrn nanotubes at1500-2200C is a crossover technique [19].Large-scale synthesis 01 multiwalled carbon nanotubes occurs in liame environments by burningcarbon sources such as methane, ethylene, or benzene.Combustion can be considered to be a high-energy, thermal, or chemical labrication method.Ultrasonication uses high-energy sound waves to make nanomaterials trem bulk materiais.The technique is also used to disperse carbon nanotubes in a suitable solvent. The dispersion01 bundles 01 nanotubes into individual tubes is top down. Probe tips are made 01 titanium,vanadium, and other metais and alloys. Micron- to nanosized residual tip metal is introduced intosolutions during the sonication processo

    183

  • chemical top-down method, depending on the starting material. The chemicalstructure of solid constituents is completely altered following a combustionprocesso Nanosized PAHs and fly ash are by-products of a top-down pyrolysisprocess, e.g.. the burning of coal.

    Chemical etching of solid substrates like a silicon wafer (masked or other-wise) is a top-down chemical method. Chemical etching processes, on the otherhand, adhere to a slightly different classification criterion-specifically, thatchemical alteration occurs only in the layers exposed to, and subsequentlyremoved from, the solid substrate. In other words, although nanofacets orporous structures are formed on or within the solid substrate, the chemicalstructure of the solid substrate remains intact. Only the surface is altered (passiv-ated, oxidized). The process of chemical alteration is only applicable to substratematerial removed during the etching process, e.g.. transformation of the solidinto a water-soluble oxide.

    Anodizing is a chemical etching process that involves electricity (e.g., electro-chemical etching). This process is a crossover technique and consists of fourparts.

    l. Metal is electrochemicalIy removed top down from the surface andreleased into solution in ionic forrn. AP+, during the anodizing ofaluminum metal. The cationic products of anodizing are not nano-materiais; they are ions.

    2. HexagonalIy distributed. monodisperse scalIoped structures [nano-facets) are formed on the surface of the aluminum ano de duringanodizing. The diameter and curvature of individual nanoscale scalIopsare dependent on the applied anodic voltage. This is a true example oftop-down fabrication. The other two parts of the ano dize equationare bottorn-up procedures.

    3. The reaction of metal cations with anions originating from the cathodereaction or with solution anions leads to the formation of nanoscalecolIoidal oxides that eventually form the porous layer (from the bottomup). Anionic species include oxides, hydroxides, and other negativelycharged species (phosphates, sulfates. oxalates, or chromates).

    4. The hexagonal porous anodic oxide layer is formed from the bottomup by the electrochemical reaction of AP+ cations with various oxideanions. The scalloped top-down metal surface structures direct thesize. orientation, and distribution of the bottorn-up pore channels.

    Overall, if we had to choose we should probably consider anodizing as a top-down fabrication processo Top-down chemical fabrication methods are listed inTable 4.4.

    184 Introduction to Nanoscience and Nanotechnology

    Top-Doum Lithographic Pabrication Methods. Many powerful top-down tech-niques involve some form of lithography. Lithographic techniques are whatmade the integrated circuit industry what it is today, and it continues to be the mostviable method to form nanostructures that actually has widespread applications.The history of lithography was presented briefly in chapter 1. Traditionally,electromagnetic sources ranging from the visible wavelengths are still the mostpopular-especially in MEMS and circuit fabrication. Ultraviolet and x-raysources are increasingly in demand as smaller features are required. Electron

  • 186

    TABLE 4.5MethodLIGA techniques

    Photolithography

    Immersionlithography

    Oeep ultravioletlithography(OUV)

    Extreme ultravioletlithography(EUVL)

    X-ray lithography(XRL)

    Electron beamlithography (EBL)

    Electron beamwriting (EBW)

    Electron beamprojectionlithography (EPL)

    Focused ion beamlithography(FIBL)

    Microcontactprinting methods

    Nano-imprintlithography (NIL)

    lntroduction to Nanoscience and Nanotechnology

    Top-Down Lithographic Fabrication Methods

    CommentsLIGA is a German acronym for "Lithographie Galvanoformung Abformung," a microlithographicmethod developed in the 1980s. It was one of the first major techniques to demonstrate thefabrication of high-aspect ratio structures. Beam sources include x-ray, ultraviolet, and reactiveion etching. MEMS devices are fabricated using LIGA techniques.Light is used to transfer patterns onto light-sensitive photoresist substrates. Photolithographyis primarily used in the manufacture of integrated circuits and MEMS devices. The wavelengthrange of opticallithography techniques ranges from the visible to the near ultraviolet-ca.300 nm. The resolution of photolithography techniques is -100 nm [20].

    Just like with immersion optical microscopy, resolution can be enhanced by 30-40% withapplication of a liquid medium between the aperture and the sample with higher refractiveindex. The medium needs to conform to the following criteria: (1) refractive index n 1, (2) lowoptical absorption at 193 nm ., (3) immersion fluid compatible with the photoresist and the lens,and (4) be noncontaminating.Resolution with deep ultraviolet with . = 248-193 nm, resulting in features on the order of 50 nm

    Short wavelength ultraviolet, . = 13.5 nm. EUVL resolution: -30 nm [20].The major problem with EUVL is that ali matter absorbs EUVand damage to substrates is verylikely. High vacuum is required and mask must be made of Mo-Si.

    X-rays are produced by synchrotron sources. XRL is capable of producing features down to10 nm. Problems include damage to substrate materiais.

    An electron beam source is used instead of light to generate patterns.Although e-beams can be generated below a few nanometers, the practical resolution isdetermined by the electron scattering of the photoresist material. Just like in SEM, electroninteraction volumes are generated during exposure.Line width

  • TABLE 4.5(CONTO.)MethodNanospherelithography(NSL)

    Scanning AFMnanostencil

    Scanning probenanolithographies

    2-Photonpolymerization

    MethodErosionEtchingHydrolysis

    Volcanic activity

    Forest andbrush fires

    Solar activityPressure andtemperatureBiologicaldecomposition

    Digestion

    Fabrication Methods

    Top-Down Lithographic Pabrication Methods

    CommentsNSL is used to fabricate nanometer-scale patterns. It is a straightforward economical process withhigh throughput and high resolution. It is difficult to categorize this technique as top down orbottom up. Micron-scale latex spheres are often used as the template material. The intersticesare nanoscale in size.NSL utilizes nanospherical materiais in close-packed configuration as a mask to aid in thefabrication of periodic particle arrays (PPAs). Polymer nanospheres (diameter

  • (4.1)

    188 lntroduction to Nanoscience and Nanotechnology

    Bottom-up fabrication techniques are divided into four general categories: (1)gaseous phase methods, (2) liquid phase methods, (3) solid phase methods,and (4) biological methods.

    Iust as with top-down methods, it is difficult to pigeonhole a technique intoa general category. Many bottom-up processes are characterized by tandemapplications of liquid and gaseous techniques onto solid substrates. There arethree generalized states of matter: gaseous, liquid, and solid. The distance dbetween molecules in a gas is proportional to

    where V is the volume and N is the number of molecules. For an ideal gas atstandard temperature and pressure (STP), V = 22.4 L and N = 'lI[N Avogadro'snumber, 6.022 x 1023. The distance between atoms or molecules, centerto center,in an ideal gas is equal to 3.34 nm.

    A liquid is a state of matter that has volume but not shape. Although theatoms and molecules in a liquid are compressed as tightly as a solid, the mole-cules in a liquid are free to move randomly and unfettered. The distance betweenmolecules or atoms in a solid is like that of a liquid, but random movement isseverely restricted due to structural factors. Solids, of course, constitute the mostcondensed form of matter.

    A technique is designated as gaseous, liquid, or solid if the process takes placein that appropriate medium or if the active constituent from which nanomaterialsare formed is a gas, liquid, or solid. Once again, some difficulty in nomendatureis encountered when more than one phase is present during synthesis, but from apractical point of view, such dassification is relatively straightforward.

    Bottom-Up Gas-Phase Pabrication Methods. Gases represent a highly dispersedphase of atoms and molecules. Some nanomaterials formed in the gas phase, likeclusters, remain in the gas phase. More commonly, gas-phase precursors interactwith a liquid- or a solid-phase material. lf one of the precursors of a nanomate-ria] originates from the gas phase or if the reaction takes place in the gas phase,we shall call it a bottom-up gas-phase fabrication method (Table 4.7).

    Nonbiological Bottom-Up Liquid-Phase Pabrication Methods. Bottorn-up liquidmethods are numerous and diverse (Table 4.8). The choice of solvent is anextremely important parameter in any liquid-based bottom-up fabricationmethod. The liquid medium can be hydrophilic or hydrophobic, ionic oranionic, or heterogeneous (e.g., for the purpose of phase transfer of productbetween two immiscible liquids). The new field of supramolecular chemistry isconducted entirely in liquid media. AlI bottom-up biological fabrication pro-cesses occur in liquid media. The liquid phase is also where most chemists feelat home, and it is also going to be one of the prime drivers of nanotechnology.Scale-up of liquid-phase fabrication methods is a relatively straightforward pro-cess and it is at the scale-up stage where the chemists turn over the reins of aprocess to the chemical engineers.

    Bottom-Up Lithographic Pabrication Methods. We add a special category forlithography once again, but this time featuring bottorn-up lithographic methods.

  • TABLE 4.7

    MethodChemical vapordeposition (CVO)

    Atomic layerdeposition (ALO)

    Combustion

    Thermolysis;pyrolysis

    Metal oxide (MOCVO)Organometallic vaporphase epitaxy(OMVPE)Molecular beamepitaxy (MBE)

    lon implantation

    Gas phasecondensation;thermolysis

    Solid templatesynthesis

    Fabrication Methods

    Bottom-Up Gas-Phase Pabrication Methods

    CommentsCVO involves the formation of nanomaterials from the gas phase, usually at elevatedtemperatures, onto a solid substrate or catalyst. Carbon nanotubes are formed by catalyticdecomposition of carbon feedstock gas in inert carrier gas at elevated temperature.

    Single-walled carbon nanotube production by CVD requires nanoscale Fe, Co, or Ni catalystplus Mo activator on high surface area support (alumina) at >650C. Methane gas serves asthe carbon source [26]. ALO is an incredibly precise sequential surface chemistry layer deposition method to formthin films on conductors, insulators, and ceramics. The layer formed by ALO conforms tosurface topography. Precursor materiais are kept separate until required. Atomic scalecontrol pinhole-free layers are formed.

    AI203 layers are generated from hydroxylated Si substrate + AI(CH3h(g), then H20 vapor isapplied to remove methyl groups. The process is repeated until a target thickness is attained ..Layer thickness: 1-500 nmThe formation of Si nanoparticles from the combustion of SiH4 (silane gas) and othersilicon-containing gases like hexamethyldisiloxane under low-oxygen conditions producesSi nanoparticles as small as 2 nm. AI203 and Ti02 can also be formed by combustion.Solid Si nanoparticles can also be formed by the thermal decomposition of silane gas in theabsence of oxygen. The bottom-up decomposition of ferrocene to form Fe nanoparticles isone of the best examples of a bottom-up gas-phase fabrication method.Chemical characteristics of precursor materiais utilize reactive gas-phase-organometalliccompounds that decompose to form nanometer-scale thin films or nanoparticles.H2 carrier gas, group III metal-organic compounds + group V hydrides 500-1500Cat 15- to 750-torr pressure are representative conditions under which MOCVO is performed.MBE is a thin film growth process conducted under high vacuum. A heated Knudsen cell oreffusion cell is used to introduce reactants by molecular beams. MBE ls able to deposit oneatomic layer per application.Examples include alternate layers of GaAs and AIGaAs with each layer of 1.13 nm in thicknessand InGaAs quantum dots [27]. The temperature used in MBE is commonly 750-1 050C inH2 carrier gas.

    This is a tough method to categorize. Nanovoids, for example, can be created by ionimplantation of Cu ions into silica and subsequent annealing [28]. It is bottom-up actionperformed on a bulk material. If the ions come from a bulk source, it has a bottom upcomponent. Once the ions are formed, ion implantation is bottom up.Formation of Fe nanoparticles by decomposition of ferrocene at 200C is an example ofgas-phase process to form nanoscale Fe.Formation of lithium nanoclusters by decomposition of LiN3 is another example [7].Temperature at decomposition depends on the material.Provides a solid template substrate for gas-phase deposition of materiais on the solidsubstrate. This is considered to be a mixed bottom-up system.Final nanomaterial size, shape, and orientation are predetermined by template parameters.

    Bottorn-up lithography methods are limited to a few kinds, based on templateprocesses or direct writing (Table 4.9).

    Bottom-Up Biological and Inorganic Pabrication Methods. Biological processesare overwhelmingly formed from the bottom up (Table 4.10). More detail isallotted to this topic in chapter 14.

    189

  • 190

    TABLE 4.8MethodMolecularself-assembly

    Supramolecularchemistry

    Nucleation andsol-gel processes

    Reduction of metalsalts

    Single-crystal growthElectrodepositionElectroplating

    Electroless deposition

    Anodizing

    Electrolysis in moltensalt solutions

    Solid templatesynthesis

    Liquid templatesynthesis

    Supercritical fluidexpansion

    lntroduction to Nanoscience and Nanotechnology

    Nonbiological Bottom-Up Liquid-Phase Pabrication Methods

    CommentsThis generic process is supported in liquid media. From some perspectives, supramolecularchemistry is a subset of molecular self-assembly. Almost ali molecular self-assembly takesplace in liquids. The liquid plays a major role in supporting intermolecular interactions andintermediate metastable species.

    Supramolecular chemistry, for reasons to be explained m chapter 11, is conducted in liquidmedia. Weak intermolecular forces are supported in liquids that allow many kinds ofintermolecular interactions to take place. Ali significant biological metabolic processes occurin a liquid rnedium.Precursor chemicals in a supersaturated state combine by self-assembly ar chemical reactionto form seed particles. Thermodynamics drives a nucleation process that forms nanoparticles.The nucleation process depends on prevailing conditions of pH, temperature, ionic strength,and time [5]. Due to van der Waals attractions, colloids are formed.Sol-gel methods are irreversible chemical reactions of homogeneous solutions that result in athree-dimensional polymer. Sol-gel methods yield nanostructured materiais of high purityand uniform nanostructures formed at low temperatures [5]. Negative replicas of colloidalhierarchical structures, upon drying, yield aerogels ar xerogels. Such gels can be back-filledto produce nanocomposites ar hybrid materiais [5]. These are ali pure bottom-up processes.Noble metal clusters and colloids are formed by the reduction of metal salts like HAuCI4 andH2PtCls. Common reducing agents come in the form of organic salts like sodium citrate-Na3CsH57'By means of phase transfer reactions (consisting of an interface between twoimmiscible liquids), metal clusters and colloids are stabilized by the addition of organic ligands.For exarnple, phosphine ar thiols are adsorbed onto gold-55 to produce a stable cluster [29].Nucleation process to form single crystals in liquid mediaElectrodeposition is direct deposition of metais from metal salt solutions to form thin layersar films on a solid conducting substrate. Electrodeposition is an electrolytic process thatforms thin metal films on the cathode of the cell. The process conforms to Faraday's law.Electroless deposition is the autocatalytic deposition of metais without electrical assistance.It requires metal cation + catalytic (activated) surface + reducing agents like formaldehyde,alkali diboranes, alkali borohydrides, ar hypophosphorous acid. Pt, Ni, Co, Au, andnumerous other metais can be deposited on many kinds of substrates, including plastics.Electroless deposition has been used to create negative ar positive replicas of porousnanostructures [30].

    We have already characterized anodizing as a top-down processo We mentioned earlier thatanodizing method contains a top-down component (formation of scalloped structure).Here, we focus on the bottorn-up formation of the porous alumina.

    Aluminum metal is made the anode in an electrolytic cell consisting of a polyprotic acid(usually sulfuric, phosphoric, ar oxalic).Pore diameter of 200 nm; with pore density: 20-80+% and film thickness: 100 11m.Anodized titanium several nanometers thick generates bright interference colors.Utilization of molten alkali halide salts with graphite electrodes with 3- to 5-A current [31]Erosion at the cathode to form tubesThe product is transferred to toluene.Provides a solid template substrate for electrochemical, chemical, polyrnerization, and otherliquid-phase reactions. Most methods are accomplished in a liquid medium.

    Final nanomaterial size, shape, and orientation predetermined by template parameters.Liquid templates (micelles and reverse micelles) are commonly used to make quantum dotsfrom the bottom up.Solvent removal under hypercritical conditions forms aerogels and xerogels that containnanometer-sized voids. Supercritical conditions imply that the medium is in neither liquidnor solid phase.

  • TABLE 4.9MethodNanolithography:Dip-pen methods(DPNL)

    Nanosphere templatemethods

    Nanopore templatemethods (shadowmask evaporation)

    Block copolymerlithography (BCPL)

    Local oxidationnanolithography

    STM writing

    Fabrication Methods

    Bottom-Ilp Lithographic Fabrication Methods

    CommentsNanoprobe lithography in the form of dip-pen nanolithography was invented by Chad Mirkin'sgroup at Northwestern University in Chicago [32]. DPNL is considered as an AFM-basedsoft-lithography technique. The operation of this method is quite simple. A water meniscusis formed between an AFM tip and a substrate. The AFM tip, in conjunction with the watermeniscus conduit, is able to transfer molecules to the surface. The method has high spatialresolution 10 nm), has high registration capability (probe can both read and write), and isable to deliver complex molecules such as DNA to a surface [20]. The major disadvantage,like that of STM writing, is low throughput.Nanosphere lithography is a template method for fabrication of nanomaterials. Latex spheresare arranged on a substrate surface in various configurations: hexagonal close packed,or into a square array. The interstitial spaces between latex spheres serve as sites throughwhich deposition can occur-a very straightforward, sim pie processo Although thedistribution and placement of the spheres can be considered to be a top-down process,the deposition of material through the interstices definitely occurs from the bottom up.Use of porous alumina membrane templates as templates to form arrays of nanoparticles.The size of the nanoparticles can be controlled from 5 nm to >200 nm. The space betweennanoparticles can also be adjusted. Nanoparticle aspects are adjusted by theheight of the mask, the pore size, and the direction of evaporation [33].

    This technique is good for direct patterning without the need for additional steps such asetching or lift-off. The combinations of masks, materiais, and substrates are enormous,and the process allows for straightforward upscale.

    Arrays have been used in the secondary fabrication of memory devices and carbonnanotubes.

    BCPs applied by spin-coating (top down) self-assemble into an ordered array of nanoscopicdomains on a surface. Selective removal of one component yields an etch mask. Thesubstrate pattern is formed by plasma etching. In a specific example: a 35-nm thickpolystyrene-PMMA copolymer layer is applied to a Si3Ni4-coated Si wafer. Removalof the PMMA leaves an ordered array of polystyrene nanodots. Reactive ion etching (REI)with CHF3 transfers the pattern to the Si3Ni4 layer. The Si3Ni4-formed pattern is etched againby REI with HBr. The result is an ordered array of silicon pillars (wires) [34]. Blockcopolymer lithography was able to produce periodic arrays of 1011 holes per crrr- [35].One problem that faces this procedure is long-range order.A scanning probe tip (a dynamic AFM tip) is placed a few nanometers above a substratesurface. The environment consists of saturated water vapor. A bias voltage is appliedbetween the tip and the surface. Oxidation of the surface, if silicon, produces lines ofsilicon oxide. The breadth of the meniscus and the distribution of the electric field withindetermine the size of the feature [36]. Features as small as 7 nm were produced.One-nanometer projections were formed in the z-direction.

    The IBM logo pictured in chapter 1 was fabricated bya bottom-up method. Startingwith xenon atoms, each atom was manipulated by the scanning probe tip into its finalposition. Other examples of this technique include the quantumcottet- circular arrayof Fe atoms placed on a Cu surface [37]. Ali scanning probe fabrication methods arehindered by low throughput.

    4.0.4 Nebulous Bottom-Up Fabrication CategoriesFabrication of nanoscaIe materiaIs (structures, domains) within solids is diffi-cult to pinpoint. lt is difficult to track the history of an atom or moIecuIethroughout the course of a soIid material. Solids contain a number of diverse

    191

  • MethodProtein synthesis

    Bottom-Up Biological and Inorganic Pabrication Methods

    CommentsFormation of proteins from precursor amino acids by elaborate process of protein synthesisTransfer RNA transports amino acids to ribosomal RNA and link with peptide bonds.Synthesis of nucleic material (RNA, DNA) from sugars, phosphate, and nuclides (adenosine,guanine, cytosine, and thymine) from the bottom up

    The processes of mitosis and meiosis are template (replication) methods.Bottom-up agglomeration of Iipids, phospholipids to form organized membrane structuresthat make life possibleMother of pearl (nacre)95% Inorganic aragonite (platelets 200-500 nm thick) + organic biopolymerDeformable nanograins [38]Nucleation depends on P,T, concentration, and composition.Flaws reduce surface energy by nucleation.Direction of growth depends on nanostructure.

    192 Introduction to Nanoscience and Nanotechnology

    TABLE 4.10

    Nucleic acid synthesis

    Membrane synthesis

    Inorganic biologicalstructures

    Crystal formationmethods

    defects that have nanoscale dimensions. Are these considered to be "nanornate-rials" or nanofacets? Or are they merely nanodomains ofthe bulk type material?Voids formed by ion implantation do agglomerate to form nanovoids from thebottom up. We address this nebulosity in more detaillater.

    4.0.5 The Nano PerspectiveThere are many kinds of nanomaterials. When discussing fabrication methods,it is essential that the nature of the end product be understood. For example,some types of nanomaterials retain their nanoscale dimensions (e.g., quantumdots). Others form into components of more complex structures (e.g., one-dimensional, two-dimensional, or three-dimensional arrays of quantum dots).In these instances, the quantum dot retains its identity as a unique nanomaterial.In other cases, nanomaterials form the structure of an integrated bulk material.An example of a bulk material that is composed of nanostructured componentsis a Cu-Fe alloy in which nanodomains of one or the other metal exist within abulk material. Steel made of nanosized grains has better mechanical propertiesthan steel made of micron-sized grains.

    Silk, collagen, elastin, and keratin tissue found in animals are composed of ahierarchy of increasingly larger structures [39]. The hierarchy begins with sub-nanometer materiais and ends with a functional macroscopic material [39,40].The relationship of nanostructure, muscle fibers, and connective tissue is shownin Table 4.11. A similar table can be created for bone tissue and other organsystems in animal bodies. From the purely structural point of view, it is clearthat nature 'begins' from the bottom up to build any kind of macroscopicfunctional material.

    Fabrication of inorganic nanomaterials is bottom up. but some well-knownmethods such as erosion certainly operate from the top down. The constructionof a snowflake is a nucleation process that emphasizes eccentricities in the unitcell of each snowflake, a bottom-up processo With regard to nanoscienceand technology, materiaIs are constructed from the top down, bottom up. or a

  • Pabrication Methods 193

    TABLE 4.11 The Nanostructure of Tendons

    Structural componentAmino acidsCollagen

    Dimensions Description/functionThe building blocks of proteinsPrimary structure polypeptide (the protein ofconnective tissue)

    Three polypeptide strands form a cooperative~quatern~ry structure.

    -ct-nrn1.5-nm Diameter

    Triple-helix coil (tropocollagen)

    MicrofibrilsSubfibrilFibril

    1.5-nm Diameter; 300 nmlength

  • 194 lntroduction to Nanoscience and Nanotechnology

    engineering and physics. Top-down fabrication dominates nanotechnology today,although significant ground has been gained by bottom-up methods [44].

    Although tried and true, there are many challenges that confront top-downmethods as miniaturization continues unabated towards the nanoscale.Contamination, machine cost and complexity, dean roam cost and complexity,physicallimits (photolithography), material damage, and heat dissipation are afew of the issues that confront top-down methods. There seems to be a strong linkbetween the cost of a procedure and the size of the intended product. Specifically,it becomes more expensive to make smaller materiais and devices. According topundits, however, once the R&D phase is accomplished and the manufacturingline is in place, the cost of nanomaterial-enhanced products should go down.

    A few selected top-down processes wil! be reviewed in the following sections.There are many we leave out. For the purposes of this course, a representativesample has been compiled that should provide enough insight and informationinto top-down fabrication methods.

    4.1.1 Mechanical Methods (Mechanosynthesis)Any procedure that involves the action of a bulk implernent. tool, ar machineon samples made of bulk materiais is a top-down mechanical method.Mechanical methods base their action on kinetic energy: a hammer falling, acanister revolving, a roller thinning, adie extruding, a compacter compressing.ete. Beating and rolling methods to form thin metal films with nanometerdimensions and extrusion of soft materiais in plastic phase to form wires arewidespread industrial practices [5].

    ,.

    Ball Milling. One of the most important mechanical top-down methods isball mil!ing (and shaker milling), a technique that is able to produce nanoscalemateriais by mechanical attrition. ln ball milling, the kinetic energy of a grind-ing medium (e.g., stainless steel or tungsten carbide ball bearings) is transferredto coarse-grained metal, ceramic, ar polymeric sample materiais with the directpurpose of size reduction [3]. Rotation or rapid vibration of a drum ar canisterimparts kinetic energy to the grinding medium (under controlled atmosphericconditions to prevent oxidation) [5]. During the ball mil! process, severe plasticdeformation of the sample material initiates the formation of defects and dislo-cations. Any type of mechanical deformation subjected to high sheer and strainconditions leads to the forrnation of nanograined material [45]. Figure 4.1displays a rendition of a generic ball mil!.

    The result of the procedure, however, yields nanoparticulate materiaispeppered with defects with a wide distribution of size. On the upside, mechanicalattrition is one of the least sophisticated technical processes and hence the leastcostly. Although the process has roots in ceramic processing and powder metallurgyfor severa decades, it is considered to be a rapidly evolving field [3]. Ball milling,first accomplished by J. Benjamin in 1966, produces mechanically alloyed mate-riais. Alloys, metastable phases, quasi-crystalline phases, and amorphous alloysare formed by such mechanical attrition techniques [3].

    The principie of mechanical attrition is relatively straightforward. A samplematerial is placed in a canister filled with ball bearings. The canister is activatedand begins to rotate at increasingly higher revolutions per minute. The ball

  • Pabrication Methods 195

    1

    Thete are two ens of the fabricaton specttum: At one enthere is the high-priced lithogtaphic equipment that requires ahigh-vacuum environment and expensioe energy sources. At theother en there is the ball mill-a purely mechanical machinethat fabricates nanomaterials by mechanical methods. Kineticenergy from a rotating or vibrating canister is imparted to hardspherical materiais Uke ball bearings. The ball bearings in turnreduce bulk precursor materiais into nanoparticles.

    bearings impart significant kinetic energy to the samples, a much softer mate-rial. Several processes occur in the following arder. The first event to happen iscompaction and then rearrangement of particles. Secondly, elastic and plasticdeformation and welding occur. Particle fracture and fragmentation furtherreduce the particle size. Griffith theory describes particle fracture in a mathe-matical sense:

    (4.2)

    where (JF is the stress at which crack propagation leads to catastrophic failure,y is the surface energy of the particle (joules per square meter), E is Young'smodulus, and c is the length ofthe crack [3]. The tipping point is reached whenthe stress equals the strength of cohesion between atoms of an isotropic solid.As particles get smaller, due to enhanced surface energy, agglomeration forces(antifracture) predominate. A balance is struck among the stress, increasedresistance to fracture, increased agglomeration, and maximum energy that isexpended in milling.

  • 196 Introduction to Nanoscience and Nanotechnology

    There are several types of mechanical attrition devices. Shaker mills are themost popular form used by scientists and are able to produce particles 1000 cycles-rnirr ', ball velocity>5 m S-I) applied to a vial with milling balls ensures that samples pulverizeproperly. Planetary ball mills are commonly used in laboratories. In this form ofmechanical attrition, rotational forces are the source of kinetic energy impartedto the grinding media and the sample.

    Compaaioti and ConsoZidation. Following a ball mlling process (e.g., of a compo-sition that consists of copper and iron metal constituents), materiaIs are compactedwith a tungsten-carbide dye under high pressure for extended periods of time [7].After compaction, heat is applied, also under pressure, to the alloy. The result is ametal formulation that is characterized by an average grain size of 40 nm within arange of 15-75 nm. Thewhole point ofthis procedure is to produce a material withsmaller grain size that demonstrates superior physical properties to that of a materialwith larger grain size. Nanograined alloys demonstrated fracture stress that was fivetimes betterthan pure iron with larger grain size (50 nm-150 um) [7,46].

    Compaction of ceramic and superconducting nanomaterials by applicationof shock waves limits the grain growth [47]. Ceramic superconductor materiaisformed by such advanced techniques demonstrate higher current capacity, largermagnetic fields, and no energy loss through resistance.

    Chill Block MeZt Spinning and Solidification. This is a process that initiallyapplies heat to bulk material with the intent of melting that material and per-forming an extrusion processo Quick solidification of the metal is induced tofreeze the metal into a desired formo An RF (radio frequency) heating source isutilized to create a metal melt. The liquid metal is then forced through a nozzlein the form of a stream that is oriented over the surface of a rotating drum [7].A bulk alloy material consisting of aluminum nanoparticles, 10-30 nm in size,made by this method demonstrated tensile strength in the gigapascal range.

    4.1.2 Thermal MethodsA top-down method is considered to be thermal if an external source of heat isapplied to the processo Melting a bulk material and converting the liquid intonanomaterials are considered to be a thermal top-down method. Many meth-ods produce heat during operation, such as laser ablation and solar flux, but areconsidered to be high energy rather than thermal methods per se.

    Gas Atomization. This is another top-down method that is suited for the manu-facture of nanoparticulates. In this process, a high-energy stream of some inert gasis directed at a molten metal stream. Iust like in the ball milling, kinetic energy istransferred to the sample=-this time from the high-energy inert-gas beam. Theimpact initiates the formation of finely divided metal particles that upon solidifi-cation form into a finely divided powder. The nanopowder is then compacted toform a bulk metal with superior mechanical properties.

    EZectrohydrodynamic Atomization (EDHA). Electrohydrodynamic atomizationis an offshoot of electrostatic spray technology and is a subset of liquid disrup-tion processes. The formation of a Taylor cone that terminates in a fine-stream jet

  • Fabrieation Methods 197

    forms the basic mechanism of EHDA. An electrostatic atomizer causes a netcharge to develop on the surface of a droplet that causes dispersion due to cou-lombic repulsive forces. This process prevents agglomeration of droplets andhence partieles are formed. The EHDA process is capable of producing partielesas small as quantum dots.

    The products of EDHA procedures depend on the flow rate of the liquid, thediameter of the needle orifice, the distance between the needle tip and groundedsurface, and the strength of the applied AC field [48]. One of the primary goalsof this procedure is to be able to synthesize nanopartieles rapidly and over largeareas. The EHDA technique was used to atomize a solution of chloroplatinicacid [H2PtCI6 (H20)6] in ethanol. The purpose of the atomization procedurewas to produce Pt metal partieles. Droplets are sprayed on a Si-Si02 substrateand heated at 700C for a short period of time. The dimensions of the Pt parti-eles were on the order of 10 nm [8].

    4.1.3 High-Energy Methods

    Arc discharge, laser ablation. and solar vaporization are three high-energy top-down methods that are able to generate nanomaterials by the application ofhigh energy electric currents, monochromatic radiation, or solar radiation to asolid substrate. Each method is capable of forming carbon nanotubes fromgraphite substrates that contain catalytic Fe, Mo, or Co partieles. We considerany process that involves plasma to be a high-energy processo High-energy meth-ods, with the possible exception of the solar version, are not practical to upscaledue to the intense investment in energy that is required.

    Are Discharge Plasma Method. The first deliberate attempt to produce carbonnanotubes with an arc discharge method was accomplished with an arc plasmadischarge method developed by Y. Ando in 1982 [15,16]. The formation ofcarbon nanotubes by arc discharge (plasma arcing) process is dependent onthe pressure of He, the process temperature, and the applied current. Typicalconditions utilize an applied voltage of 20 V, current ranging from 50 to 100 A,and He pressure of 50-760 torro Two graphite rods are plaeed millimeters apart(Fig. 4.2). The sacrificial anode consists of graphite that is doped with metaleatalyst partieles. In this configuration, single-walled earbon nanotubes arefabricated. Multiwal!ed earbon nanotubes are formed if no metal eatalyst ispresent in the graphite. At 100 A, earbon vaporizes in a hot plasma. Carboneations are formed at the anode and the soot is eol!ected at the cathode. Theare method, although relatively simple, produces an array of unwanted by-produets. Samples originating from are diseharge methods often require extensivepurifieation. Basing scientifie conelusions on unpurified materiaIs is not arecommended practiee.

    Laser Ablation of Solid Targets. In 1995, carbon nanotubes were synthesized bypulsed laser method. Graphite rods containing Co and Ni catalyst were heated to1200C and then exposed to laser pulses [17]. Heat is, therefore, generated bytwo means in this process-the furnaee and the laser. The vaporized earbon iscollected on a cooled finger downstream of the earbon targets. Continuous waveCO2 (,..,2kW) infrared, ultraviolet, or Nd:YAG lasers are the most common typesoflasers used in the ablation method. A generic scheme is shown in Figure 4.3.

  • 198 lntroduction to Nanoscience and Nanotechnology

    Fullerenes and carbon nanotubes were first fabricated in arc-discharge apparatus. Once again, a relatively simple mechanismis capable of fabricating nanomaterials-in this case with nomovingparts. A high-energy spark is created between two closelyspace electrodes, the anode of which contains a graphitic targetmaterial.

    Graphiterod

    ,,:f C-soot~-----~

    8

    FIG.4.3

    Laser ablation is a cleaner means of fabricating nanotubesthanarc-discharge. Graphite targets are placed insie a quartztube.The tube is heate to ca. 1200C. Vaporized carbon ptoducts arecollected on a cold finger downstream of the ablation processo

    High-Flux Solar Furnace. Solar power has also been used to fabricate carbonnanotubes by a top-down procedure [18]. Since scale-up of the arc dischargeand laser ablation methods is problernatic, the goal is to increase the powerofthe solar furnace to a levei of 500 kW [49]. At the National Renewable EnergyLaboratory (NREL) in Golden, Colorado. researchers were able to producefullerenes from a lO-mm diameter graphite pellet with a lO-kW high-flux solar

    Ar, 0.5 bar

    --Ar, 0.5 bar (;>. Nd: YAG-Iaser,U Q-switched, 1064 nm

  • Pabrication Methods 199

    fumace (HFSF) [18 J. Temperatures in the range of 3000-4000 Kwere attained.NREL's high-flux fumace has 25 hexagonal mirrors to concentrate solar radia-tion that provide flux at 2500 suns ar, with adjustments, 20,000 suns-quiteimpressive.

    Plasma Methods. We place ion milling, RF sputtering, plasma cleaning, andreactive ion etching into the category of high-energy methods. Plasma (fromthe Greek plasma ar plassein, "to mold, to spread") is an tonized gas that is con-sidered to be a distinct phase of matter. Plasmas contain ions and electrons andexist best in a vacuum environment for obvious reasons. Plasmas are electri-cally conductive and are strongly influenced by electric and magnetic fields.A simple reactive ion etching system is shown in Figure 4.4.

    Reactive ion etching (RIE) is an effective means of subtmcting material from a substrate-hence, a top-oum method. Molecules (usually oxygen, sulfur hexaJluoride, Jluorine, or otherreactive species) are ionized to form chemically reactive plasmas bY the action of an appliedelectromagnetic field (parallel plate configuration) under low-pressure conitions. The appa-ratus consists of a cylindrical chamber kept under a fow millitorr vacuum conditions.Inductively coupled plasma (ICP) produced by RF magnetic fields is another mode of creatingRIE plasmas. Combinations of parallel plate and ICP alSo existo Since the trajectory of onsproduced in RlE is mostly normal to the plane of a substrate, the process is capable of aniso-tropic etching~as opposed to chemical etching, which tends to act in an isotrCJf1icashion.

    Upper electrode

    Chamber

    Wafer

    PI"m: :o:~"o:":')O o O O OO O O

    O O O OO o O O O

    Chamber :-vacuumpump

    Exhaust outlet

    RF generator ~

  • 200 Introduction to Nanoscience and Nanotechnology

    RF sputtering is a physical (as opposed to chemical) vapor deposition (PVD)method. Atoms from a solid target source (hence the top-down designation) areejected via the process of momentum exchange into the plasma by the action ofhigh-energy ions, usually originating from argon. The ejecta are then depositedon a surface of a sample material to provide a coating. A radio frequency altemat-ing current is commonly used to generate the plasma and a bias voltage isapplied to the target to promote acceleration of ions.

    Ion milling, another PVD process, is similar to RF sputtering except that nocoating is formed. In actuality, the opposite is true. Material is removed to promotethinning or shaping of a sample material (e.g., formation of nanofacets). Thinfilms with dimensions on the order of a few nanometers for the purposes ofTEM preparation are formed after exposure to ion mill plasmas. Reactive ionetching is a chemical process in which a reactive chemical species is added to theplasma mixture. Oxygen, fiuorine derivatives, or etchant species that are knownto react with targeted substrate materiais are commonly used in reactive ionetching (RIE) procedures.

    4.1.4 Chemical Fabrication MethodsCombustion of Bulk Materials. Combustion is a top-down chemical methodthat is capable of producing nanomaterials. However, impurities in bulk carbonmateriais such as coal and oil contain contaminants that contribute to the for-mation of fly ash and acid aerosols. Polyaromatic hydrocarbon clusters (PAH)can be produced under incomplete combustion conditions. Pure hydrocarbonsproduce CO2 and H20 under efficient combustion conditions. Combustionalso is a bottom-up method that is capable of producing na no materiais.

    Following the combustion ofbulk Mg to MgO, a cluster-based nanoparticlebonding mechanism was the cause of agglomeration. This is apparently acommon phenomenon that applies with equal validity to titania and aluminaparticles. For alumina, it was found that the primary Al203 aggregate was on theorder of 1 um in size, but that it was composed of clusters 10 nm in size [50].

    Chemical Etching of Silicon. Chemical etching is important in numerousindustrial production procedures, lithography in particular. The anisotropicetching of silicon with KOH is a major industrial procedure. The reaction yieldssilicates [51]:

    (4.3)

    The Si(110) surface undergoes the fastest etch rate of all the primary low-index planes surfaces. For example, the etch rates of Si in a 30% w-w solutionof KOH at 70C for the (110), (100), and (lll) surfaces are equal to l.5, 0.79,and 0.005 um min-1'.A common isotropic etching solution used for silicon isHNA (HF + HN03 + CH3C02H). Isotropic etchants operate independently ofcrystal direction. The trench profile following isotropic etching looks like aninverted "C" by uoss-section; from anisotropic etching, the trench looks like a"V" with a flat bottom [52]. Etching with hydrofiuoric acid is driven by thestability of the [SiF6P- complex:

    (4.4)

  • Pabrication Methods 201

    As a result of lithographic procedures and subsequent top-down chemicaletching, nano- to mieron-sized features can be formed on the surface of siliconwafers.

    Chemical-Mechanical Polishing. This method is a combination of a chemicaletching and a mechanical attrition method. The process of polishing jade witha corundum-based abrasive has been traced back to Neolithic farmers in ancientChina 6000 years ago [53]. Grinding is the planar rernovl of material frorn atarget surface by a tixed abrasive. In polishing, the abrasive is allowed to roll. Thesurface roughness, determined by profilometers or AFM, is shown to be a fewnanometers.

    Chemical-mechanical polishing combines the mechanical grinding charac-teristics of abrasives with the chemical action of an etchant. Pressure is appliedon the abrasive and hence on the surface through a conformal pad. This allowsfor free movement of the abrasive under the pad. The method is important tothe lithography industry, where depth of focus (DoF) is ever shrinking withsmallerwavelength sources and larger numerical apertures (N.A.). The smootherthe surface of a Si wafer becomes, the better is the accommodation of shrinkingDoF.

    Anodizing and Electropo1ishing. These two techniques are integrally relatedand differ only with regard to purpose and conditions. Anodizing is a processthat creates an insulating porous oxide layer on a conductive metal anode, usu-ally aluminurn, in an electrolytic solution, usually a dilute polyprotic acid. Byproviding hexagonally packed pore channels that are sim pie to fabricate and theability to manipulate pore diameter and length during and after anodizing, theporous anodic film offers a perfect template for nanoscale material synthesis.Anodizing conditions consist of an electrolytic bath made of a polyprotic acid(H2S04, H3P04' H2C204, ar H2Cr04) at OCwith applied voltage of 2-100 V de.The formation of nanoscale pores with diameters ranging fiam a few nanometersto several hundred nanometers is the major product of anodizing. The chemicalreactions in anodizing are

    Anodic reaction (4.5)

    Oxide-electrolyte interface (4.6)

    Cathodic reaction (4.7)

    Overall oxide formation reaction: 2Alo(s)+ 3H20 ~ Al203(S) + 3H2(g) (4.8)

    Anodizing, however, is a mixed fabrication method. Technically, it containscomponents that can be classified as top down or bottom up. The top-downcomponent is the electrochemically assisted dissolution of bulk aluminum toform AP+ cations. During this process. nanostructured scallops are formed inthe surface of the aluminum metal. Pore diameter is directly proportional to theapplied anodic de potential (dpore oc 1.4 V) and is controlled by the diameter ofthe scallops on the metal surface. A schematic illustration of an anodic film isshown in Figure 4.5.

  • 202 Introduction to Nanoscience and Nanotechnology

    Electropolishing involves the removal of metal to form a smooth surfacewithout forming a thick oxide layer. The conditions for electropolishing arerather severe 'compared to anodizing: elevated temperature (70-90C), elevatedlevei of current (10-20 A), and concentrated acid or base solutions. Electro-polishing often precedes anodizing to prepare a smooth surface.

    Hydrolysis Reactions. These reactions can affect inorganic, organic, and biologicalmateriaIs. Hydrolysis occurs by the action of water to disrupt a bond. The bondcan be as strong as a covalent bond, ionic bond, or any kind of intermolecular

  • Pabrication Methods 203

    attraction. For example, dissolution of proteins from the top down by acid-catalyzed hydrolytic mechanisms is a common means to regenerate the con-stituent amino acids. The degree of hydrolysis determines the size of the finalproduct.

    4.1.5 Lithographic Methods

    A brief history oflithography was presented in chapter 1. Lithographic methodsare the most widely utilized industrial process in the high-technology sector.The computer industry. for example, depends heavily on lithography. Integratedcircuits, microelectromechanical machines (MEMS), and numerous otherapplications require lithography during some phase of their manufacture.However, challenges facing lithography today are numerous as well. Fabricationof increasingly smaller features requires sources with smaller wavelength. Withincreasingly srnaller wavelength (e.g., electron beams and x-rays), the resolvingpower of the procedure is enhanced but the substrate sustains more damage.Fabrication of increasingly smaller features also requires increasingly moreexpensive equipment. Wavelength-based lithographic techniques, although wellestablished. are rather costly to operate.

    Modern opticallithographic techniques utilize radiation sources with wave-length from a few to 300 or 400 nm. Nano-imprint and nanosphere lithographyoffer cost-effective facilitative alternatives to the high-vacuum, high-energy,high-maintenance processes. Once a few fundamental technical issues in thesenanotechniques become better resolved, expect wavelength-based lithographyfabrication to start giving way to these nanorevolutionary procedures. With theadvent of nanosphere and nano-imprint lithography, both extremely simplemethods capable ofhigh resolution, the trend in operation costs may be reversedin the near future.

    In general, the underlying operation oflithographic techniques has not changedmuch since the time of the inventor of the technique, Bavarian author AloisSenefelder, in 1796. Photolithography fo11ows the general procedure of patterntransfer established by Senefelder but employs radiation or particle projectiononto a resist material instead of writing on a limestone substrate (Fig. 4.6):

    Deposition of thin layer on substrate ~ deposition of photoresist material ~exposure via mask (the master) by energy source ~ development by etch (positiveor negative replica) of excess material ~ stripping of a11 resist ~ chemicalmodification (additive or subtractive)

    There are numerous energy sources employed in lithographic processes-visible to ultraviolet radiation and x-rays for photolithography. Electron and ionbeams have also been applied in lithographic procedures. Top-dowr. nanolitho-graphic sources consist of photons (UV, DUV, EUV, and x-rays), particle beams(electrons and ons}, physical contact printing (nano-imprint methods), andedge-based techniques (shadow evaporation). Bottom-up nanolithographicprocedures like dip-pen lithography and self-assembly (surfactant systems andblock copolymers) will be discussed in a later section.

    There are three primary considerations for any lithographic process: resolution,registration, and throughput. Resolution, first discussed in chapter 3, is defined asthe best attainable physical scale of a feature: the smaller the better. Registration

  • 204

    Directionof

    process

    lntroduction to Nanoscience and Nanotechnology

    Lthography is the workhorse of the compuser chp industry. It is the most common top-doummanufacturing process and it is one nanomanufacturing technique that is undesprea. Atarget material is first appled to the surface of a silcon substrate. Polymeric tesist layeris then applied b1'spin coating. An energy beam, usual'y in the visible to ultraviolet wave-length range, is shined through a mask that contains a pteetetmine pattetn. Regionseepose to the EM radiation are sensitize (positive resist) or protecte (negative) to the sub-scquent eteh step. Following etching, the resist is removed, transferring the fJattern inscribeby the mask to the target material. Lithography is a rather expensioe process that requiresclean room condions, high-vacuum conditions, and othetunse expensiue equipment.

    Application of photosensitive resist material (polymer)on target material on substrate surface

    _ Resist polymer material---Target materialSubstrate----L ~_

    Sensitization/activationphotoexposure

    _--- EM radiation

    Etching process to form features on substrate surface

    Removal (stripping) of resist material to leave surface features- .~,----. - -,--.----is the process of aligning one layer to another to form an integrated structure.Throughput is a gauge of the balance between cost effectiveness and the rate ofproduction. "

    Optical Photolithography. Opticallithography employs visible and ultravioletradiation to transfer a pattem onto a receptive substrate. Ultraviolet radiation(deep ultraviolet lithography, DlN) is the most common kind in use today.Three general methods are used to expose wafers:

    l. Contact prnting. in which the mask lies on top of the resist (e.g.,there is no wafer-mask gap), requires no magnification but resolution islimited (N500 nm). The mask degrades in this configuration resultingin loss of planarity.

    2. Proximity printing places the resist in dose proximity to the mask.There is no magnification with this configuration and resolution iseven lower (N1 um). Diffraction effects limit the accuracy ofthe patterntransfer process [54J.

  • Pabrication Methods 205

    3. Projection printing is a widely adopted technique. An image isprojected through a mask and reduced by a factor of four to ten timeson the resisto Resolution is much better (".,70 nrn}, but equipment iscostly and accuracy is limited by diffraction [54].

    A computer-generated pattem on a mask (from optical or electron beamgenerators) is transferred to a chromium surface (".,100nm thick) on fused silica[55]. The mask is then positioned over a substrate, usually silicon. silicon oxide,or a semiconductor material. The substrate is prepped beforehand with a thinlayer of oxide, nitride, or other functional material, and then a photoresist mate-rial is applied by spin-coating-a photoresist material that is sensitive to the typeof radiation used in the lithographic procedure. In opticallithography, the photo-resist is illuminated through a mask and is rendered soluble (positive resist) orinsoluble (negative resist) during the subsequent developer step. The exposedresist (positive) or the unexposed resist (negative) is removed byetching. Farexarnple, in a negative scheme, the exposed resist polymer becomes cross-linkedafter exposure to the radiation. Cross-linking implies that the resist material ismore difficult to dissolve than areas that were unexposed. Following develop-ment, an additive process deposits material onto or into the etched areas. Insubtractive processes, material may be removed by ion milling through thedeveloped areas. Following these steps, the remnants of the resist are removed.

    Resolution in projection lithography is diffraction limited but has improvedover the years since the days of the first integrated circuits. Line widths of the late1960s were on the arder of 5 um [56]. In 1997, this was reduced to 350 nm.Today, sub-100-nm line widths are commonly achieved. Some of the equationspresent below willlook familiar.

    Far contact style printing, radiation interacts with the sample as a squarewave with limited or no diffraction. The near field (or Fresnel diffraction limt),appropriate for proximity printing, and resolution are given by

    W=k..jId; (4.9)

    where dg is the mask-to-wafer distance (gap), is the wavelength of the imping-ing radiation, and k is a constant that is dose in value to 1 and depends on resistmaterial and other technological parameters associated with the processo Fresneldiffraction occurs when

    (4.10)

    and the minimal resolvable feature is

    (4.11)

    For the projection style of lithography (the most commonly applied form),the optical condition is called far field and the mask is called a mask in the farfield. The optical description of far-field lithography is similar to other types ofprojection methods, whether optical or electronic. The minimal resolvable fea-ture in a projection lithographic system is

  • 206 lntroduction to Nanoscience and Nanotechnology

    (4.12)

    N.A. = n sin a (4.13)

    where is the wavelength of the radiation used for exposure and N.A. is thenumerical aperture of the optical lithographic instrument (usually equal to",0.5). The facto r kj is a constant for a specific lithographic procedure thatdepends on the index of refraction and thickness of the photoresist material(0.4-0.8, a quality descriptor). ln general, the line width is approximately equalto the wavelength of the incident light.

    The resolution limit for optical lithography is given by the followingequations:

    where N.A. is the numerical aperture, n is the refractive index of the medium (ifvacuum, n = 1), and ais the half-angle of the cone of light that can enter or exitthe lens. Does this look familiar? The numerical aperture is a function of thedistance between the lens and the sample and is an indication of the resolvingpower of the system. The larger the numerical aperture is, the higher is theresolution capability of the instrumento The following equation should lookfamiliar as well; it also applies to lithography:

    R = 1.22f = l.22fd n(2f sina)

    0.6U 0.6U-----n sin N.A. (4.14)

    DoF= k2---2

    (N.A.)(4.15)

    Depth of focus (DoF) (like depth of field) becomes a concern as resolution isincreased in shorter wavelength tools. DoF is the distance from the objectivelens that yields a focused image and it gets worse (smaller) as N.A. becomeslarger:

    where k2 is a constant associated with the photolithographic system and is tradi-tionally equal to 1.

    Contact, proximity, or projection modes are commonly used photolithogra-phy techniques. Contact type of photolithography (or shadow mode) is the casewhere the mask is right on top of the resists. Resolution is calculated from

    (4.16)

    where 2b is the grating' period of a mask with equally spaced lines and d is thethickness of the resist material. ln the proximity method, a gap exists betweenthe mask and the photoresist and its resolution is found from

    (4.17)

    where dg is the distance between the mask and the resist.

  • Pabrication Methods 207

    Particle Beam Lithography (IPL). Because panicle beams do not undergo dif-fraction and scattering is minimal, higher resolution can be achieved with IPLthan optical, x-ray, or electron beam methods. Resist materiaIs demonstrategreater sensitivity to ions than to electrons. Ion lithography is mostly used torepair masks in optical and x-ray lithographic procedures.

    Extreme Ultraviolet Lithography (EUVL). This technique applies radiation thatis as short as 11-14 nm, significantly lower than those used in DUVL [57,58].Features smaller than 50 nm have been achieved, but theoretically much smallerfeatures are possible (e.g..

  • 208 Introduction to Nanoscience and Nanotechnology

    Nano-mprint lithography (NlL) was invented in the mi 1990s by Stephe Chou of PrincetonUnversity. NIL is a method that is capable ofgeneratingfeatures with nanoscale resolutionwith high throughput. A stamp (top), mae by an electron beam lithographic method, ispresseilinto a soft polymeric material at its glass transition temperature. The film is hardenedbefore the stamp is remove. Anisotropic etching by RIE process removes excess polymer.Metallic pillars are fabricated n the nanofeatures formed by NIL.

    Nanoimprint lithography

    TOPOgraPhic{masterstamp

    - Silicon substrate- Silicon oxide mold

    Polymer heatedabove glasstransition

    temperature

    Poylmethylmethacrylate--- impressionable material-Silicon substrate

    1Polymer curedbelow glasstransition

    temperatureafter imprinting

    Stamp removed

    Reactive ionetching used toremove excesscompressedresist material

    Reactive ion etchingand pattern transler

    Gold pillars deposited into template

    Remainder 01 PMMA removed with organic solvent

    25-nm features spaced 70 nm apart. It is easy to understand why NIL is preferredover wavelength-dependent lithographic techniques: (1) NIL is able to achievesmaller features, (2) NIL takes less time, and (3) NIL is an inexpensive processthat does not require ultrahigh vacuum and expensive radiation or electronbeam equipment. The biggest problem with NIL is defectivity; although recentmethods have driven the defect density to

  • Pabrication Methods 209

    resolution. Compared to other methods, NSL is quite fast and economical.The NSL process is able to create ordered arrays of differing configurations. Inone case, materiais are deposited through the open spaces between spheres toform an array (Fig. 4.8). In another application, the size of the spheres is

    Nanosphete lithography (NSL), lme NIL, is another ingenious low-cost, high-throughputmethod to form nanomaterials and nanopartide arrays. One simple method utilizes latexspheres that are dose pached in a two-dimensional array. Deposion af metal betweenspheres, the interstitial spaces, forms star-shaped pattems of tetrahedrally [orme nano-structure materials. RIE etching, depending on the type of active molecule, is able to reducethe size of the spheres (thereby creating wider gaps among the spherical matrix elements,or etch) in an anisotro ic manner, the substrate under the s aces to orm pore channels.

    y y y y y y y y y y y y y y

    y y y y y y y y y y y y y y y y y y

  • 210 Introduction to Nanoscience and Nanotechnology

    reduced by standard RIE with oxygen [63]. Col umnar arrays several micronsin height are then fabricated by application of a deep-RIE (Bosch) top-downprocesso

    The NSL is a mixed bago It is a bottorn-up template method during the depo-sition of material at the base of the interstitial regions of the spheres, but it is atop-down method during the deep-RlE processo

    Applications of NSL include its use in manufacturing size-tunable noblemetal substrates in the range of 20-1000 nm. The optical response of NSL-formed Ag nanoparticles to their local environment was probed by localizedsurface plasmon resonance spectroscopy (LSPR) [62]. Results showed that zep-tornole-Ievel detection of adsorbed analytes was possible by LSPR spectroscopy[62]. Large-scale fabrication of protein nanoarrays based on NSL was demon-strated by Y.Cai et al. in 2005 [64]. Based on nanospheres with 300-nm diame-ter, protein islands were formed with ring shapes of 50-nm width and 1I8-nmdiameter [64].

    4.2 BOTIOM-UP FABRICATIONBottorn-up fabrication approaches selectively combine atoms ar molecules toform nanomaterials. Bottom-up fabrication methods, therefore, are consideredto be additive. Bottom-up fabrication methods reside within the realm of chem-istry and biology. Nature, of course, has perfected bottorn-up fabrication ofnanomaterials.

    Advantages of bottorn-up methods are numerous. Self-assernbly processes,for exarnple, occur under thermodynamic control conditions. Because such pro-cesses exploit much weaker intermolecular interactions, as opposed to strongcovalent bonds, nanomaterials are fabricated under milder conditions of tem-perature, pressure, and pH. The upscale potential ofbottom-up methods is enor-mous. As with any other chemical process, it is "relatively" straightforward toscale up a process that takes place in a beaker on a lab bench (e.g., the domainof the chemist) to a batch production process in a manufacturing line (e.g., thedomain of the chemical engineer). However, there exist significant challengesfacing bottorn-up methods. OveralI robustness, long-range order (related tocomplicated patterns), and directed growth all leave something to be desired.ln order for bottorn-up fabrication of nanomaterials to become the dominantfabrication mo de of industry, alI of these concerns need to be overcome.

    We divide bottorn-up methods according to the phase within which theprocess occurs. We also add a special section discussing the solid state.

    4.2.1 Gaseous-Phase Methods

    Vapor phase reactions can be homogeneous (all reactants, prcducts, and cata-lysts exist as a vapor) ar heterogeneous (vapor-Iiquid or vapor-solid phasesexist within the same sphere of reaction). lf there exists a vapor (or any highlydispersed phase, e.g., a particle beam) in a process, we shall consider that pro-cess to be a gaseous-phase fabrication method.

    Chemical Vapor Deposition. Chemical vapor deposition (CVD) is one of themost effective procedures used to produce advanced materiaIs. CVD is the best

  • Pabticatum Methods 211

    way to form carbon nanotubes because it is less energy intensive and more con-trol is exerted over products. Si02, SiC, Si3N4, W, and other materiais are rou-tinely deposited on surfaces via CVD methods. In semiconductor industrypractice, wafers are exposed to volatile precursor materiais that react or decom-pose on the surface to form thin films. There are many kinds of CVD.

    Chemical CVD (CCVD) is used in the fabrication of carbon nanotubes-single-walled and multi-walled operating temperatures range from the low400C to produce carbon fibers and multiwalled carbon ~anotubes to tempera-tures >1000C. The decomposition of methane, ethane, ethylene, propane,propylene, ar acetylene or the disproportionation of carbon monoxide-allover catalysts-is an example of some of the carbon source materiais (usually ingas form) used in CVD techniques. The decomposition of methane in the pres-ence of catalysts (usually Fe, Ni, or Co) at temperatures of 700C at atmosphericpressure yields SWNTs.

    CH4(g)-7SWNT+H2(g) (4.18)

    Polysilicon thin films are formed by the decomposition of silanes in a low-pressure CVD (or liquid-phase CVD, LPCVD) chamber at ca. 650C. If othergases, such as phosphine or arsine, are present in the strearn, the silicon can bedoped in situo Silicon dioxide layers are formed by the gas-phase decompositionof tetraethylorthosilicate (TEOS). Since TEOS boils at ca. 168C, the CVD pro-cess is conducted between the boiling point ofTEOS and 750C. TEOS breaksdown into solid silica and gaseous diethylether:

    Si(OC2Hs)4(g) -7 Si02(s) + 0(C2Hs)2(g) (4.19)

    Plasma-enhanced CVD (PECVD) is another bottom-up CVD fabricationmethod to produce thin films. The plasma is created by radio frequency ordirect current discharge between electrodes [65]. Silicon dioxide, fromsilanes + O2 or TEOS + O2, can be formed with the PECVD technique atreasonably low pressure (N 100 mtorr). Silicon nitride thin films are alsodeposited with plasma assistance. An example of a CVD apparatus is shownin Figure 4.9.

    Metal oxide CVD (MOCVD) utilizes H2 as a carrier gas, Group-III metal-organic compounds, and Group-V hydrides to make nanometer scale thin filmsor nanopartides. Temperatures rangingfrorn 500 to 1500C at 15-750 torrpressure are representative conditions under which MOCVD is performed.

    Atomic Layer Deposition. Atomic layer deposition (ALD; a.k.a. atomic layerepitaxy, ALE) was introduced in 1974 by Tuomo Suntola of Finland with theintent of improving the quality of ZnS films used in electroluminescence dis-plays. After a decade of developrnent, high-quality phosphor layers anddielectric layers were produced, and the process has since acquired majorimportance to industrial manufacturing. ALD is the process of fabricatinguniform conformal films through the cyclic deposition of self-terminatingsurface half-reactions that allows for thickness control at the levei of theatomic layer [66]. ALD is a derivative of chemical vapor deposition (CVD),but one that differs from CVD in severa I notable ways [67]. The comparisonis shown in Table 4.12.

    ALD is a straightforward synthesis method that exploits specific chemical react-ions with the intent of adding one molecular monolayer at a time. The process is

  • 212 lntroduction to Nanoscience and Nanotechnology

    Chemical vapor deposton, especially in the case of casbon nanotubes, is yet anothet low-eost, "low-tech" metho to form nanomaterals. A carbon soutce gas (usuaUy methane,CO, acetylene, propylene, or ethylene) is introduced into a chamber (the quartz tube pic-tured) under reducng conditons. Upon contact with Co, Pe, or Ni catalyst pattides, thegases decompose imo C and H atoms. Nanotubes nudeate on the catalyst partide andgrows out from the partide by eithet the tp-growth or base-growth mechanism. 1fpicalCVD conditions use 10% methane, 5% hydrogen, 85% argon carrier gas at 700C, andatmospheric pressute.

    Quartz tube

    1000C Furnace, 1C Resolution

    Roughing pump

    characterized bya binary reaction that is split into two half-reactions appliedsequentially. ALD is characterized by the systematic use of self-terminating gas-solid reactions [68 J. A self-terminating reaction depends on saturation of avail-able surface sites and that precursors do not react with each other. The ALDprocess offers a powerful arsenal of properties that are specifically tailored fornanofabrication of thin films. First of aIl, conformal coatings can be applied toparticulates or fiat and curved surfaces ofbulk materiaIs. Secondly, atomic-scalecontrol of thickness is possible by adding Iayers with stoichiometric scalingbased on a chemisorption-saturation processo The process is broken down intothe following general steps:

    Surface activation ~ injection of A ~ purge ~ injection of B ~ purge ~injection of A ~ purge ~ injection of B ~ purge ~ ~ termination

    Ultimatelya film composed of a structure ABABABA is formed. The thicknessof the film can be estimated instantaneously by counting the steps in theprocesso

    The process is depicted in Figure 4.10. In essence, the ADL sequential processalternates between chemisorption and saturation steps. Purging of the processfollows each saturation step in the cycle. The ALD film growth process is referredto as self-limiting in that a stoichiometric process essentially terminates thereaction upon saturation. Excess reactants and products are purged from thechamber following each step.

  • Pabrication Methods 213

    TABLE 4.12

    Para meterPrecursor reactivity

    Potential materiais

    SelectivitySurfaces

    Decomposition atreaction temperature

    Time of processUniformity

    Thickness

    Conditions

    Upscale potential

    ALD-CVD Comparison

    Chemical vapor depositionLess reactiveCan be autocatalyticMetal oxides, semiconductors, and carboncompoundsLow selectivityLayers conform according to surfacetopography of substrate.

    Reactants can decompose.

    VariableUniformity control by process parameters(partial pressure of reactants, flow, pressure,temperature)-more difficult to executeThickness contrai by process parameters-more difficult to execute

    Requires inert atmosphere and highertemperatures (>600C)P, T, concentration, and gas flow distributionhave significant effect on the processo

    Good

    The formation of alumina layers on a silicon surface will serve as an exampleof the ALD processo The first step in the process is the activation of a hydrogen-terminated silicon surface by exposure to water vapor:

    Atomic layer depositionHighly reactiveSelf-limiting at saturationMetais, semiconductors, insulatorsWide range of materiaisHighly selectiveLayers conform according to surfacetopography of substrateSurfaces capable of activat