through the looking glass—part 2 of 2pagiamt/kcsmith/trend-tracking-for-isscc2013-partii.pdfdate...

11
IEEE SOLID-STATE CIRCUITS MAGAZINE SPRING 2013 33 ISSCC TRENDS T Digital Object Identifier 10.1109/MSSC.2013.2254632 Date of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking for ISSCC 2013 The intent of the second part of this article is to share a sampling of the views held by the diverse group of experts represented by the Interna- tional Solid-State Circuits Confer- ence (ISSCC) 2013 Energy Efficient Digital; High Performance Digital; Technology Directions; Imagers, MEMS, Medical, and Displays (IMMD); and Memory program subcommit- tees. We published the findings of the subcommittees on Analog, Data Converters, RF, Wireline, and Wire- less subcommittees in the Winter 2013 issue of this magazine. Energy-Efficient Digital The energy efficiency of digital circuits becomes increasingly important as larger and larger numbers of transistors are integrated on a single chip. Demand for ubiquitous mobile func- tionality for enhanced productivity, a better social- networking experience, and improved multimedia qual- ity continues to drive tech- nological innovation toward energy- and cost-efficient implementations. While the performance of embedded processors has increased to meet the rising demands of general-purpose computa- tion, dedicated multimedia accelera- tors provide dramatic improvements in performance and energy effi- ciency for specific applications. Energy harvesting is another area of growing importance, lead- ing to technologies that leverage nonvolatile logic-based systems-on- chips (SoCs) for applications that do not have a constant power source or for handheld devices with very lim- ited battery capacity. Technology scaling continues to be exploited to deliver designs capable of operating at lower voltages, result- ing in reduced energy per operation, as well as reducing the area required to implement specific functions. Cor- respondingly, at ISSCC 2013, proces- sors unveiled are built on a variety of technologies, with best-in-class results as measured by integration scale, performance/watt, and inte- gration functionality. These include a few industry-first implementations demonstrated in various technologies ranging from 0.13 µm down to 28 nm bulk and SOI CMOS technologies. Emerging medical applications require a significant reduction in standby power, compared to state-of- the-art commercial processors. This has driven the exploration of new leakage-reduction techniques in both logic and on-chip memories, target- ing orders-of-magnitude reduction in leakage currents. Fast wake-up time requirements drive the need for rap- idly saving and restoring the proces- sor state. Figure 5 illustrates the main trends of energy relevant aspects of feature phones and smartphones. In the late 1990s, a GSM phone con- tained a simple RISC pro- cessor running at 26 MHz, supporting a primitive user interface. After a steady increase in clock frequency to roughly 300 MHz in the early 2000s, there was a sudden spurt toward 1 GHz and beyond. Moreover, fol- lowing trends in laptops and desktops, processor architectures have become much more advanced, and recent smartphones incor- porate dual- and even quad- core processors, running up to 2 GHz. Battery capac- ity, mostly driven by the required form factor, as well as thermal limits, imply a power budget of roughly 3 W for a smartphone. From this power bud- get, the RF power amplifier (for cel- lular communication) and the display are major drains. Overall, digital power consumption ranges from 2 W (peak) to 1 W (sustained). Thus, energy efficiency has become the FIGURE 1: The development of integrated power conversion: Each year, more and more are integrated in standard CMOS technolo- gies, optimizing efficiency versus power density. At ISSCC 2013, these trends are evident in a shift to higher performance, as shown by the arrow directed to the upper right. (Adapted, cour- tesy of ISSCC 2012 Paper 5.4.) Power Density (mW/mm 2 ) 90 nm Trench Caps. ISSCC 2013 10,000 1,000 100 10 1 0.1 50.0 Peak Efficiency (%) 55.0 60.0 65.0 70.0 75.0 80.0 85.0 90.0 95.0 ISSCC 2012 2 3 CMOS 32 nm 5 SOI + 32 nm Efficiency <-> Power Density

Upload: others

Post on 09-Mar-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

IEEE SOLID-STATE CIRCUITS MAGAZINE spring 20 13 33

ISSCC TrendS

T

Digital Object Identifier 10.1109/MSSC.2013.2254632

Date of publication: 17 June 2013

Through the Looking Glass—Part 2 of 2Trend Tracking for ISSCC 2013

The intent of the second part of this article is to share a sampling of the views held by the diverse group of experts represented by the Interna-tional Solid-State Circuits Confer-ence (ISSCC) 2013 Energy Efficient Digital; High Performance Digital; Technology Directions; Imagers, MEMS, Medical, and Displays (IMMD); and Memory program subcommit-tees. We published the findings of the subcommittees on Analog, Data Converters, RF, Wireline, and Wire-less subcommittees in the Winter 2013 issue of this magazine.

Energy-Efficient DigitalThe energy efficiency of digital circuits becomes increasingly important as larger and larger numbers of transistors are integrated on a single chip. Demand for ubiquitous mobile func-tionality for enhanced productivity, a better social-networking experience, and improved multimedia qual-ity continues to drive tech-nological innovation toward energy- and cost-efficient implementations. While the performance of embedded processors has increased to meet the rising demands of general-purpose computa-tion, dedicated multimedia accelera-tors provide dramatic improvements in performance and energy effi-ciency for specific applications.

Energy harvesting is another area of growing importance, lead-ing to technologies that leverage nonvolatile logic-based systems-on-chips (SoCs) for applications that do not have a constant power source or for handheld devices with very lim-ited battery capacity.

Technology scaling continues to be exploited to deliver designs capable of operating at lower voltages, result-ing in reduced energy per operation, as well as reducing the area required to implement specific functions. Cor-respondingly, at ISSCC 2013, proces-sors unveiled are built on a variety

of technologies, with best-in-class results as measured by integration scale, performance/watt, and inte-gration functionality. These include a few industry-first implementations demonstrated in various technologies ranging from 0.13 µm down to 28 nm bulk and SOI CMOS technologies.

Emerging medical applications require a significant reduction in standby power, compared to state-of-the-art commercial processors. This has driven the exploration of new leakage-reduction techniques in both logic and on-chip memories, target-ing orders-of-magnitude reduction in leakage currents. Fast wake-up time requirements drive the need for rap-idly saving and restoring the proces-sor state.

Figure 5 illustrates the main trends of energy relevant aspects of feature phones and smartphones. In the late 1990s, a GSM phone con-

tained a simple RISC pro-cessor running at 26 MHz, supporting a primitive user interface. After a steady increase in clock frequency to roughly 300 MHz in the early 2000s, there was a sudden spurt toward 1 GHz and beyond. Moreover, fol-lowing trends in laptops and desktops, processor architectures have become much more advanced, and recent smartphones incor-porate dual- and even quad-core processors, running up to 2 GHz. Battery capac-ity, mostly driven by the required form factor, as well as thermal limits, imply a

power budget of roughly 3 W for a smartphone. From this power bud-get, the RF power amplifier (for cel-lular communication) and the display are major drains. Overall, digital power consumption ranges from 2 W (peak) to 1 W (sustained). Thus, energy efficiency has become the

Figure 1: The development of integrated power conversion: Each year, more and more are integrated in standard CMOS technolo-gies, optimizing efficiency versus power density. At ISSCC 2013, these trends are evident in a shift to higher performance, as shown by the arrow directed to the upper right. (Adapted, cour-tesy of ISSCC 2012 Paper 5.4.)

Power Density (mW/mm2)

90 nm

Trench Caps.ISSCC 2013

10,0001,0001001010.150.0

Pea

k E

ffici

ency

(%

)

55.060.065.070.075.080.085.090.095.0

ISSCC 20122

3

CMOS 32 nm 5

SOI +32 nmEfficiency <->

Power Density

Page 2: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

34 spring 20 13 IEEE SOLID-STATE CIRCUITS MAGAZINE

main challenge in design-ing application processors, graphics processors, media processors (video, image, audio), and modems (cellu-lar, WLAN, GPS, Bluetooth). For video and image pro-cessing, the trend has been toward dedicated optimized hardware solutions. Some new areas where dedicated processors are particularly needed include gesture-based user interfaces and computational imaging. For all digital circuits, the lim-ited power budget leads to the use of more-fine-grained clock gating, various forms of adaptive voltage-frequency scal-ing, a variety of body-bias schemes, and elaborate power-management strategies.

Figure 6 shows the evolution of bit rates for wired and wireless links over time. Interestingly, cel-lular links, wireless LAN, as well as short links, consistently show a 10# increase in bit rate every five years, with no sign of abatement. Thus, with essentially constant power and thermal budgets, energy effi-ciency has become a central theme in designing the digital circuits involved in signal processing. His-torically, CMOS feature sizes have halved every five years. For a brief

period in the 1990s, CMOS scaling (aka Dennard scaling) provided a 23 (a–3) increase in energy efficiency every five years, almost matching the required 10# power reduction. But during the past decade, CMOS scaling offers only a roughly 3# improvement in energy efficiency every five years. The resulting ever-widening gap has led to alternative approaches to improving energy efficiency, namely, new standards, smarter algorithms, more efficient digital signal processors, highly optimized accelerators, smarter hardware-software partitioning, as well as the power-management tech-niques mentioned previously.

Thus, we see a potential for a host of new applica-tions of lower- and lower-power technology created in response to the recognition of the universal importance of efficient local processing of signals in diverse omni-present areas.

High-Performance DigitalThe relentless march of pro-cess technology brings more integration and performance to digital systems each year. At ISSCC 2013, for exam-ple, IBM’s System z proces-sor leads the charge with a 2.75 B transistor chip, oper-

ating at 5.7 GHz. The chip complexity chart in

Figure 7 shows the trend in tran-sistor integration on a single chip over the past two decades. While the 1 billion transistor integration mark was achieved some years ago, we now commonly see processors with beyond 2 B transistors on a single die.

Leveraging sophisticated strate-gies to lower leakage and manage voltage, variability, and aging has bolstered the continuing reduction in total power dissipation. These strate-gies are helping rein in the increase in energy demands from PCs, serv-ers, and similar systems. As power

Figure 2: Power efficiency versus SNDR (highlighting ISSCC 2013 results).

1.E+07

1.E+06

1.E+05

1.E+04

1.E+03

1.E+02

1.E+01

1.E+00

1.E-0120 30 40 50 60 70 80 90 100

SNDR @ Nyquist [dB]

P/f s

nyq

[pJ]

FOMW = 10fJ/Conv-StepFOMS = 170 dBFlash

ISSCC 1997–2012 OtherPipelineSARLP Sigma-Delta

Figure 3: FoM (energy per conversion step) versus Nyquist bandwidth for various converters.

1.E+08

1.E+07

1.E+06

1.E+06

1.E+05

1.E+05

1.E+04

1.E+04

1.E+03

1.E+03

1.E+02

1.E+01

1.E+001.E+09

1.E+10

1.E+11

FO

MW

[fJ/

Con

v-S

tep]

fsnyq [Hz]

PipelineSARLP Sigma-Delta

FlashOther

ISSCC 1997–2012

Figure 4: Bandwidth versus SNDR.

1.E+061.E+051.E+041.E+03

SNDR [dB]

1.E+021.E+01

20 30 40 50 60 70 80 90 100

1.E+071.E+081.E+091.E+101.E+11

BW

[Hz]

PipelineSARLP Sigma-DeltaFlash

Jitter = 1 psJitter = 100 fs

OtherISSCC 1997–2012

Page 3: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

IEEE SOLID-STATE CIRCUITS MAGAZINE spring 20 13 35

reduction becomes mandatory in every application, the trend toward maintaining near-constant clock frequencies also con-tinues as shown in the frequency trends plot in Figure 8. This will yield solu-tions with lower cost and lower cooling demands, resulting in greener products for the future.

As well, processor designers are choos-ing to trade off per-formance by lowering supply voltage. The performance loss of reduced voltage and clock frequency is compensated by further increased parallelism. Pro-cessors with more than eight cores are now commonplace. This year, at ISSCC 2013, a 24-core processor was presented as noted in the core-count trend chart in Figure 9.

In addition to the trend toward integrating more cores on a single chip, single packages with multiple die are appearing. At ISSCC 2013, IBM presented a multichip module with six CPUs and two embedded DRAM cache chips. As well, dedicated coprocessing units for graphics and communications are now commonly integrated on these complex systems-in-package (SiP). Design of these SoCs and SiPs requires broad collaboration across multiple disciplines includ-ing circuits, architecture, graphics, process technology, package, sys-tem design, energy efficiency, and software. New performance- and power-efficient computing tech-niques continue to be introduced for targeted critical applications, such as floating point and SIMD.

As technology continues to scale to finer dimensions, large caches are being integrated within micropro-cessor die. Figure 10 shows the gen-eral trend of large cache integration.

Methods for communication within and between die are becoming

increasingly important. These are being driven by two trends: 1) three-dimensional (3-D) integration is increasingly common and 2) intercon-nect delay becomes more dominant as processes scaled down. Designs emphasizing on-die interchip trans-port constitute a recent trend (see also wireline).

At ISSCC 2013, another trend in evidence was the continued emer-gence of all-digital phase-locked loops (PLLS) and delay-locked loops (DLLs) that better exploit nano-meter-feature-size scaling while

reducing power and area costs. Due to the application of highly innova-tive architectural and circuit design techniques, the features of these “all-digital” PLLs and DLLs have improved significantly over the recent past. Figure 11 shows the jit-ter performance versus energy cost for PLLs and MDLLs.

Overall, digital processors con-tinue to grow in complexity, while more circuits are implemented using digital techniques to cope with vari-ability and ease scaling to finer geometries.

Figure 5: Developments in application processors for smartphones.

2004

2D, 3D

Camera

Downlink[Mb/s]

CPU [MIPS]

Accelerator

Audio

Image/Video

Display

Graphics OpenGL/VG/MAX(ES2.0)

AR(Augmented Reality)

Dual 24 b WVGA@ 60 fps

Dual 24 b StereoSXGA @ 60 fps

OpenGL(ES1.1)

VGA16 b QVGA

1–2 M

JPEG, MPEG-4H.264/AVC

(VGA)H.264/AVC

(D1)H.264/AVC(Full HD)

H.264/MVCH.264/SVC

DolbyTrueHD/Digital+

WMADolby 5.1

AAC PlusAACMP3

DSP FPUSIMD

MulticoreMany-Core

LTE 100

2,400–6,000800–2,400500–800300–500–300

EGPRS 0.4 UMTS 0.4–2 HSPA 1.8–7 HSPA+ 7–42

3 M 5~8 M 10 M 16 M 20 M DualCamera

2005 2006 2007 2008 2009 2010 2011 2012 2013

Figure 6: Wireless and wired data rates over time. (Image courtesy of G. Fettweis.)

1995

Bit

Rat

e in

b/s

10 K

100 K

1 M

10 M

100 M

1 G

10 G EthernetShort Links, 1 m

Needed?

LAN, 10 m

LTE-A

CourtesyG. Fettweis

LTE

HSPA

WiMAX

GSMGPRS

802.11ag802.11 b

802.11

USB 2.0

PCIxpress

802.11 n

10 G

2000 2005 2010 2015

Cellular, 100 mUSB1.0

802.15.3 c

802.11.acUWB

Intention

3G R99/EDGE

USB 3.0

UWB Reality?

HSDPA

Page 4: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

36 spring 20 13 IEEE SOLID-STATE CIRCUITS MAGAZINE

IMMDThe common thread that unites the constituents of IMMD is a concern for interfaces with the physical world—both the human body and its environ-ment. Conventionally, we consider this broad area in terms of both tool and task: imagers, MEMS, medical, and displays, as discussed below.

ImagersSince 2010, there has been growth beyond expectations in the adoption of mobile devices, such as smart-phones and tablets, that has induced larger volumes of CMOS image sen-sor chips. Both the resolution and miniaturization races are ongoing, and performance metrics are becom-ing more stringent. In addition to conventional pixel shrinkage, a “more than Moore” trend is increas-ingly evident: Resolution of over 20 Mpixels is commercially available for mobile devices employing enhanced

small-size pixels. As a consequence of innovative readout and ADC archi-tectures embedded at the column and chip levels, data rates approach-ing 50 Gb/s, and a noise floor below single electron have been demon-strated. In addition to conventional applications, ultra-low-power vision sensors, 3-D, high-speed, and multi-spectral imaging, are front-running emerging technologies.

Back-side illumination (BSI) is now the mainstream technology for high-volume, high-performance mobile applications. While 1.12 nm BSI pixels are currently available, the industry is potentially mov-ing toward 0.9 nm pixel pitch and below. Additional innovative tech-nologies outside of traditional scal-ing include advanced 3-D stacking of a specialized image-sensor layer on top of deep-submicron digital CMOS (65 nm 1P7M) using through-silicon vias (TSVs) and microbumps.

The importance of digital signal processing technology in cameras continues to grow to mitigate sen-sor imperfections and noise and to compensate for optical limitations. The level of sensor computation is increasing to thousands of opera-tions per pixel, requiring high-performance and low-power digital signal processing solutions. In par-allel with these efforts is a trend through out the image-sensor indus-try toward higher levels of integra-tion to reduce system costs.

Ultra-low-power vision sensors are being reported in which more programmability and computation is performed at the pixel level to extract scene information, such as object features and motion.

Lightfield/plenoptic commercial cameras, which have been avail-able since 2010, are gaining popu-larity and are being marketed for 3-D imaging and/or all-in-focus

Figure 8: Clock frequency.

10,000

1,000

100

Clo

ck F

requ

ency

(M

Hz)

10

1992

1994

1996

1998

2000

2002

2004

2006

2008

2010

2012

2014

5.7 GHz !!!

Figure 9: Core count.

40

30

20

10

2002 2004 2006 2008 2010 2012

50

Cor

es P

er D

ie

02000 2014

24-Core University Processor

Figure 10: Total on-die cache.

40

30

20

10

2002 2004 2006

Year

2008 2010 2012

60

Cac

he S

ize

(MB

)

02000 2014

50

General T

rend

Figure 7: Chip complexity.

10,000

1,000

100

Tran

sist

or C

ount

(M

illio

ns)

1992

1994

1996

1998

2000

2002

2004

2006

2008

2010

2012

2014

> 1 Billion !!!

1

10

Page 5: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

IEEE SOLID-STATE CIRCUITS MAGAZINE spring 20 13 37

two-dimensional imaging. On-chip stereoscopic vision has been demonstrated through digital micro lenses (DMLs), paving the way to next-generation passive 3-D imaging for mobile and entertainment applications, such as through gesture-control user interfaces.

Significant R&D effort is being spent on active-3-D-imaging time-of-flight (TOF) applications to support requirements from autono-mous driving, gaming, and industrial applications, addressing open challenges, such as background light immunity, higher spatial resolution, and longer dis-tance range. Deep-submicron CMOS single-photon avalanche diodes (SPADs) have been developed by sev-eral groups in various submicron technologies. They are now capable of meeting the requirements for high-resolution and high-timing accuracy by employing highly parallel time-to-digital converters (TDCs) and small pixel pitch with better fill factor.

Ultra-high-speed image sensors for scientific imaging applications having up to 20 Mf/s acquisition speed have been demonstrated.

Multispectral imaging is gain-ing a lot of interest from the image-sensor community: several research groups have demonstrated fully-CMOS room-temperature tera-hertz image sensors, and a hybrid sensor capable of simultaneous vis-ible, IR, and terahertz detection has been reported.

The share of the market for CCDs in machine vision, compact DSCs for security applications, continues to shrink. Only for high-end digital cameras for astronomy and medi-cal imaging do CCDs still maintain a significant market share.

MEMS and SensorsMEMS inertial sensors are finding widespread use in consumer appli-cations to provide enhanced user interfaces, localization, and image

stabilization. Accelerometers and gyroscopes are being combined with 3-D magnetic-field sensors to form nine-degree-of-freedom devices, and pressure sensors will eventually add a tenth degree. The power consumption of such devices is becom-ing sufficiently low for the sensor to operate continuously, enhanc-ing indoor naviga-tion. There have been further advances in heterogeneous integration of MEMS with interface circuits to support increased perfor-mance, larger sensor arrays, reduced noise sensitivity, reduced size, and lower costs.

To address the stringent require-ments of automotive, industrial, mobile, and scientific applica-tions, MEMS inertial sensors, pres-sure sensors, and microphones are becoming more robust against electromagnetic interference (EMI), packaging parasitics, process-voltage-temperature (PVT) varia-tions, humidity, and vibration.

Sensor interfaces achieve increas-ingly high resolution and dynamic range while maintaining or improv-ing power or energy efficiency. This is achieved through techniques such as zooming, nonuniform

quantization, and compen-sation for baseline values.

New calibration approach- es, such as voltage calibra-tion, are being adopted for BJT-based temperature sen-sors to reduce cost. In addi-tion to thermal-management applications (prevention of overheating in microproces-sors and SoCs), temperature sensors are also increasingly co-integrated with other sensors (such as humidity, pressure, and current sen-sors) and MEMS resonators for cross-sensitivity compen-sation. Alternative temper-ature-sensing concepts find their way into applications with specific requirements

not easily addressed by BJTs: thermal diffusivity-based sensing for high-temperature applications; thermistor-

based and Q-based concepts for in-situ temperature sensing

of MEMS devices; and for ultra-low-voltage operation.

MEMS oscillators continue to improve. Phase noise is now low

enough for demanding RF applications (12-kHz to

20-MHz integrated jitter is now below 0.5 ps); and frequency accuracy is now better than 0.5 p/m. Consumer applications are adopting such new low-power and low-cost oscillators.

BiomedicalThere have been continuous achieve-ments in the area of ICs for neural and biopotential interfacing tech-nologies. Spatial resolution of neu-ral monitoring devices is being reduced, utilizing the benefits of CMOS technology. IC providers are increasing their component offer-ings toward miniaturization of por-table medical devices.

Telemedicine and remote-monitor-ing applications are expanding with support from IC manufacturing com-panies. Moreover, the applications of such systems are not limited to

Figure 11: PLL and MDLL trends.

2013201220112010

200920082007

22 nm28 nm32 nm40 nm

45 nm

90 nm65 nm55 nm 180 nm

FOM = -220 dBFOM = -230 dBFOM = -240 dBFOM = -250 dBFOM = -260 dB

Jitte

r R

MS

x F

out (

ps x

GH

z)

0.1 1

Power/Fout (mW/GHz)

10 100

130 nm

100

0.1

1

10

Developments reported at ISSCC 2013 continue to present breakthroughs in the broad domain of solid-state circuits and systems.

Page 6: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

38 spring 20 13 IEEE SOLID-STATE CIRCUITS MAGAZINE

services targeted for elderly or chronically ill patients; for example, there are sev-eral technologies developed to enhance the way clinical trials are conducted by moni-toring patient adherence and improving data collection. Low-power WiFi and Blue-tooth low energy is emerg-ing as a standard wireless connection between portable communication services and wearable technology.

Smart biomolecular sensing is another major trend that marries the solid-state and biochemi-cal worlds together with the ultimate goal of enabling a more predictive and preventative medical care. With the help of the accuracy and parallelism enabled by CMOS technology, time, cost, and error rate of DNA sequencing may be significantly improved. Direct electronic readout may relax the need for complex biochemical assays. Similar trends are becom-ing increasingly evident in pro-teomics and sample preparation.

Even for medical imaging, there is a shift from hospital imaging toward point-of-care and portable devices. A key example is in porta-ble high-resolution ultrasounds in which larger scientific imaging set-ups are being integrated onto the sensor through process technolo-gies (such as integrated spectral filters, CMUT). Another example is in molecular imaging. The advent of silicon photo multipli-ers (SiPM), which provide a solid-state alternative to PMTs, enables the realiza-tion of PET scanners com-patible with MRI, opening the way to new frontiers in the field of cancer diagnos-tics. More recently, SiPMs realized within deep-sub-micron CMOS technologies have allowed the integra-tion at pixel- and chip-level extra features, such as mul-tiple timestamp extraction,

allowing a dramatic reduction in system cost.

DisplaysThe desire to put much higher-resolution and higher-definition displays into mobile applications is one of the display-technology trends that is now opening a full HD smartphone era. High-def-inition displays of 440 p/i are expected, even for 5-in display sizes. Low-temperature poly silicon (LTPS) technology seems to have more merit than amorphous-silicon thin-film-transistors (a-Si TFTs) technology. But a-Si TFT and oxide TFT technologies supported by compensating driver systems are beginning to compete with it. Very-large-size LCD TVs over 84 in, with UD (3,840 # 2,160) resolution are

now the leading entertain-ment systems. Also open-ing new opportunities in consumer applications are 55-in AMOLED TVs with full HD resolution.

As touch-screen displays for mobile devices become increasingly thin, capacitive touch sensors move closer to the display. But the resulting in-cell touch displays come with reduced signal levels due to increased parasitics and increased interference from the display and switched-mode chargers. Noise immu-nity is improved by adopting noise filtering and new signal-modulation approaches.

Thus, overall, we see an expansion of diverse techniques evolving in aid the most important role of modern technology—to serve mankind.

MemoryDevelopment in mainstream memory technologies continues unabated. We see progressive sustained scal-ing in embedded SRAM, DRAM, and floating-gate-based Flash for very broad applications. However, due to the major scaling challenges in all mainstream memory technolo-gies, we see a continued increase in the use of smart algorithms and error-correction techniques to com-pensate for increased device vari-ability. In further response to these challenges, we see logic processes adopting FinFET devices along with read- and write-assist circuits in

SRAMs. Meanwhile, emerg-ing memory technologies are making steady progress toward product introduc-tion, including PCRAM and ReRAM, while STT-MRAM is beginning to become a strong candidate for both standalone and embedded applications.

SRAMEmbedded SRAM continues to be a critical technology enabler for a wide range

1.4Bit-Cell Trend

Bit-

Cel

l Siz

e (u

m2 )

Sup

ply

Vol

tage

(V

)

090 65 45 40 32 28 22

Technology Node (nm)

20

1.4

1.2

1

0.8

0.6

0.4

0.2

1.290, 1.2

90, 1 90, 165, 140, 0.9

28, 0.85 20, 0.85

90, 0.999

65, 0.525

40, 0.242

28, 0.127

65, 0.57

45, 0.34632, 0.171

22, 0.092

65, 1.240, 1.1

28, 1 20, 0.951

0.8

0.6

0.4

0.2

020, 0.081

Figure 12: SRAM bit-cell size and supply scaling range from major semiconductor manufacturers.

0

2

4

6

8

10

12

1995 2000 2005 2010 2015

Dat

a R

ate

(Gbp

s/pi

n)

Year

Data Rate for DDRx and GDDRx

DDRDDR2DDR3DDR4GDDR3GDDR4GDDR5

Figure 13: Trends in DRAM data rate/pin.

Page 7: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

IEEE SOLID-STATE CIRCUITS MAGAZINE spring 20 13 39

of applications from high-perfor-mance computing to mobile uti-lization. The key challenges for SRAM include VCCmin, leakage and dynamic power reduction while relentlessly following Moore’s law to shrink the area by 2# for every technology generation. As the transistor feature size has marched to under 30 nm, device variation has made it very dif-ficult to shrink the bit-cell size at the 2# rate while maintaining or lowering VCCmin between gen-erations. Starting at 45 nm, the introduction of high-k metal-gate technology reduces the Vt mis-match and further enables device scaling by significantly reducing the equivalent oxide thickness. Starting at 22 nm and beyond, new transistors such as FinFETs and fully depleted SOIs are key to enabling the continuous scal-ing of bit-cell area and low-voltage performance. Design solutions such as read/write-assist circuitry have been used to improve SRAM VCCmin performance starting at 32 nm. New SRAM bit cells with more than six transistors have also been proposed to minimize oper-ating voltage. For example, 8T reg-ister file cells have been reported in recent products requiring low VCCmin. Dual-rail SRAM design emerges as an effective solution to enable dynamic voltage-frequency

scaling (DVFS) by decoupling the logic supply rail from the SRAM array, thus allowing a much wider operating window. It is important for SRAM to reduce both leak-age and dynamic power, keeping products within the same power envelope for succeeding feature-size reductions. Sleep transis-tors, fine-grain clock gating, and clockless SRAM designs have been proposed to reduce leakage and dynamic power. Redundancy and ECC protection are also keys to ensure yield and reliability when

embedded SRAM products go into production. Figure 12 shows the trend in SRAM-bit-cell scaling on the left axis and the trend in SRAM supply-voltage scaling on the right axis, using data from major semi-conductor manufacturers.

High-Speed I/O for DRAMTo reduce the bandwidth gap between main memory and pro-cessor frequencies, external data rates continue to increase as con-ventional high-speed wired inter-face schemes (such as DDRx and

Figure 14: Read- and write-bandwidth comparison of nonvolatile memories.

10,000

1,000

100

Writ

e B

andw

idth

(M

B/s

)

1

10

100 1,000

Read Bandwidth (MB/s)

Emerging NVRAM

Flash

10,000

Cond.>1 MbISSCC, VLSI Cir.,ASSCC 2001–2011

MRAM(ASSCC ’06)

eMRAM (ASSCC ’07)

FeRAM (ISSCC ’09)

FeRAM, MRAM(ISSCC ’06)

RRAM(ISSCC ’11)

Better

(ISSCC ’11)

PRAM(ISSCC ’07, ’10)

NAND(ISSCC ’08)

NOR(ISSCC ’07, ’05)

eNOR(ISSCC ’07)

PRAM

Figure 15: Memory capacity of emerging nonvolatile memories.

Sto

rage

Cap

acity

(M

b)

1

100,000 Cond. 1 MbISSCC, VLSI Circuits,ASSCCIEDM, VLSI Tech.

NAND Flash 128 Gb

32 Gb

RRAM10,000

1,000

100

10

8 GbPRAM

64 MbMRAM

128 MbFeRAM

2001 2003 2005 2007 2009 2011

Year

2013

Figure 16: NAND Flash memory density.

MB

/mm

2

0.01

1995

1997

1999

2001

2003

2005

2007

2011

2009

Year

2013

100

10

1

0.1

4 b/cell (16LC)

x 3/year

3 b/cell (TLC)2 b/cell (MLC)1 b/cell (SLC)

Page 8: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

40 spring 20 13 IEEE SOLID-STATE CIRCUITS MAGAZINE

GDDRx for DRAM) evolve, as shown in Figure 13. Currently, GDDR5 and DDR4 memory I/Os operate around 7 Gb/s/pin and 3 Gb/s/pin, respectively. To achieve higher data-transfer rates, signal-integrity tech-niques (such as crosstalk, noise, and skew cancellation) and speed enhancement techniques (such as equalizers and pre-emphasis) have been developed. These advanced techniques have pushed I/O speeds toward 10 Gb/s/pin. Lower power consumption for data center and mobile applications has also been pursued. A near-ground signaling method, termination impedance optimization, decision feedback equalization, and clock-feathering

Figure 18: Output power versus frequency for mm-wave and sub-mm-wave sources.

45 nm0

-10

-20

-30

-40

-50

200 300Frequency (GHz)

45 nm45 nm

130 nmSiGe

65 nm65 nm 65 nm

90 nm45 nm

130 nm130 nm

InP InP

ISSCC 2013

Pow

er (

dBm

)

400 500

Figure 19: Phase-noise FOM at 20 MHz offset frequency versus oscillation frequency.

200

195

190

185

180

JSSC ’06ISSCC ’13 ESSCIRC ’05

JSSC ’10ISSCC ’08

ISSCC ’12ISSCC ’12

ISSCC ’12JSSC ’06

CICC ’07

CICC ’10

JSSC ’11JSSC ’10ISSCC ’13

ISSCC ’10

ISSCC ’08RF SYMP. ’08

ISSCC ’02

ISSCC ’06

JSSC ’09

JSSC ’06ISSCC ’05ISSCC ’11 ASSCC ’08

175

Fig

ure

of M

erit

@ 2

0MH

z (d

Bc/

Hz)

170

1650.5 1.5 2.5 3.5 4.5 5.5

Frequency (GHz)6.5 7.5 8.5 9.5 10.5

1E+3

1E+4

1E+5

1E+6

1E+7

1E+8

1E+9

1980 1985 1990 1995 2000 2005 2010 2015

(b/s

)

Wireless Data Rate: Cellular

Year

1G-AMPS-DL 3G-WCDMA-DL

1G-AMPS-UL 3G-WCDMA-UL

2G-GSM-DL

3G-EVDO-DL

2G-GSM-UL

3G-EVDO-UL 2G-CDMA-DL

4G-LTE-DL 2G-CDMA-UL

4G-LTE-UL

Figure 20: Data rates for cellular standards.

Figure 17: PAE versus output power for recent submicron mm-Wave CMOS PAs.

30

25

20

15

10

10 16Power (dBm)

PAE

(%

)

22 28

5

ISSCC2013

Page 9: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

IEEE SOLID-STATE CIRCUITS MAGAZINE spring 20 13 41

slew-rate control technologies have been demonstrated to reduce the power dissipation of memory inter-faces significantly, while achieving high bandwidth.

Nonvolatile MemoriesIn the past decade, significant focus has been put on the search for emerging memories to pro-vide a possible alternative to floating-gate nonvolatile memory (NVM). The emerging NVMs, such as phase-change memory (PRAM), ferroelectric RAM (FeRAM), spin-torque-transfer magnetic RAM (STT-MRAM), and resistive memory (ReRAM), are showing the poten-tial to achieve high-cycling capa-bility (operational lifetime) and lower power per bit for both read and write operations. Some com-mercial applications, such as cel-lular phones, have recently begun to use PRAM, demonstrating that reliability and cost competitiveness of emerging memories is becoming a reality. Fast write speed and low read-access time are being achieved in many of these emerging memory schemes. At ISSCC 2013, a 32 Gb ReRAM cross-point array was dem-onstrated in 24-nm technology. Figures 14 and 15 provide a sum-mary of the scaling trends for both bandwidth and density of emerging memories.

NAND Flash MemoryNAND Flash memory continues to advance toward higher den-sity and lower power, resulting in low-cost storage solutions that are enabling the replacement of traditional hard-disk storage with solid-state disks (SSDs). The use of multiple bits per cell has proven to be effective in increasing the den-sity. Figure 16 shows the observed trend in NAND Flash capacities presented at ISSCC over the past 18 years. With scaling, device vari-ability and error rates increase, requiring system designers to develop sophisticated control algo-rithms to compensate. Some of these algorithms are implemented

outside the NAND silicon, in the system memory controller (in particular, the ECC and data man-agement methods), for improved overall reliability. Possible future scenarios include 3-D-stacked NAND vertical gates as a solution to further increase overall NAND-memory density.

Current state-of-the-art results from ISSCC 2013 include:

■ 32 Gb ReRAM test chip developed in 24-nm CMOS

■ the first ever 128 Gb 3 b/cell NAND Flash design in 20-nm pla-nar-cell CMOS

■ a 45 nm, 6 b/cell charge-trapping Flash memory using LDPC-based ECC demonstrates a ten-year error-free operation

■ a highly efficient 6.4-Gb/s near-ground single-ended low-common mode transceiver for memory interface

■ a highly efficient SRAM operating at 0.6 V used statistically gated sense amplifiers.

Technology Directions (TD)The role of the Technology-Direc-tions Subcommittee is to identify and encourage developments of potential importance in the ongoing evolution of ISSCC. Characteristically, a wide variety of topics are covered, some

new and some continuing, with suc-cess achieved by the transference of the emerging technique to one of the evolving mainstream subcommit-tees. This year at ISSCC 2013, two strong emerging trend directions were visible: in flexible electronics and in NVM.

Large-Area Flexible ElectronicsIn the field of flexible large-area electronics fabricated at low tem-peratures, the current focus is now on lowering the cost-per-unit area, rather than on increasing the num-ber of functions-per-unit area that is the focus of crystalline silicon tech-nology, following Moore’s law.

A clear breakthrough in research for large-area electronics in the past decade has been the development of thin-film transistor (TFT) processes with an extremely low temperature budget (1150 °C), enabling manu-facturing on flexible and inexpen-sive substrates such as plastic films and paper.

For some time, the materials used for these developments have been carbon-based organic mole-cules such as pentacene, with prop-erties of p-type semiconductors. More recently, air-stable organic n-type semiconductors and amor-phous metal oxides, which are also

1E+6

1E+7

1E+8

1E+9

1E+10

1996 1998 2000 2002 2004 2006 2008 2010 2012 2014

(b/s

)

Wireless Data Rate: WLAN

Year

802.11 802.11 b 802.11 a

802.11 g 802.11 n SISO 802.11n MIMO

802.11 ac SISO 802.11 ac MIMO 802.11ad - 60 GHz

Figure 21: Data rate for wireless connectivity standards (802.11x).

Page 10: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

42 spring 20 13 IEEE SOLID-STATE CIRCUITS MAGAZINE

n-type semiconductors, have emerged. The most popular metal-oxide semi-conductor is amorphous indium gallium zinc oxide (IGZO), but variants exist (zinc oxide, zinc tin oxide, and so on). The mobility of n- and p-type organic semiconductors has reached values exceed-ing 10 cm2/Vs, which is already at par or exceeding the performance of that using amorphous silicon. Amorphous metal-oxide transistors have typical charge carrier mobility of 10–20 cm2/Vs. Moreover, the operational stability of all organic semiconduc-tor materials has greatly improved to a level suffi-cient to enable commercial appli-cations, especially in combination with large-area compatible barrier layers to seal the transistor stack.

In the present state of the art, p-type only, n-type only, and com-plementary technologies are avail-able. For the latter, all-organic implementations are available, but also we see hybrid solutions, featur-ing the integration of p-type organic with n-type oxide TFTs. At present, most TFTs are still manufactured with technologies from display lines, using subtractive methods based on lithography. However, there is a clear emphasis on the development

of additive technologies that could provide higher production through-put, based on different approaches borrowed from the graphic print-ing world, such as screen and ink-jet printing. The feature sizes and spread of characteristics of printed TFT technologies are still larger than those made by lithography, but there is clear progress toward size reduction.

The primary applications for such TFT systems are as backplanes in active-matrix displays, particu-larly flexible ones. Organic TFTs are well suited for electronic-paper-type displays, whereas oxide TFTs are

targeting OLED displays. Fur-thermore, TFTs on foil are well suited for integration with temperature or chemical sensors to create pressure-sensitive foils, photodiode arrays, antennas, sheets capable of distributing RF power to appliances, energy scavenging devices, and so on, in hybrid integrated systems on foil. Early dem-onstrations include smart labels, smart shop shelves, smart medical patches, and so on. These are enabled by continuous progress in the complexity of analog TFT cir-cuits targeting the interface with sensors and actuators, to modulate, to amplify, and to convert analog signals, as well as progress in digital

TFT circuits and NVM for signal pro-cessing and storage.

Nonvolatile Memory in LogicWith continuing technology scal-ing, advances in energy-efficient computation will become even more important. Correspondingly, at ISSCC 2013, a new trend can be seen: It involves the integration of NVM with logic for ultra-fast power-down/power-up while maintaining the state of the computation. Such technologies will impact future mobile platforms and industrial applications, making mobile com-puting truly ubiquitous.

Figure 24: Wireline transceiver power efficiency versus channel loss.

0 10 20 30 40 50Channel Loss at Nyquist (dB)

10x/20 dB

Pow

er E

ffici

ency

(m

W/G

bps)

1,000

100

10

1

0.1

Figure 23: Wireline data rate versus process feature size and year.

Dat

a R

ate

(Gbp

s)

Process Node (nm)

100

10

20062007200820092010201120122013

120 15

014

013

012

011

010

090807060504030

2000 2002 2004 2006 2008 2010 2012 2014 20161

10

50

Year

Dat

a R

ate

(Gbp

s)

Hyper Transport QPI

PCIe

S-ATA

OIF/CEI

PON

DDRSAS Fiber Channel GDDR

2x/4 yrs

Figure 22: Per-pin data rate versus year for a variety of common wireline I/O standards.

Page 11: Through the Looking Glass—Part 2 of 2pagiamt/kcsmith/trend-tracking-for-ISSCC2013-partII.pdfDate of publication: 17 June 2013 Through the Looking Glass—Part 2 of 2 Trend Tracking

IEEE SOLID-STATE CIRCUITS MAGAZINE spring 20 13 43

Thus, we see two examples of the many new directions in which the electronics industry are likely to flow, as continuously uncovered by technology directions at ISSCC.

SummaryDevelopments reported at ISSCC 2013 continue to present break-throughs in the broad domain of solid-state circuits and systems. In this rich environment, presentations at ISSCC characteristically predict ways in which electronics techniques will fulfill the present and future needs of the IEEE Solid-State Circuits Society. In this role, ISSCC continues to present a road map of things to come, both in the immediate future and in the longer term.

AcknowledgmentsThe authors wish to acknowledge the creators of the original material from

which this article has been struc-tured. While this has been largely a team effort by several members of each subcommittee, each has oper-ated under the direction of his or her subcommittee chair, as follows:

■ Energy-Efficient Digital: Stephen Kosonocky, Advanced Micro Devices

■ High-Performance Digital: Stefan Rusu, Intel

■ Technology Directions: Hoi-Jun Yoo, KAIST

■ Imagers, MEMS, Medical, and Dis-plays: Roland Thewes, TU Berlin

■ Memory: Kevin Zhang, Intel ■ Analog: Bill Redman-White,

Southampton University ■ Data Converters: Boris Murmann,

Stanford University ■ Radio Frequency: Andreia Cathe-

lin, STMicroelectronics ■ Wireless: David Su, Atheros

Communications

■ Wireline: Daniel Friedman, IBM Thomas J. Watson Research Center.Our thanks go as well to the

ISSCC technical editors for their ini-tial editing and interaction with sub-committee members:

■ Jason Anderson, University of Toronto

■ Vincent Gaudet, University of Waterloo

■ Glenn Gulak, University of Toronto

■ James Haslett, University of Calgary

■ Kostas Pagiamtzis, Semtech.

—Kenneth C. Smith University of Toronto, Canada

—Alice Wang MediaTek, Texas

—Laura C. Fujino University of Toronto, Canada

Learn how technical standards develop, grow, and impact today's new global technologies

Explore:

• Educational Programs

• Tutorials

• Case Studies

• Profiles of Developers

• University Courses

...and More

Brought to you by IEEE Standards Education http://standardseducation.org

Get to Know Technical StandardsThe foundation for today’s global high-tech success

Begin your journey into the high-tech world: http://trystandards.org

12-EA-0374d-EA-Standards Education Fractional Print Ad-7x4.75-FINAL.indd 1 10/12/12 11:42 AM