the mechanical properties of atomic layer deposited alumina for use in mems and nemstripp2006

11
Sensors and Actuators A 130–131 (2006) 419–429 The mechanical properties of atomic layer deposited alumina for use in micro- and nano-electromechanical systems Marie K. Tripp a,b,, Christoph Stampfer a , David C. Miller b , Thomas Helbling a , Cari F. Herrmann b,c , Christofer Hierold a , Ken Gall e , Steven M. George c,d , Victor M. Bright b a  Micro and Nanosystems, ETH Zurich, CH-8092 Zurich, Switzerland b  Department of Mechanical Engineering, University of Co lorado, Boulder, CO 80309, USA c  Department of Chemistry, University of Colorado, Boulder , CO 80309, USA d  Department of Chemical Engineering, University of Co lorado, Boulder, CO 80309, USA e School of Materials Science and Engineering and George Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, GA 30332, USA Received 7 June 2005; received in revised form 22 December 2005; accepted 13 January 2006 Available online 28 February 2006 Abstract Mechanical characterization of atomic layer deposited (ALD) alumina (Al 2 O 3 ) for use in micro- and nano-electromechanical systems has been perf orme d usingsevera l mea sure menttechniquesincluding: instr umen ted nanoi ndent ation , bulgetesting,pointer rotat ion, and nanob eamdeection. Using these measurement techniques, we determine Young’s modulus, Berkovitch hardness, universal hardness and the intrinsic in-plane stress for ALD Al 2 O 3 . Specically, measurements for ALD Al 2 O 3  films deposited at 177 C with thicknesses betwe en 50 and 300 nm are reported. The measured Y oung’s modulus is in the range of 168–182 GPa, Berkovitc h hardness is 12.3 GPa, universal hardness is 8 GPa, and the intrinsic in-plane stress is in the range of 383–474 MPa. Multiple measureme nts of the same material property from different measurement technique s are presented and compared. ALD Al 2 O 3  is an advantageous materia l to use over various forms of silicon nitride, for micro- and nano-electromechanical systems due in part to the low deposition temperature that allows for integration with CMOS processing. Also, Al 2 O 3 , unlike silicon nitride, has a high chemical resistance to dry-chemistry Si etchants. Although ALD Al 2 O 3  has recently been used as both a coating and a structural layer for micro- and nano-electromechanical systems, its mechanical properties were not previously described. © 2006 Elsevier B.V. All rights reserved. Keywords:  Alumina; Nano-electromechan ical systems; Micro-electromech anical systems; Young ’s modulus; Berkovitch hardness; Intrinsic stress 1. Intro ducti on The perf or mance an d reli abilit y of mi cr o- and na no- electromechanical systems depends strongly on the mechanical prope rties of the cons titue nt mate rials. For example, elas tic mod ulu s dictatesthemec han ica l res ona nce of a vibrat ing mic ro- cantilever while hardness controls the resistance to wear on the contact surface of a sliding device. Other mechanical proper- ties such as strength and residual stress distribution play central roles in the prediction of device reliability under various load- ing conditions. Low-dimension materials such as nanolms and Correspond ing author at: Intel Corporation, Portland Technolog y Develop- ment, RA3-301, 2501 NW 229th Avenue, Hillsboro, OR 97124, USA. Tel.: +1 971 214 0635; fax: +1 971 214 7811.  E-mail address:  [email protected] (M.K. Tripp). nanowires typically have mechanical properties different from their bulk counterparts, driv en prima rily by their inher ently larg e surface area to volume ratio and their different material struc- tures created by unique processing methods. It is thus impor- tant to characterize the mechanical properties of low-dimension mater ials proce ssed by emer ging techn ologi cally rele van t meth- ods. In this work, we utilized a variety of measurement tech- niq ues to stu dy the mec hanica l proper tie s of ato mic lay er deposited (ALD) alumina (Al 2 O 3 ) for use in micro- and nano- elec tromec hanic al systems. Instru mente d nanoi nden tation is used to measure Y oung’s modulus, Berkovitch hardness and the universal hardness of ALD Al 2 O 3  grown on a silicon substrate. Membrane bulge testing is used to measure Young’s modulus and the intrinsic in-plane stress of monolithic ALD Al 2 O 3  cir- cul ar membranes. Passive poi nte r tes t str uct ure s areused to mea - 0924-4247 /$ – see front matter © 2006 Elsevier B.V . All rights reserved. doi:10.1016/j.sna.2006.01.029

Upload: ilmari1954

Post on 08-Mar-2016

12 views

Category:

Documents


0 download

DESCRIPTION

alumina ald properties

TRANSCRIPT

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 1/11

Sensors and Actuators A 130–131 (2006) 419–429

The mechanical properties of atomic layer deposited alumina for use inmicro- and nano-electromechanical systems

Marie K. Tripp a,b,∗, Christoph Stampfer a, David C. Miller b, Thomas Helbling a, Cari F.Herrmann b,c, Christofer Hierold a, Ken Gall e, Steven M. George c,d, Victor M. Bright b

a  Micro and Nanosystems, ETH Zurich, CH-8092 Zurich, Switzerland b Department of Mechanical Engineering, University of Co lorado, Boulder, CO 80309, USA

c Department of Chemistry, University of Colorado, Boulder, CO 80309, USAd  Department of Chemical Engineering, University of Co lorado, Boulder, CO 80309, USA

e School of Materials Science and Engineering and George Woodruff School of Mechanical Engineering,

Georgia Institute of Technology, Atlanta, GA 30332, USA

Received 7 June 2005; received in revised form 22 December 2005; accepted 13 January 2006Available online 28 February 2006

Abstract

Mechanical characterization of atomic layer deposited (ALD) alumina (Al2O3) for use in micro- and nano-electromechanical systems has been

performed usingseveral measurementtechniques including: instrumented nanoindentation, bulgetesting,pointer rotation, and nanobeamdeflection.

Using these measurement techniques, we determine Young’s modulus, Berkovitch hardness, universal hardness and the intrinsic in-plane stress

for ALD Al2O3. Specifically, measurements for ALD Al2O3  films deposited at 177 ◦C with thicknesses between 50 and 300 nm are reported. The

measured Young’s modulus is in the range of 168–182 GPa, Berkovitch hardness is 12.3 GPa, universal hardness is 8 GPa, and the intrinsic in-plane

stress is in the range of 383–474 MPa. Multiple measurements of the same material property from different measurement techniques are presented

and compared. ALD Al2O3 is an advantageous material to use over various forms of silicon nitride, for micro- and nano-electromechanical systems

due in part to the low deposition temperature that allows for integration with CMOS processing. Also, Al 2O3, unlike silicon nitride, has a high

chemical resistance to dry-chemistry Si etchants. Although ALD Al2O3  has recently been used as both a coating and a structural layer for micro-and nano-electromechanical systems, its mechanical properties were not previously described.

© 2006 Elsevier B.V. All rights reserved.

Keywords:   Alumina; Nano-electromechanical systems; Micro-electromechanical systems; Young’s modulus; Berkovitch hardness; Intrinsic stress

1. Introduction

The performance and reliability of micro- and nano-

electromechanical systems depends strongly on the mechanical

properties of the constituent materials. For example, elastic

modulus dictates the mechanical resonance of a vibrating micro-

cantilever while hardness controls the resistance to wear on the

contact surface of a sliding device. Other mechanical proper-

ties such as strength and residual stress distribution play central

roles in the prediction of device reliability under various load-

ing conditions. Low-dimension materials such as nanofilms and

∗ Corresponding author at: Intel Corporation, Portland Technology Develop-

ment, RA3-301, 2501 NW 229th Avenue, Hillsboro, OR 97124, USA.

Tel.: +1 971 214 0635; fax: +1 971 214 7811.

 E-mail address: [email protected] (M.K. Tripp).

nanowires typically have mechanical properties different from

their bulkcounterparts, driven primarily by their inherentlylarge

surface area to volume ratio and their different material struc-

tures created by unique processing methods. It is thus impor-

tant to characterize the mechanical properties of low-dimension

materials processed by emerging technologically relevant meth-

ods.

In this work, we utilized a variety of measurement tech-

niques to study the mechanical properties of atomic layer

deposited (ALD) alumina (Al2O3) for use in micro- and nano-

electromechanical systems. Instrumented nanoindentation is

used to measure Young’s modulus, Berkovitch hardness and the

universal hardness of ALD Al2O3 grown on a silicon substrate.

Membrane bulge testing is used to measure Young’s modulus

and the intrinsic in-plane stress of monolithic ALD Al2O3  cir-

cular membranes. Passive pointer test structures areused to mea-

0924-4247/$ – see front matter © 2006 Elsevier B.V. All rights reserved.

doi:10.1016/j.sna.2006.01.029

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 2/11

420   M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429

sure the intrinsic in-plane stress of ALD Al2O3. Finally, atomic

force microscope (AFM)-based nanobeam deflection is used to

measure Young’s modulus on suspended ALD Al2O3 cantilever

beams. The multiple measurement techniques resulted in redun-

dantmeasurements of both modulus and intrinsic in-plane stress,

which are compared to verify accuracy.

Knowledge of the material property values of ALD Al2O3

films is important for the design of micro- and nano-

electromechanical systems, which can use ALD films as either

surface coatings or as structural layers. ALD is a deposition

process new to the field of micro- and nano-electromechanical

systems and may be utilized for various applications. Recently

ALD films have been applied to polysilicon microstructures as

protective coatings [1], creep suppression coatings [2], coatings

preventing diffusional-transport [3], wear resistant coatings [4],

and hydrophobic coatings [5]. ALD films have also been used

to fabricate membranes with lateral dimensions ranging from

hundreds to thousands of microns [6,7].

Since ALD Al2O3   was originally developed as a dielectric

gate oxide for microelectronics, the growth  [8–12]  and elec-tronic properties [13,14] of Al2O3 have beenstudied extensively.

However, the mechanical properties of ALD Al2O3 have not yet

been studied in detail. Understanding the mechanical properties

makes it possible to correctly design ALD Al2O3  micro- and

nano-electromechanical systems. A new Al2O3 NEMS fabrica-

tion process and preliminary material property value measure-

ments have been previously presented [15].   In this work, we

present new measurements from two additional measurement

techniques, as well as finalized results from those presented in

[15].

2. Methods

2.1. ALD Al2O3  growth

All of the measurements presented were performed on ALD

Al2O3   films grown using a viscous flow reactor described

in prior work   [16].   The growth chemistry that occurs inside

the reactor relies on sequential, self-limiting surface reactions

between gas-phase precursor molecules and a solid surface,

to obtain atomic layer control of deposition [8,17],   as shown

schematically in Fig.1. For Al2O3, filmgrowth proceeds accord-

Fig. 1. Schematic of atomic layer deposition (ALD) process flow  [8].

ing to the two half reactions [8–11,17]

Al–OH∗+Al(CH3)3→   Al–O–Al(CH3)2∗+CH4   (A)

Al–CH3∗+H2O  →   Al–OH∗+CH4   (B)

where the asterisks designate the surface species. The reactants

trimethyl aluminum (Al(CH3)3) and water (H2O) are alternately

injected into the nitrogen carrier gas using computer-controlledpneumatic valves. The surface is first exposed to reactant A,

which reacts with all of the initial surface sites. Then, after

purging away the by-products from reaction  (A),   the surface

is exposed to reactant B. This reaction regenerates the initial

functional groups and prepares the surface for the next expo-

sure to reactant A. The film is grown to the desired thickness by

repeating this AB sequence. The reactant doses are pulsed into a

1 Torr ultrahigh purity nitrogen flow with a purge time between

each exposure. The dose time is 1 s and the purge time is 5 s.

The growth temperature is 177 ◦C for all experiments.

2.2. Instrumented indentation

Instrumented nanoindentation [18] was used to characterize

Young’s modulus, the Berkovitch hardness and the universal

hardness of ALD Al2O3. Nanoindentation is performed using a

Berkovitch tip on a DCM machine (MTS Systems Corporation).

Material properties are evaluated according to the Oliver–Pharr

method [18],   which is used in conjunction with the continu-

ous stiffness method [19] to characterize specimens throughout

their thickness. Based on knowledge of the methods as well as

the standard deviation of the specimens, the nanoindentation

technique is accurate to within about 5–10% of the measured

values, being best when the results of multiple indentations are

averaged.

Specific details of the specimens and characterization are as

follows: Approximately 300 nm of Al2O3   is deposited onto a

0 0 1  silicon wafer at 177 ◦C. Immediately prior to indenta-

tion, the machine is calibrated using fused silica with the area

coefficients for the tip determined according to the procedure

described in [18].   The sink-in parameter,  ε, is assumed to be

0.75 and the geometry factor, β, is assumed to be 1.05, based on

recent investigations [20]. Indents are made up to the depth of 

100 nm at the constant (loading) strain rate of 0.05 s−1. A set of 

20 indents are performed in a two-dimensional array ( x - and y-

spacing of 100m) across the specimen. Because of the effects

of substrate compliance, common to thin film specimens, thematerial property values areaveraged between 30 and50 nm into

the specimen, i.e.∼10% of the film thickness. A typical loading

profile (see Fig. 2) demonstrates the characteristic indentation

profile for the material, including a 30 s “creep hold” at the max-

imum load as well as a 30 s “thermal drift hold” at 10% of the

maximum load.

2.3. Membrane bulge testing

Bulge testing is another widelyused technique for the charac-

terization of thin film mechanical properties [21,22]. Measure-

ments of the load-deflection behavior of a thin film membrane

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 3/11

 M.K. Tripp et al. / Sensors and Actuators A 130–131 (20 06) 419–42 9   421

Fig. 2. Example of indentation profile and measured modulus for ALD Al2O3.

The indentation consists of loading, creep hold, unloading, and thermal drift

segments. Using the continuous stiffness method, modulus can be determined

at any depth during loading.

enable extractions of the mechanical material propertiesYoung’smodulus, E , and the intrinsic in-plane stress,  σ . In the experi-

mental setup, a differential pressure is applied to ALD Al2O3

membranes and the deflection is measured using a white light

interferometer (ZYGO New View 5020). Since the measured

deflection w0 of the membrane is small compared to the diame-

ter d 0, (w0   d 0), and the thickness t smaller than the deflection

w0, (t < w0), the membrane deforms in the large deflection

regime [23].   Curve fitting of the pressure-deflection measure-

ments is performed using the model for large deflection of a

circular membrane by Small and Nix [22] given by

p(w0

) =(7− ν)Et 

3(1− ν)r4w3

0+

4tσ 0

r2  w

0  (1)

where   ν   is the Poisson’s ratio,   E   the Young’s modulus,   t   the

thickness and r  is the radius of the Al2O3 membrane. The equa-

tion assumes that the initial intrinsic stress is equibiaxial, and

that no significant intrinsic through-thickness strain gradient

exists. Previous work has demonstrated that indeed no signif-

icant through-thickness strain exists, so long as specimens are

fabricated properly [26]. Rectangular membranes with various

aspect ratios would be superior to circular membranes for an

additional extraction of the Poisson’s ratio [24]. The extraction

of the Poisson’s ratio was not intended in this work.

For this experiment, bulk micromachining was used to fabri-

cate 100 nm thick circular Al2O3  membranes that are clampedalong the edge. The fabrication process is shown in Fig. 3, and

a detailed description is given in  [25].  First the 100 nm thick 

Al2O3 membrane layer is grown on a 1 0 0 Si substrate using

ALD (see Fig. 3(a)). Because of the conformal nature of ALD

coating, the Al2O3  thickness is the same on all surfaces of the

substrate. Openings are patterned in the ALD Al2O3 layer on the

backside of the sample, using standard ultraviolet photolithog-

raphy, to create an Al2O3 hard mask. The hard mask, located on

the backside of the sample, is patterned by inductively coupled

plasma dry etching (see  Fig. 3(b)). The subsequent formation

of the cavities is performed by an anisotropic dry etching step

using the BOSCH process (see Fig. 3(c)). The BOSCH process

Fig. 3. Process flow to fabricate the Al2O3   membrane: (a) 100 nm of ALDAl2O3  are grown on a Si substrate, (b) the membrane openings are patterned

using standard photolithography, (c) substrate removal using anisotropic dry

etched from the backside, (d) the membrane is mechanically released, and (e) a

schematic of a final thin-film membrane.

is stopped when a few microns of bulk Si remain. The circular

membranes are released with an isotropic reactive ion dry etch

process that is optimized to avoid damaging the ultrathin Al2O3

membrane (see Fig. 3(d)). In Fig. 3(e) a schematic cross section

of the Al2O3  membrane is shown. For the bulge test measure-

ments, the membranes are placed in a pressure setup that enables

concurrent in situ white light interferometer measurements and

differential pressure measurements.

2.4. Pointers

Passive pointer structures may be used to measure intrinsic

in-plane stress, since they will mechanically deform (rotate) in

proportion to this stress. The fabrication process used to make

pointer structures is shown schematically in  Fig. 4(a–g) and is

discussed in detail in [26].  First,   1 0 0   silicon substrates are

diced and cleaned in preparation for ALD Al2O3  coating. The

final cleaning step is 15 min in 5% hydrofluoric (HF) acid to

remove the native oxide immediately before ALD. The Al2O3

structural layer is grown on a silicon substrate using ALD (seeFig. 4(a)). Next approximately 440 nm of nitrogen rich silicon

nitride (SiN x ) is grown using plasma enhanced chemical vapor

deposition (see Fig. 4(b)). SiN x  is to become the mask for pat-

terning the Al2O3 layer. Polymethyl methacrylate (PMMA), an

electron beam sensitive polymer, is spin coated, patterned using

electron beam lithography and developed. The PMMA layer is

now ready to serve as a mask for etching the SiN x  layer (see

Fig. 4(c)). Reactive ion etching is used to pattern the SiN x  layer

using the PMMA mask (see Fig. 4(d)). After reactive ion etch-

ing, the PMMA mask is removed using acetone at 50 ◦C in an

ultrasonic bath and the SiN x  mask is ready for patterning the

Al2O3 layer (see Fig. 4(e)). Inductively coupled plasma reactive

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 4/11

422   M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429

Fig. 4. Fabrication process flow for atomic layer deposited (ALD) alumina

(Al2O3) NEMS (not drawn to scale): (a) Si wafer (t =500 m) coated with

ALD Al2O3 (t = 100 nm), (b) PECVD deposited SiN x , (c) spin, PMMA, pattern

with e-beam lithography and develop, (d) CHF3 /O2   etch to pattern SiN x , (e)

remove PMMA, (f) Cl2 etch to pattern Al2O3, and (g) SF6 etch to remove SiN x 

and under-etch Al2O3.

ion etching is used to pattern the Al2O3 with the SiN x  mask (see

Fig. 4(f )). The final step removes the SiN x  mask and undercuts

the Si below the Al2O3   structures (see Fig. 4(g)) using sulfur

hexafluoride-based isotropic reactive ion etching. With this pro-

cess, Al2O3  structures ranging in thickness from 50 to 100 nm

with lateral features ranging from 100 nm to 100m in size have

been fabricated.A variety of pointer test structures were fabricated to char-

acterize deformation generated by the intrinsic in-plane stress.

Fig. 5(a) is a drawing of a pointer structure, which may be used

to relate displacement to intrinsic in-plane stress as [27]

σ  =EOy

(1 − ν)(LA +LB)(LC + 0.5O)

1

Cf (2)

where   O,   LA,   LB, and   LC   are given in   Table 1,   E = 180 GPa

is the Young’s modulus of alumina from the nanoindentation

measurements discussed previously,  ν = 0.24 [28] the assumed

Poisson’s ratio,  σ   the stress,  y  the displacement of the pointer

and C F is the a correction factor. Eq. (2) assumes that the strain

Fig. 5. (a) A schematic of a pointer test structure [27], (b) close up micrograph

of a displaced pointer tip.

distribution through the thickness of the material is uniform, the

strain is equibiaxial for the in-plane directions, and that the other

material properties are known.

Fig. 6 shows a scanning electron microscope (SEM) (Zeiss

Gemini 1530 FEG) image of a suspended ALD Al2O3  pointer

structure. The dimensions of this pointer are;   t =100nm,

bp = 1m, LC = 13.5m, LA = LB = 10m, and O = 1m. Four

different geometries of pointers were fabricated and examined.

Table 1 summarizes the measured geometries of the four dif-

ferent pointers. A hinge is created by thinning the beam with

where the two anchored specimen arms connect to the indica-

tor beam (see Fig. 6). The correction factors, which take into

account the non-idealities of the hinges and the undercut at the

ends of the specimen arms, are calculated using finite element

Table 1

A summaryof the measureddimensions for the fourdifferent pointer geometries

Pointer A Pointer B Pointer C Pointer D

 LA  (m) 9.9 10 9.9 10

 LB  (m) 9.9 10 9.9 10

 LC  (m) 13.5 13.5 13.5 12.5

bp (m) 0.8 1 0.8 1

O (m) 0.8 1 1 2

C f    0.64 0.66 0.74 0.93

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 5/11

 M.K. Tripp et al. / Sensors and Actuators A 130–131 (20 06) 419–42 9   423

Fig. 6. An SEM image of a pointer structure with dimensions   t = 100 nm,

bp = 1m, LC = 13.5m, LA = LB = 10m, and O = 1m. The arrows highlight

the hinges upon which the structure will rotate.

models (ANSYS) and are found to be the same for both thick-

nesses (50 and 100 nm) of Al2O3. This is as expected, since the

hinge width to thickness ratios are roughly the same for both

thicknesses. The deflection of the pointer tip y was measured by

examining SEM images, such as the one shown in Fig. 5(b), andcombined with Eq. (2) yielding the intrinsic in-plane stress.

2.5. Nanobeam deflection

Using the same fabrication process as for the pointers, a set

of cantilever beams were created for nanobeam deflection mea-

surements. For the nanobeam tests, an atomic force microscope

(AFM) in tapping mode was used to image the suspended Al2O3

cantilever structures (see e.g. Fig. 7(b)), and the AFM in force

mode was used to measure the force versus deflection profile

[29]. The nanobeam bending experiments consist of a series of 

force versus deflection measurements (e.g. Fig. 7(c)) performed

as a function of the contact point  x , which is defined as the point

where the AFM deflects the cantilever (see insert in Fig. 7(a)).

In this study, the contact point was made to vary along the length

of the beams. By evaluating the force versus deflection measure-

ments, the deflection of the Al2O3 cantilever at a constant force

is extracted. The total spring constant k tot = kk AFM /(k + k AFM) is

a function of the givenAFM cantilever spring constant k AFM and

the spring constant k ( x ) of the Al2O3 cantilever. Also, the force

versus deflection measurement is restricted to the small deflec-

tion regime in order to apply Euler–Bernoulli beam theory  [30]to extract the Young’s modulus of the investigated material. In

Fig. 7. AFM-based nanobeam deflection measurements. (a) Deflection ( z) vs. contact point  x   (i.e. force vs. displacement measurements) performed on Al2O3

cantilevers (l = 5m and  w = 1m) with two different geometries (thickness  t = 50 nm [triangles] and t = 100nm [circles]). The solid lines plot the result of the

elastic beam theory (see Eq.  (3)  in text), (b) shows an SEM image (top view) of the 100 nm thick Al2O3  cantilever, which has been measured, and (c) shows a

characteristic force vs. deflection measurement.

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 6/11

424   M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429

the framework of elastic beam theory, a point load  F  acting on

the contact point x  leads to the following deflection   z:

z(x) =12

3

Fx3

Ewt 3  (3)

where  E  is the Young’s modulus,  w   the width,  t   the thickness

of the cantilever and  x  is the contact point. The inverse of Eq.

(3) can be used to extract Young’s modulus from the measured

data.

3. Measurements

3.1. Indentation

From indentation, the elastic modulus, corrected

(Berkovitch) hardness and universal hardness are found

to be 180.0± 8.2 GPa, 12.3± 1.0 GPa and 8.0± 0.5 GPa,

respectively. Universal hardness is defined as the instantaneous

ratio of the applied load over the area of the indentation, and

does not account for the geometric sinking-in of the indentationsite or recoverable elastic deformation. The retained energy

ratio, which compares the deformed shape of the indent to total

indentation profile, is 58.2±0.7%, suggesting that a significant

portion (∼40%) of the work occurring during indentation is

elastic. The sink-in ratio, defined as the ratio of the depth of 

indentation upon exiting the sample to the maximum indenta-

tion depth, is 59.1±2.4%. The sink-in ratio is less than 70%,

suggesting the absence of pile-up around the indenter, which is

known to cause error in measurement [18]. Fig. 2 demonstrates

the measured modulus throughout the loading profile. In this

case, the modulus converges to a relatively stable value at

about 30 nm into the specimen. The parameters of hardness,P / S 2 [31],   and   K   [32]  also remained well converged beyond

the depth of 30 nm, lending validity to the measurements and

suggesting that the modulus of the substrate is reasonably

well-matched to the Al2O3  film. Note that the parameter  P / S 2,

here 3.18× 10−4±1.14× 10−5 GPa−1, may be used to relate

the material’s modulus and hardness, irrespective of the area

(calibration) of the indentor tip   [31].   The parameter   K , here

364.1± 23.4 GPa, may be used to estimate the amount of 

elastic or inelastic deformation occurring during the indentation

loading curve [32]. The parameter  K  is related to an idealized

power-law fit of the P / h loading profile and is not related to the

stiffness of the specimen, machine compliance, etc. Lastly, no

excursions are seen in the load/displacement curve that wouldindicate fracture or other aberrant activity.

3.2. Membrane bulge testing

A set of load-deflection measurements, from a circular Al2O3

membrane, are shown in Fig. 8. Data was obtained in both load-

ing and unloading, i.e. trace–retrace. One set of measurement

data is shown for the deflection   w0   of a circular membrane

versus the applied differential pressure  p. For simplicity, only

the trace (loading) measurement data is shown. The extracted

Young’s modulus and the intrinsic in-plane stress are found

as   E =181± 20 GPa and   σ  = 383±27 MPa. These results are

Fig. 8. Measurements of the deflection w0 vs. an applied differential pressure p.

The measured data points (circles) are fitted with a model for large deflection of 

circular membranes. Fromthat fitting, the material parametersYoung’s modulus

 E  and intrinsic stress is σ  are extracted.

derived assuming a Poisson’s ratio value of  ν = 0.24 [28]. The

diameter of the membrane is measured as   d 0 = 202.3m, the

deposited thickness of the ALD Al2O3   layer is   t = 100 nm, as

measured during deposition with a quartz crystal microbalance.

The error calculation for the extraction of the Young’s modu-

lus and the intrinsic in-plane stress accounts for three sources of 

errors: instrumental errors, geometric errors and measurement

errors. The high precision pressure sensor (Burster 8263-100)

and the white light interferometer have inherent instrumenta-

tion error. The pressure sensor has a maximal absolute error

of 450 Pa, i.e. 0.065% of the maxim loading pressure value of 

100 psi. The white light interferometer has a lateral (in-plane)

error of 0.69 m and a vertical (through-thickness) error of 0.4 nm. Geometric errors are found in the thickness   t   of the

membrane. The thickness is measured for various membranes

using spectroscopic ellipsometry (SENTECH SE850) with an

estimated error of 4 nm. Measurement errors are given as the

standard deviation of the measured pressure-deflection points

and the curve fitting to these points. The material parameters are

quantified using standard error propagation analysis.

3.3. Pointers

Thepointersexaminedare from two differentfabricationruns

on five different silicon substrates, with between 1 and 3 point-ers measured in different areas on a single substrate. Pointers

oriented in orthogonal directions, but fabricated on the same

substrate were measured. A total of 33 pointers were imaged

using an SEM so that their displacements could be measured

resulting in σ  = 474± 70 MPa and σ  = 427±34 MPa for 50 and

100 nm thick films, respectively. Measurement of the pointer tip

displacement is done manually using a ruler to measure the dis-

placedstructure on a printed image.The SEMimages were better

resolved for the 100 nm thick Al2O3  than for the 50 nm thick 

Al2O3. The accuracy of the measured displacement is given for

each of the four different pointer designs in Table 2. The result-

ing average error due to measurements is±34 and±70 MPa for

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 7/11

 M.K. Tripp et al. / Sensors and Actuators A 130–131 (20 06) 419–42 9   425

Table 2

A summary of the measurement error and corresponding error in stress for the four different pointer structures

Error Pointer A Pointer B Pointer C Pointer D Average

 y (100nm) (m)   ±0.025   ±0.025   ±0.025   ±0.025   ±0.025

σ  (100 nm) (MPa)   ±27   ±32   ±29   ±47   ±34

 y (50nm) (m)   ±0.05   ±0.05   ±0.05   ±0.05   ±0.05

σ  (50 nm) (MPa)   ±54   ±64   ±57   ±95   ±67

100 and 50nm thick Al2O3, respectively. The standard deviation

of measurements matches closely the calculated measurement

error.

Variation of stress between different specimens is thought to

depend most upon error in measurements, i.e. imaging and data

extraction. Variation in stress across the film and across different

depositions is smaller than the resolution of our measurements,

i.e.  ±70 MPa. Measurement resolution could be improved by

incorporating a vernier gauge on the end of the pointer as a

reference for more accurate readings.

3.4. Nanobeam deflection

Fig. 7(a) shows the experimental data of the deflection   z of 

a 50 and 100 nm thick Al2O3  cantilever (w = 1 m,  l = 5m;

see Fig. 7(b)) as a function of the contact point  x  at a constant

loading force, F = 30 nN. The maximum measured deflection  z

of the 100 nm (50 nm) thick cantilever is in the range of 85 nm

(100 nm), which is still in the small deflection regime (radius of 

curvature R z)since Rmax = 156m 85nmforthe100nm

thickcantilever and 41m 100 nmfor the 50 nmversion. This

corresponds to a maximum bending angle of 1.9◦ and3.4◦ for the

100 and 50 nm cantilevers, respectively. In both cases, assuming

that the Euler–Bernoulli linear-elastic beam theory [30] applies,

the error is estimated to be less than 1% [30]. Prior to testing, the

AFM cantilever spring constant was calibrated using a thermal

noise method [33],   where AFM cantilever displacement mea-

surements of the thermal fluctuations are performed near the

resonant frequency of the cantilever. The spring constant of the

AFM cantilever is found to be  k AFM = 8.85 nN/nm. In order to

avoid large non-linear deflections of the cantilevers, the mea-

surementswere restricted (i.e. triggered) using a maximum force

F max of 100 nN. Finally, the regression fit to the measured data

(see Fig.7(a)) leads to a Young’s modulus of  E =168± 8GPafor

the 100 nm thick cantilever and E =182±32 GPa for the 50 nm

thick cantilever. The measurements were performed on four dif-

ferent structures. Moreover, Fig. 7(a) confirms the elastic beam

model (solid lines) for the two measured geometries, which are

compared with the experimental data.

4. Discussion

As seen in   Table 3,   the results are found to be compara-

ble with those previously published for other amorphous Al 2O3

deposition techniques. Although indentation has the least sig-

nificant error, all of our measurements of Young’s modulus

are the same, within the range of error, and in agreement with

previously reported values. Our measurements apply to Al2O3

fabricated using ALD, a technique which has not previously

been subject to mechanical characterization. Additionally, uni-

form material property values were measured for indentation

depths between 50 and 100 nm, such that Young’s modulus can

be considered constant throughout this range. The Berkovitch

hardness is slightly higher than those reported by Moody et al.

[34] f or similar ALD films. One possible explanation for this dif-

ference is that the previous work was performed using an older

Table 3

A summary of Al2O3 properties presented in literature as well as those measured in this work 

Deposition process Measurement techniques   T  (◦C)   t (nm)   E  (GPa)   σ  (MPa) BH (GPa) UH (GPa) Reference

ALD NI 177 300 180.0± 8.2 12.3± 1.0 8.0± 0.5 TW

ALD BT 177 100 181± 20 383± 27 TW

ALD PR 177 100 427± 34 TW

ALD PR 177 50 474± 70 TWALD NBD 177 100 168± 8 TW

ALD NBD 177 50 182± 32 TW

ALD NI 100 300 150–155 8   [37]

ALD NI 177 169± 19 8.8± 1.7   [34]

Evaporation WC 170 0–700 564   [35]

Evaporation WC 200 0–700 272± 25 331   [35]

AO TM 100 100 195–380   [38]

PVD NI 150 9.5   [39]

ECR plasma NI 170 177 9.6   [40]

Evaporation NI 160–180   [41]

AO NI 160   [41]

The symbols used in the table stand for anodic oxidation (AO), Berkovitch hardness (BH), bulge testing (BT), Young’s modulus ( E ), electron–cyclotron resonance

(ECR), thickness (t ), nanobeam deflection (NBD), nanoindentation (NI), pointer rotation (PR), physical vapor deposition (PVD), stress ( σ ), temperature (T ),

tensilometer (TM), this work (TW), universal hardness (UH), and wafer curvature (WC).

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 8/11

426   M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429

Table 4

A comparison of Al2O3  stress with silicon nitride (Si x N y) for MEMS applications

Deposition techniques Material Measurement techniques   t  (nm)   T  (◦C)   σ  (MPa) Reference.

ALD Al2O3   PR 100 177 427± 34 (T) TW

ALD Al2O3   PR 50 177 474± 70 (T) TW

LPCVD Si x N y   BT 500 PolyMUMPs 114–130 (T)   [42]

LPCVD Si x N y   PR 150–500 850 125–967 (T)   [43]

LPCVD Si x N y   BT 2000 800 98 (T)   [44]

LPCVD Si x N y   WC 4–20 780–840 1000 (T)   [45]

LPCVD Si x N y   BT 100–300 790 1000 (T)   [46]

LPCVD Si x N y   BT   ∼290 785 120–150 (T)   [24]

LPCVD Si x N y   MBT   ∼760 840 291 (T)   [47]

PECVD Si x N y   WC 320–380 180 (C)   [45]

PECVD Si x N y   BT 500 300 110 (C)   [46]

PECVD Si x N y   WC, XRD   ∼1000 400 150–1610 (C)   [48]

BT: bulge testing; C: compressive; LPCVD: low-pressure chemical vapor deposition; MBT: microbridge test; PECVD: plasma enhanced chemical vapor deposition;

PR: pointer rotation; t : thickness, T : temperature; T: tensile; TW: this work; WC: wafer curvature; XRD: X-ray diffraction.

MTS Systems Corporation Nano II indentation machine, which

is not as accurate at the small indentation depths required forALD specimens.

The values for the intrinsic in-plane stress presented here are

slightly higher than those presented earlier in [15]. This increase

is because we used a more accurate value for Young’s modulus

( E = 180 GPa) in calculations of Eq. (2). Proost and Saepen [35]

show the average stress for film thicknesses ranging from 0 to

700nm is constant fora given temperature for evaporated Al2O3.

Our results, based on three fabrication runs and two different

film thicknesses, also suggest the intrinsic stress is relatively

unaffected by the thickness of the ALD fabricated material. Fol-

lowing the work of Proost and Saepen [35], it may be possible to

reduce the magnitude of intrinsic in-plane stress by increasing

the deposition temperature. In this case, stress due to thermal

mismatch will be higher for a higher deposition temperature

upon cooling to ambient temperature. Adatom mobility, how-

ever, will also be greater at elevated deposition temperature,

which can have a more significant effect on the overall in-plane

stress than thermal mismatch. A more detailed study of how the

intrinsic in-plane stress varies for different deposition parame-

ters, including changes in temperature and flow rates, of Al 2O3

is neededto improve the growth process for usein various micro-

and nano-electromechanical systems applications.

Table 4 compares ALD Al2O3  with various types of silicon

nitride (Si x N y). Since very little work has been done using ALD

Al2O3 as a structural material, Si x N y is presented as a referencematerial because of its similar material properties. Even with-

out performing any optimization to the deposition process, the

intrinsic stress for ALD Al2O3  is comparable to that of Si x N y

deposited at conditions optimized for stress reduction.

ALD Al2O3 has similar electrical properties to various com-

positions of PECVD or LPCVD Si x N y, and can be used for

many of the same micro- and nano-electromechanical systems

applications. Yet, ALD Al2O3  offers the advantage of its low

deposition temperature [36], which readily allows for integration

with CMOS processing. It also has a high chemical resistance

to gas-phase Si etchants. Instead of KOH, which is commonly

used to selectively etch Si relative to Si x N y, SF6  or CHF3, dry

chemistries can be used to mechanically release ALD Al2O3

structures. Dry etching is advantageous over liquid etching forthe release of long thin structures, where surface forces asso-

ciated with the hydrophilic interaction between the structures

and the liquid meniscus can cause surface driven adhesion, i.e.

“stiction”. Such forces can also prevent the liquid from entering

small features, inhibiting their mechanical release, and there-

fore limiting the minimum feature size. ALD Al2O3 surfaces are

typically less hydrophobic than bare silicon surfaces. Lastly the

hardness of Al2O3   (∼12 GPa) is not as large as typical Si x N y

films (∼30 GPa), however it is observed to be comparable to

that of silicon itself (∼12 GPa). High hardness is of advan-

tage in tribological applications, where the rate of wear may be

reduced.

ALD Al2O3 has many other properties that make it attractive

as a new material for micro- and nano-electromechanical sys-

tems. It is amorphous and has been shown to consistently be a

pinhole-free, uniform layer with a precise known thickness and

a roughness equal to or lower than the surface of the substrate

[8]. Additionally, ALD allows for excellent conformal growth

on all exposed surfaces. Investigations have been performed to

demonstrate the ability of ALD to coat uniformly high aspect

ratio trench structures [9]. Although Al2O3 is not conductive, it

can be doped with varying amounts of zinc oxide (ZnO) [14,37],

to produce a conductive surface coating. This method allows the

possibility of tuning the electrical and/or mechanical properties

of the material.These initial results improve theunderstanding of ALDmate-

rials for use in micro- and nano-electromechanical systems. The

material properties presented here are for films that have been

optimized for maximum growth rate on silicon. Yet, many Si x N y

films exhibiting comparable properties, such as residual stress,

have been previously optimized for mechanical properties. Opti-

mizing the growth process for lower values of in-plane stress is

one step that must be taken. Additionally, further mechanical

characterization of ALD Al2O3 /ZnO composites would allow

tunable electrical and mechanical properties to be accounted

for in device design. This will expand the possible applica-

tions beyond those already envisioned which use ALD as either

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 9/11

 M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429   427

a coating or as structural layer, including the fields of ultra-

sonic transducers, pressure sensors, RF MEMS, and optical

MEMS.

5. Conclusion

We used indentation, bulge testing, pointer rotation, andnanobeam deflection to measure the mechanical properties of 

ALD Al2O3. Measured mechanical properties include Young’s

modulus, Berkovitch hardness, universal hardness and intrin-

sic in-plane stress. Our measurements are in agreement with

one another as well as with previously published results for

amorphous Al2O3   from other growth methods. With knowl-

edge of the material properties, intelligent design of micro- and

nano-electromechanical systems that use ALD Al2O3 as either

a coating or as a structural layer is possible. Further work is nec-

essary to optimize the ALD Al2O3 growth process for improved

mechanical properties. Finally, further mechanical characteri-

zation of Al2O3 /ZnO composites may allow both mechanical

tuning and electrical tuning of the materials, in turn expanding

the range of applications for ALD materials.

Acknowledgments

The authors wish to thank Alain Jungen and Debajyoti

Sarangi, Frank DelRio, Katrin Sidler, Otte Homan, Robert

Wueest, and Patric Strasser. The CAMPmode Industrial Advi-

sory Board, NSF, IGERT: 1530112, DARPA/MTO Grant no:

NBCH1040003, DGE-9870665 and the Air Force Office of 

Scientific Research are gratefully acknowledged for their finan-

cial support. Support by the ETH FIRST Lab and finan-

cial support by the ETH Zurich (TH-18/03-1) are gratefullyacknowledged.

References

[1] N.D. Hoivik, J.W. Elam, R.J. Linderman, V.M. Bright, S.M. George,

Y.C. Lee, Atomic layer deposited protective coatings for micro-

electromechanical systems, Sens. Actuators A 103 (2003) 100–108.

[2] Y. Zhang, M.L. Dunn, K. Gall, J.W. Elam, S.M. George, Deforma-

tion of nanocoated thin film microstructures, J. Appl. Phys. 95 (2004)

8216–8225.

[3] D.C. Miller, C.F. Herrmann, H.J. Maier, S.M. George, C.R. Stoldt,

K. Gall, Intrinsic stress development and microstructure evolution of 

Au/Cr/Si multilayer thin films subject to annealing, Scripta Mat. 52(2005) 873–879.

[4] T.M. Mayer, J.W. Elam, S.M. George, P.G. Kotula, Atomic layer deposi-

tion of wear-resistant coatings for micromechanical devices, Appl. Phys.

Lett. 82 (2003) 2883–2885.

[5] C.F. Herrmann, F.W. DelRio, V.M. Bright, S.M. George, Conformal

hydrophobic coatings prepared using atomic layer deposition seed layers

and non-chlorinated hydrophobic precursors, J. Micromech. Microeng.

15 (2005) 984–992.

[6] M.K. Tripp, C.F. Herrmann, S.M. George, V.M. Bright, Ultra-thin mul-

tilayer nanomembranes for short wavelength deformable optics, in: Pro-

ceedings of the 17th IEEE Conference on Micro Electro Mechanical

Systems (MEMS 2004), Maastricht, The Netherlands, January 25–29,

2004, pp. 77–80.

[7] L.L. Liu, O.M. Mukdadi, M.K. Tripp, C.F. Herrmann, J.R. Hertzberg,

S.M. George, V.M. Bright, R. Shandas, Atomic layer deposition for

fabricating capacitive micromachined ultrasonic transducers: initial char-

acterization, in press.

[8] S.M. George, A.W. Ott, J.W. Klaus, Surface chemistry for atomic layer

growth, J. Phys. Chem. 100 (1996) 13121–13131.

[9] M. Ritala, M. Leskela, J. Dekker, C. Mutsaers, P. Soinonen, J. Sharp,

Perfectly conformal TiN and Al2O3   films deposited by atomic layer

deposition, Chem. Vapor. Depos. 5 (1999) 7–9.

[10] A.C. Dillon, A.W. Ott, J.D. Way, S.M. George, Surface chemistry

of Al2O3   deposition using Al(CH3)3   and H2O in a binary reactionsequence, Surf. Sci. 322 (1995) 230–242.

[11] A.W. Ott, J.W. Klaus, J.M. Johnson, S.M. George, Al2O3   thin film

growth on Si (1 0 0) using binary reaction sequence chemistry, Thin

Solid Films 292 (1997) 135–144.

[12] J.W. Elam, S.M. George, Growth of ZnO/Al2O3  alloy films using atomic

layer deposition techniques, Chem. Mater. 15 (2003) 1020–1028.

[13] M.D. Groner, J.W. Elam, F.H. Fabreguette, S.M. George, Electrical

characterization of thin Al2O3  films grown by atomic layer deposition

on silicon and various metal substrates, Thin Solid Films 413 (2002)

186–197.

[14] J.W. Elam, D. Routkevitch, S.M. George, Properties of ZnO/Al2O3  alloy

films grown using atomic layer deposition techniques, J. Electrochem.

Soc. 150 (2003) G339–G347.

[15] M.K. Tripp, C. Stampfer, C.F. Herrmann, S.M. George, C. Hierold, V.M.

Bright, Low stress atomic layer deposited alumina for nano electrome-

chanical systems, in: Proceedings of the 13th International Conference

on Solid-State Sensors, Actuators and Microsystems (Transduers 2005),

Seoul, Korea, June 5–9, 2005, pp. 851–854.

[16] J.W. Elam, M.D. Groner, S.M. George, Viscous flow reactor with quartz

crystal microbalance for thin film growth by atomic layer deposition,

Rev. Sci. Instrum. 73 (2002) 2981–2987.

[17] M. Ritala, M. Leskela, Atomic layer deposition chemistry: recent devel-

opments and future challenges, Angew. Chem. Int. Ed. 42 (2003)

5538–5554.

[18] W.C. Oliver, G.M. Pharr, Measurement of hardness and elastic modulus

by instrumented indentation: advances in understanding and refinements

to methodology, J. Mater. Res. 19 (2004) 3–20.

[19] D.L. Joslin, W.C. Oliver, A new method for analyzing data from con-

tinuous depth-sensing microindentation tests, J. Mater. Res. 5 (1990)

123–126.

[20] J.H. Strader, S. Shim, H. Bei, W.C. Oliver, G.M. Pharr, An experimental

evaluation of the constant     relating the contact stiffness to the con-

tact area in nanoindentation, Proc. Mater. Res. Soc. Symp. 841 (2005)

R1.4.1–R1.4.6.

[21] J.S. Mitchell, C. Zorman, T. Kicher, S. Roy, M. Mehregany, Examina-

tion of bulge test for determining residual stress, Young’s modulus and

Poisson’s ratio of 3c-SiC thin film, J. Aerospace Eng. 16 (2003) 46–54.

[22] M.K. Small, W.D. Nix, Analysis of the accuracy of the bulge test in

determining the mechanical properties of thin films, J. Mater. Res. 7

(1992) 1553–1563.

[23] S.P. Timoshenko, S. Woinowsky-Krieger, Theory of Plates and Shells,

2nd ed., McGraw Hill, New York, 1959.

[24] J.J. Vlassak, W.D. Nix, A new bulge test technique for determining

Young’s modulus and Poisson’s ratio of thin films, J. Mater. Res. 12

(1992) 3242–3249.

[25] T. Helbling, Fabrication of single walled carbon nanotube based pressure

sensor, Master Thesis, ETH Zurich, Zurich, Switzerland, 2005.

[26] M.K. Tripp, Atomic layer deposited thin films for micro- and nano-

electromechanical systems with applications in short-wavelength adap-

tive optics, PhD Dissertation, University of Colorado, Boulder, Colorado,

USA, 2005, Appendix D.

[27] B.P. van Drieenhuizen, J.F.L. Goosen, P.J. French, R.F. Wolffenbuttel,

Comparison of techniques for measuring both compressive and tensile

stress in films, Sens. Actuators A 37/38 (1993) 756–765.

[28] G. Simmons, H. Wang, Single Crystal Elastic Constants and Calculated

Aggregate Properties: A Handbook, 2nd ed., M.I.T. Press, Cambridge,

Massachusetts, 1971, p. 146.

[29] B. Bhushan, Handbook of Nanotechnology, Springer-Verlag, New York,

2004, p. 66.

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 10/11

428   M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429

[30] A.N. Cleland, Foundations of Nanomechanics, Springer-Verlag, New

York, 2003.

[31] T.F. Page, G.M. Pharr, J.C. Hay, W.C. Oliver, B.N. Lucas, E. Herbert,

L. Riester, Nanoindentation characterization of coated systems:  P / S 2—a

new approach using the continuous stiffness technique, Proc. Mater. Res.

Soc. Symp. 522 (1998) 53–64.

[32] M.R. McGurk, T.F. Page, Using the   P–δ2 analysis to deconvolute the

nanoindentation response of hard-coated systems, J. Mater. Res. 14

(1999) 2283–2295.[33] L.-O. Heim, M. Kappl, H.-J. Butt, Tilt of atomic force microscope can-

tilevers: effect on spring constant and adhesion measurements, Langmuir

20 (2004) 2760–2764.

[34] N.R. Moody, T.E. Buchheit, B.L. Boyce, S. Prasad, T.M. Mayer, S.M.

George, Thickness effects on the mechanical behavior of ALD film, in:

Presented at the MRS Spring Meeting, San Francisco, CA, USA, April

12–16, 2004.

[35] J. Proost, F. Spaepen, Evolution of the growth stress, stiffness, and

microstructure of alumina thin films during vapor deposition, J. Appl.

Phys. 91 (2002) 204–215.

[36] M.D. Groner, F.H. Fabreguette, J.W. Elam, S.M. George, Low-

temperature Al2O3   atomic layer deposition, Chem. Mater. 16 (2004)

639–645.

[37] C.F. Herrmann, F.W. DelRio, S.M. George, V.M. Bright, Properties of 

atomic layer deposited Al2O3 /ZnO dielectric films grown at low temper-

atures for RF MEMS, in: Proceedings of the SPIE on Micromachining

and Microfabrication Process Technology X, vol. 5715, January, 2005,

pp. 159–166.

[38] R.W. Hoffman, Nanomechanics of thin films: emphasis: tensile proper-

ties, MRS Symp. 130 (1989) 295–305.

[39] T.C. Chou, T.G. Neih, S.D. McAdams, G.M. Pharr, Microstructures and

mechanical properties of thin films of aluminum oxide, Scripta Mater.

25 (1991) 2203–2208.

[40] J.C. Barbour, J.A. Knapp, D.M. Follsteadt, T.M. Mayer, K.G. Minor,

D.L. Linam, The mechanical properties of alumina films formed by

plasma deposition and by ion irradiation of sapphire, Nucl. Instrum.

Methods Phys. Res. B 166/167 (2000) 140–147.

[41] N.G. Chechein, J. Bøttiger, J.P. Krog, Nanoindentation of amorphous

aluminum oxide films III. The influence of the substrate on the elastic

properties, Thin Solid Films 304 (1997) 70–77.

[42] R.L. Edwards, G. Coles, W.N. Sharpe Jr., Comparison of tensile and

bulge tests for tensile silicon nitride films, Exp. Mech. 44 (2004) 49–54.

[43] P.J. French, P.M. Sarro, R. Mallee, E.J.M. Fakkeldij, R.F. Wolffenbut-

tel, Optimization of a low-stress silicon nitride process for surface-

micromachining applications, Sens. Actuators A 58 (1997) 149–157.

[44] M. Sekimoto, H. Yoshihara, T. Ohkubo, Silicon nitride single-layer X-

ray mask, J. Vac. Sci. Technol. 24 (1982) 1017–1021.

[45] M. Stadtmueller, Mechanical stress of CVD-dielectrics, J. Electrochem.

Soc. 12 (1992) 3669–3674.

[46] O. Tabata, K. Kawahata, S. Sugiyamia, I. Igarashi, Mechanical property

measurements of thin films using load-deflection of composite rectan-

gular membranes, Sens. Actuators 20 (1989) 135–141.

[47] T.-Y. Zhang, Y.-J. Su, C.-F. Qian, M.-H. Zhao, L.-Q. Chen, Microbridge

testing of silicon nitride thin films deposited on silicon wafers, Acta

Mater. 48 (2000) 2843–2857.

[48] J.A. Taylor, The mechanical properties and microstructure of plasma

enhanced chemical vapor deposited silicon nitride films, J. Vac. Sci.

Technol. 9 (1991) 2464–2468.

Biographies

Marie K. Tripp  earned a BSE in engineering physics at the University of 

Michigan in 1999 and a MS in electrical engineering at the University of 

Colorado in 2001. Most recently, she received her PhD in electrical engi-

neering from the University of Colorado in 2005 where she specialized in

optical micro- and nano-electromechanical systems. She spent a short time

as a postdoctoral research associate at ETH Zurich in Zurich, Switzerland.

Where her research interests included the study of thin film structures made

with atomic layer deposition (ALD) and the development of specialized fab-

rication processes to incorporate carbon nanotubes (CNT) as active elements,

along with these ALD structures, for the fabrication of novel devices. Marie

is currently a process engineer at Intel Corporation in Portland, Oregon, USA.

Christoph Stampfer  has studied technical physics and electrical engineering

at the TU Vienna, Austria where he received his Dipl-Ing and completed his

BSc in applied physics with computing at the Napier University (Edinburgh,

GB). He is currently a PhD student at the chair of micro- and nano-systemsat the Swiss Federal Institute of Technology Zurich (ETH), Switzerland.

His current research interests include applications of carbon nanotube-based

NEMS, electromechanical properties of single walled carbon nanotubes and

ballistic electron transport in open quantum billiards.

David C. Miller   in 1998 earned a BS degree in mechanical engineering

at the University of Minnesota. He earned a MS in mechanical engineering

at the University of Colorado in 2000. He has worked at Network Photon-

ics where he helped develop a MEMS-based wavelength switch for optical

telecommunications. He is currently pursuing a PhD in mechanical engineer-

ing. His current research interests include materials science, solid mechanics,

and applications for micro- and nano-thin films.

Thomas Helbling  received in 2005 his MS degree in electrical engineering

at the Swiss Federal Institute of Technology (ETH) Zurich. His main focus

has been the design of integrated electronic circuits and the use of carbonnanotubes in MEMS applications, which is the focus of his current research.

Cari F. Herrmann received her BS in chemistry and mathematics from Muh-

lenberg College in Allentown, PA in 1996. In May of 2001, She received

a PhD in physical chemistry/materials science from the University of North

Carolina at Chapel Hill. She joined the University of Colorado as a postdoc-

toral research associate in June of 2002. Her research interests include using

atomic layer deposition to enhance the reliability of MEMS devices.

Christofer Hierold   is professor for micro- and nano-systems at the Swiss

Federal Institute of Technology Zurich since April 2002. Before, he was

11 years with Siemens AG, Corporate Research, and Infineon Technologies

AG in Munich, Germany, working on CMOS compatible microsystems. His

major research at ETH Zurich is now focused on the field of nanotransducers,

evaluation of new materials for MEMS and advanced microsystems. He has

been serving in program committees of numerous scientific conferences and

he is member of the International Steering Committee of the European Con-

ference on Solid-State Transducers. He is subject editor of the IEEE/ASME

Journal of Micro Electromechanical Systems, JMEMS, and joint editor of 

Wiley-VCH’s book series on “advanced micro- and nano-systems”.

Ken Gall   received the BS, MS, and PhD degrees in mechanical engineer-

ing from the University of Illinois at Urbana-Champaign in 1995, 1996,

and 1998, respectively. He is currently an associate professor of materials

science and engineering and mechanical engineering at Georgia Institute of 

Technology. Prior to this appointment he spent 6 years at the University of 

Colorado in the department of mechanical engineering. His research interests

lie at the interface of mechanics and materials with emerging applications in

bioengineering, microsystems, and nanotechnology.

Steven M. George   is a professor of chemistry and chemical engineeringat the University of Colorado in Boulder. Prior to joining the University

of Colorado in 1992, he was an assistant professor of chemistry at Stan-

ford University. His research interests are in the areas of surface chemistry,

thin film growth and nanostructure engineering. He is currently directing an

internationally recognized research effort focusing on atomic layer deposition

(ALD). He chaired the first Topical Conference on atomic layer deposition

(ALD 2001) sponsored by the American Vacuum Society. He is also co-

founder of ALD nanosolutions, a startup company working to commercialize

ALD. He is a Fellow of the American Physical Society (1997) and a Fellow

of the American Vacuum Society (2000). He has authored or co-authored

more than 200 refereed papers in a variety of areas.

Victor M. Bright   is a professor of mechanical engineering at the University

of Colorado at Boulder. Prior to joining the University of Colorado, he was

a professor in the department of electrical and computer engineering, Air

7/21/2019 The Mechanical Properties of Atomic Layer Deposited Alumina for Use in Mems and NemsTripp2006

http://slidepdf.com/reader/full/the-mechanical-properties-of-atomic-layer-deposited-alumina-for-use-in-mems 11/11

 M.K. Tripp et al. / Sensors and Actuators A 130–131 (2006) 419–429   429

Force Institute of Technology, Wright-Patterson Air Force Base, Ohio (6/92-

12/97). From January through July 2004 he has served as a visiting professor

at the Swiss Federal Institute of Technology (ETH-Zurich), Switzerland. He

has served on the Executive Committee of the ASME MEMS Division, on

the Technical Program Committee of the IEEE MEMS 2000 through 2005

conferences, and as a General Co-Chair for the IEEE MEMS 2005. He

also served on the Technical Program Committee for the Transducers’03 and

IEEE/LEOS Optical MEMS 2003 through 2005. He has taught short courses

on MEMS Packaging at Transducers’03 and Transducers’05. He is an author

of over 70 archived journal articles in the field of MEMS and microsystems.