study on the leakage current behaviors of porous

126
MECHANICAL RELIABILITY OF POROUS LOW-K DIELECTRICS FOR ADVANCED INTERCONNECT: STUDY OF THE INSTABILITY MECHANISMS IN POROUS LOW-K DIELECTRICS AND THEIR MEDIATION THROUGH INERT PLASMA INDUCED RE-POLYMERIZATION OF THE BACKBONE STRUCTURE by YOONKI SA Presented to the Faculty of the Graduate School of The University of Texas at Arlington in Partial Fulfillment of the Requirements for the Degree of DOCTOR OF PHILOSOPHY THE UNIVERSITY OF TEXAS AT ARLINGTON May 2015

Upload: others

Post on 06-Nov-2021

10 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Study on the leakage current behaviors of porous

MECHANICAL RELIABILITY OF POROUS LOW-K DIELECTRICS FOR ADVANCED INTERCONNECT:

STUDY OF THE INSTABILITY MECHANISMS IN POROUS LOW-K DIELECTRICS AND

THEIR MEDIATION THROUGH INERT PLASMA INDUCED RE-POLYMERIZATION

OF THE BACKBONE STRUCTURE

by

YOONKI SA

Presented to the Faculty of the Graduate School of

The University of Texas at Arlington in Partial Fulfillment

of the Requirements

for the Degree of

DOCTOR OF PHILOSOPHY

THE UNIVERSITY OF TEXAS AT ARLINGTON

May 2015

Page 2: Study on the leakage current behaviors of porous

ii

Copyright © by Yoonki Sa 2015

All Rights Reserved

Page 3: Study on the leakage current behaviors of porous

iii

Acknowledgements

First and foremost, I must express the deepest appreciation to my advisor, Prof. Choong-

Un Kim for leading me further into the microelectronics society in both academic and industrial

aspects, offering me the opportunities to raise the insights of research, providing continuous

support and assistance throughout my doctoral research. Without his guidance and persistent

help this dissertation would not have been possible. In addition, special thanks are extended to

the other committee members Prof. Efstathios I. Meletis, Prof. Fugiang Liu, Dr. Nancy Michael,

and Prof. Kyungsuk Yum for their thoughtful questions, encouraging words, and valuable

feedback.

Next, although it is very difficult to mention all people who help and advise me during

PhD study because there are so many, I would like to thank my all group members including old

and current members, the faculties, staffs, students in the Materials Science & Engineering

Department, and especially former fellow workers at KITECH, without whose teaching and

support none of this would have been possible.

My last thanks should go to my parents. Their infinite encouragement and support have

been the cornerstone to my success. I want to dedicate this thesis to them and express all my

respects to them.

April 3, 2015

Page 4: Study on the leakage current behaviors of porous

iv

Abstract

MECHANICAL RELIABILITY OF POROUS LOW-K DIELECTRICS FOR ADVANCED INTERCONNECT:

STUDY OF THE INSTABILITY MECHANISMS IN POROUS LOW-K DIELECTRICS AND

THEIR MEDIATION THROUGH INERT PLASMA INDUCED RE-POLYMERIZATION

OF THE BACKBONE STRUCTURE

Yoonki Sa, PhD

The University of Texas at Arlington, 2015

Supervising Professor: Choong-Un Kim

Continuous scaling down of critical dimensions in interconnect structures requires the

use of ultralow dielectric constant (k) films as interlayer dielectrics to reduce resistance-

capacitance delays. Porous carbon-doped silicon oxide (p-SiCOH) dielectrics have been the

leading approach to produce these ultralow-k materials. However, embedding of porosity into

dielectric layer necessarily decreases the mechanical reliability and increases its susceptibility to

adsorption of potentially deleterious chemical species during device fabrication process. Among

those, exposure of porous-SiCOH low-k (PLK) dielectrics to oxidizing plasma environment causes

the increase in dielectric constant and their vulnerability to mechanical instability of PLKs due to

the loss of methyl species and increase in moisture uptake. These changes in PLK properties

and physical stability have been persisting challenges for next-generation interconnects because

they are the sources of failure in interconnect integration as well as functional and physical failures

appearing later in IC device manufacturing. It is therefore essential to study the fundamentals of

the interactions on p-SiCOH matrix induced by plasma exposure and find an effective and easy-

to-implement way to reverse such changes by repairing damage in PLK structure.

From these perspectives, the present dissertation proposes 1) a fundamental

Page 5: Study on the leakage current behaviors of porous

v

understanding of structural transformation occurring during oxidative plasma exposure in PLK

matrix structure and 2) its restoration by using silylating treatment, soft x-ray and inert Ar-plasma

radiation, respectively. Equally important, 3) as an alternative way of increasing the thermo-

mechanical reliability, PLK dielectric film with an intrinsically robust structure by controlling pore

morphology is fabricated and investigated.

Based on the investigations, stability of PLK films studied by time-dependent ball

indentation tester under the elevated temperature, variation in film thickness and dielectric

constant, shows striking difference with small change in the chemical bond structure. Comparison

of peak extracted by using FTIR (Fourier transform infrared spectroscopy) reveals that

viscoplastic deformation and dielectric constant change correctly reflect the evolution in

morphological structure of Si-O-Si peak. It is also found that hydrophilic nature of PLK matrix

induced by silanol group is more involved with viscoplastic deformation rate and cage-like

crosslinking in Si-O-Si peak is responsible for dielectric constant change. However, the level of

instability driven by plasma exposure in PLK matrix is found to recover and desired mechanical

and electrical properties are obtained by modifying the chemical bond configuration. Silylation

process by HMDS (hexamethyldisilazane) works on recovery of hydrophobicity because it

replenishes –C while removing –OH bonds. Contact angle is restored by controlling process

temperature, however, the silylating agent cannot penetrate deep into PLK matrix without an

adequate medium such as supercritical CO2, making it difficult to implement. As a way of

overcoming the limitation of UV cure, soft x-ray cure with Al Kα target is applied to induce gentle

reconfiguration of chemical bond. It is possible to break bond links selectively by controlling x-ray

energy level and also reduce thermal curing temperature due to the increased penetration depth.

As a result of soft x-ray cure, film thickness loss almost not occurred. However, influence of x-ray

radiation on the moisture removal is limited. Basically, oxidative plasma damage appears in two

extensive areas. The first is the loss of –C from PLK matrix, and the second is the increase in

hydrophilic nature involved with the formation of Si-OH terminal bonds and H2O. Both alternations

Page 6: Study on the leakage current behaviors of porous

vi

cause the dielectric constant to degrade because of increased density and/or loss of free volume,

but the second causes PLK to lose thermal and mechanical stability because Si-OH and H2O act

as catalysts for reactions that break the cross-linked backbone. Clearly, both changes in PLK

chemistry and bond structure must be addressed in order for any repair method to be favorable.

For this reason, Ar plasma treatment with low energy ions is employed to repair the plasma

induced damage by creating the desired changes in the film matrix without a significant loss of

other properties. Our approach of using inert plasma as a way for damage recovery is motivated

by the realization that there is no possibility of chemical reaction with any organic species, driving

the energy transfer only from the plasma species towards the respective film matrix. As results,

after applying Ar plasma beam treatment followed by annealing on damaged PLK films, the

resistance against thermal instability and viscoplastic deformation is found to be improved. Ball

indentation depth of the films with Ar plasma process is drastically reduced at the identical

condition. More noticeable is the fact that such alternation is converted towards a dehydration

reaction under hydrostatic thermal pressure, which causes dielectric constant to decrease and

films shrinkage to restore during reconstruction of polymer chains. It is suggested that the

immediate event of an Ar plasma beam radiation is to deposit energy from the plasma species

(ions, electrons) and this energy input produces the excited state species because Ar cannot

chemically react with the film matrix. As a consequence, the radical sites are generated at the

less stable area such as colony boundary or pore surface with the decay of the excited species,

leading to the production of free radicals by an energy transfer to the bonds which are to be

broken. Then, the activated sites experience chemical bond rearrangement by chain-scission,

branching, or cross-linking. In our case, crosslink with C is involved with silylmethylene (Si-(CH2)x-

Si) groups and it is turned out that some of these groups are converted to methyl groups terminally

bonded to siloxane backbone structure under 300~400oC by reaction with –OH, and

simultaneously creating a new Si-O-Si crosslink.

As an alternative way of increasing the thermo-mechanical reliability, PLK dielectric film

Page 7: Study on the leakage current behaviors of porous

vii

with an intrinsically robust structure by controlling pore morphology is fabricated. Since pore

surface is susceptible to be damaged by BEOL integration damage, pore morphology in terms of

size, distribution, and connectivity should be controlled in order to increase the robustness of PLK

dielectrics. Generally, pores in PLK matrix are created by depositing organic fragment (called

‘porogen’) into the film and removed later by thermal and electron beam cure to form porous PLK

layer (; Subtractive deposition). However, during the curing Si-O-Si backbone crosslink is broken

and pores are easily interconnected, leading to vulnerable structure to the extrinsic damage.

Constitutive deposition approach is feasible for the introduction of smaller nano-pores with little

or no interconnectivity by steric hindrance. Due to the closed pore system, thermally-induced

stress and plasma-induced damage is restricted merely to the surface of the dielectric film. This

is attributed to the stable siloxane (Si-O-Si) backbone and the terminally bonded methyl group

attached to silicon (Si-CH3), inducing steric hindrance that lowers the density of the films. The low

dielectric constant and mechanical stability are closely involved with the formation of the Si-O-Si

cage-like structure and an appropriate combination of stable Si-O-Si, Si-CH3 groups. Based on

the FTIR and XPS spectra, it is concluded that the formation of the Si-O-Si cage-like structure

was enhanced by structural method.

It is believed that all these changes are beneficial for improving PLK stability as will be

detailed in this dissertation. Especially, the originality and particular advantage of this study

regarding plasma-induced damage repair will be highlighted.

Page 8: Study on the leakage current behaviors of porous

viii

Table of Contents

Acknowledgements………………………………………………………….………….... ⅲ

Abstract…………………………………………………………………….…………….... ⅳ

List of Illustrations……………………………………………………….……………….... xi

List of Tables………………….……...………………………………….……………….... xvi

Chapter 1 Introduction……….……………………………………………….………...... 1

1.1 Implementation of Cu/low-k interconnect…………………………………...... 1

1.2 Low dielectric constant (low-k) materials…………………………….……..... 4

1.3 Fabrication of low-k dielectrics……………………………………………….... 10

1.4 Integration and reliability challenges of Cu/low-k interconnect…….…........ 13

1.4.1 Mechanical reliability……………………………………….…………...... 14

1.4.2 Thermal conductivity…………………………………….……………..... 16

1.4.3. Chemical stability and moisture absorption………………………...... 16

1.4.4. Plasma-induced damage………………………….………………........ 17

1.5. Research motivation……….…………………………………………………... 20

1.6 Objectives of research………………………………………………………..... 22

1.7 Description of dissertation thesis…………………………………………….... 25

Chapter 2 Experimental setup…………………………………….……………………... 27

2.1 Introduction of indentation test………………………………………………..... 27

2.2 Fundamentals of indentation creep test for a ball indenter………….……..... 27

Page 9: Study on the leakage current behaviors of porous

ix

2.3 FTIR (Fourier transform infrared spectroscopy) ………………….………….. 29

2.4 Spectroscopic ellipsometer (SE) ………………………….…………………… 34

2.5 Optic surface profilometer……………………………….……………………... 35

2.6 XPS (X-ray photoelectron spectroscopy…………….………………………... 37

2.7 Contact angle measurement…………………………….……………………... 38

2.8 Nano-indentation………………………………………………………….…...... 40

2.9 Force amplitude microscopy by AFM (Atomic Force Microscopy) …............ 42

2.10 Preparation of PLK films and classification…………………………….…..... 44

Chapter 3 Effect of oxidizing plasma exposure on thermo-mechanical reliability….. 47

3.1 Introduction……….……………………………….…………………………...... 47

3.2 Experimental procedures……………………………………….…………….... 48

3.3 Results and discussion…………………….…………………………………... 49

3.3.1 Effect of integration processes on viscoplastic deformation……........ 49

3.3.2 Viscoplastic behavior of PLK films……….…………………………...... 52

3.3.3 Effect of plasma exposure on structural evolution in PLK film.…....... 56

3.3.4 Indentation swelling phenomena of PLK films…….………………....... 61

3.4 Summary……..………………………………………………………………...... 63

Chapter 4 Repair of plasma-induced damage in porous low-k dielectrics………..... 65

4.1 Introduction…………………….……………………………………………....... 65

4.2 Experimental procedures…………….……………………………………….... 66

Page 10: Study on the leakage current behaviors of porous

x

4.3 Results and discussion…………………….……………………..................... 67

4.3.1 Properties restoration by silylation process……….………………....... 67

4.3.2 Properties restoration by soft x-ray…………….……………………..... 70

4.3.3 Low-energy Ar plasma treatment for repair of plasma-induced

damage……………………………………………………..……................ 73

4.4 Summary……………………………………………………………………….... 83

Chapter 5 Enhanced mechanical stability of ultralow-k dielectrics with self-

organized molecular pores…………………………………………………... 84

5.1 Introduction…………………………………………………………………........ 84

5.2 Experimental procedures……………………………………………………..... 86

5.3 Results and discussion……………………………………………………….... 87

5.3.1 Elastic modulus and hardness………………………………………...... 87

5.3.2 Viscoplastic deformation……………………………………………........ 88

5.3.3 Thermo-mechanical resistance………………………………………..... 90

5.3.4 Study of structural configuration……………………………………….... 92

5.4 Summary……………………………………………………………………….... 98

Chapter 6 Conclusion and future work………………………………………………..... 99

6.1 Conclusion……………………………………………………………………..... 99

6.2 Future work…………………………………………………………………........ 101

References…………………………………………………………………………........... 103

Biological information…………………………………………………………................. 110

Page 11: Study on the leakage current behaviors of porous

xi

List of Illustrations

Figure 1.1 Typical cross-section of the interconnect structure indicating the

hierarchical scaling…................................................................................................ 1

Figure 1.2 Scanning electron microscopy (SEM) images of interconnect

architecture; (a) with Cu wire, Cu via, and ILD, (b) without ILD……………………..... 2

Figure 1.3 Gate and interconnect delay versus technology generation…………….... 4

Figure 1.4 Definition of dielectric constant (k) ………………..................................... 5

Figure 1.5 Roadmap for implementation of low-k dielectric materials………….......... 6

Figure 1.6 Description of lowering dielectric constant………………………………..... 8

Figure 1.7 Trend of interlayer dielectric materials…………………………………….... 9

Figure 1.8 Schematic illustration of precursor structures for CVD OSG…………….. 12

Figure 1.9 Integration challenges and issues of porous low-k dielectrics………….... 14

Figure 1.10 Examples of weak mechanical property issue during integration……… 15

Figure 1.11 Schematic description of plasma-induced damage……………………… 18

Figure 2.1 Scheme of the indentation creep under constant load (F) with a ball

indenter…………...................................................................................................... 28

Figure 2.2 Schematic illustration of FTIR…………………………………………......... 31

Figure 2.3 Representative FTIR spectrum of p-SiCOH dielectrics with major

absorption bands…................................................................................................... 31

Figure 2.4 Deconvolution of the Si-O-Si asymmetric band………………………....... 33

Page 12: Study on the leakage current behaviors of porous

xii

Figure 2.5 Description of spectroscopic ellipsometer………………………………..... 35

Figure 2.6 Schematic diagram of optic surface profilometer………………………..... 36

Figure 2.7 Schematic principle of x-ray photoelectron……………………………........ 38

Figure 2.8 Schematic drawing of (a) contact angle goniometer and (b) contact angle

of PLK……................................................................................................................ 40

Figure 2.9 Load-displacement curve showing stiffness calculation from initial

unload (left) and surface profile under load and after load removal (right) .............. 41

Figure 2.10 Schematic description of AFM operation………………………………..... 43

Figure 2.11 An example of the Amplitude Response using FMM…………………..... 44

Figure 2.12 Diagram of networked structure of PLK film deposited from a matrix

precursor………........................................................................................................ 45

Figure 2.13 TEM images displaying cross-section and diffraction pattern………….. 46

Figure 3.1 Effect of back end integration process steps on viscoplasticity………….. 51

Figure 3.2 Topographic images of residual indentation depth with different plasma

exposures and existence of moisture……………………………………………........... 53

Figure 3.3 Variation of contact angle depending on plasma exposure…………….... 54

Figure 3.4 Schematic illustration of a chain of weak links in the backbone network… 55

Figure 3.5 Dielectric constant change upon the types of plasma source and

exposure time………................................................................................................. 57

Figure 3.6 Variation of root mean square (RMS) with respect to plasma

Page 13: Study on the leakage current behaviors of porous

xiii

exposure………………….......................................................................................... 57

Figure 3.7 Differential FTIR spectra evolution after plasma exposure……………..... 58

Figure 3.8 FTIR spectra of as-prepared PLK and plasma-exposed PLKs…………... 59

Figure 3.9 FTIR spectra evolution for methyl and hydroxyl group after plasma

exposure………….................................................................................................... 59

Figure 3.10 FTIR spectra evolution with plasma exposure: (a) before deconvolution,

and deconvoluted fitting of Si-O-Si peak for (b) non-plasma exposure, (c) O2 plasma

exposure, and (d) CO2 plasma exposure……………………………………………...... 60

Figure 3.11 Schematic description of backbone breakage induced by extrinsic

factors such as plasma, moisture, and thermal exposure…………………………...... 61

Figure 3.12 Surface profile images with swelling height and contact angle change

with respect to the specimen history………………………………………………......... 62

Figure 3.13 Comparison of bond configuration between indented and swelled film 63

Figure 4.1 Schematic illustration of silylation treatment with HMDS agent…..……… 68

Figure 4.2 Effect of chemical treatment on the change of indentation depth and

contact angle……...................................................................................................... 69

Figure 4.3 Structural evolutions induced by silylation process……………………...... 70

Figure 4.4 Electromagnetic spectrum………………………………………………….... 71

Figure 4.5 Indentation depth profile change after soft x-ray radiation………………. 72

Figure 4.6 Effect of soft x-ray on thickness and k variation…………………………... 72

Page 14: Study on the leakage current behaviors of porous

xiv

Figure 4.7 Schematic illustration of Ar plasma radiation and bond energy found in

p-SiCOH film matrix………………………………………………………………........ 74

Figure 4.8 Effect of Ar plasma radiation on surface morphology…………………….. 75

Figure 4.9 Effect of Ar plasma radiation on film thickness and k change…………… 75

Figure 4.10 Variations in (a) indentation depth, and (b) thickness and dielectric

constant with proposed recovery processes………………………………………….... 76

Figure 4.11 Effect of Ar treatment on the change in dielectric film thickness………… 78

Figure 4.12 FMM image of ball indented area for PLK film treated by Ar plasma…… 78

Figure 4.13 Evolution of the absorption bands of Si-O-Si with deconvoluted peak

information;(a) as-received, (b) O2 plasma exposed, (c) Ar plasma + thermal anneal

treated, and (d) Ar Plasma + thermally pressured anneal………............................... 80

Figure 4.14 XPS binding energy profile shift of Si2p after Ar plasma process…......... 81

Figure 4.15 Proposed mechanism of chemical bond restoration……………….......... 82

Figure 5.1 Comparison of elastic modulus and hardness between subtractive and

constitutive PLK films……………………………………………................................... 88

Figure 5.2 Comparison of viscoplastic deformation between subtractive and

constitutive p-SiCOH films……………………………………………………………...... 89

Figure 5.3 Comparison of the change in dielectric constant and thickness with

respect to anneal and plasma exposure between subtractive and constitutive PLK

films……………......................................................................................................... 90

Page 15: Study on the leakage current behaviors of porous

xv

Figure 5.4 FTIR spectra between subtractive and constitutive PLK films…………... 93

Figure 5.5 Deconvolution of Si-O-Si backbone peak in FTIR spectra; (a) subtractive

film, and (b)structural film……………………………………………………………….... 94

Figure 5.6 Peak area variations of (a) Si-O-Si, and (b) CHx after anneal and plasma

exposure………………………………………………………………………………….... 95

Figure 5.7 Depth profile of Si atom in vertical direction to the films; (a) structural

film, and (b) subtractive film…………………………………………………………........ 96

Figure 5.8 Peak shift of (a) Si 2p, and (b) C 1s……………………………………....... 96

Page 16: Study on the leakage current behaviors of porous

xvi

List of Tables

Table 1.1 Candidates for low-k dielectrics……………………………………………....... 13

Table 2.1 FTIR peak assignments of SiCOH low-k Dielectrics………………………… 34

Table 2.2 Classification of PLK specimens used in the study…………………………… 46

Page 17: Study on the leakage current behaviors of porous

1

Chapter 1

INTRODUCTION

1.1 Implementation of Cu/low-k interconnect

In the integrated circuit (IC), the interconnect wiring structure serves as an essential

function in carrying electrical signals and distributing clock signals that control the timing and

synchronize the operation. It includes the metal wires that connect the individual devices (e.g.

transistor, capacitor, and resistor) and the interlayer dielectrics (ILD) that isolate and mechanically

support the wires. Recently, IC logic chip processor speed reaches several GHz and random

access memory (RAM) capacity does several tens GB while critical dimensions of the consumer

electronics are continuously miniaturized, driving to ultra-large-scale integration (ULSI) with a

hierarchical system containing multilevel of metallization layers. The cross section of a typical

interconnect structure is shown in Fig. 1.1 and Fig. 1.2(a). [1]

Fig. 1.1 Typical cross-section of the interconnect structure indicating the hierarchical scaling

Page 18: Study on the leakage current behaviors of porous

2

Fig. 1.2 Scanning electron microscopy (SEM) images of interconnect architecture; (a) with Cu

wire, Cu via, and ILD, (b) without ILD [2,3]

The important fact is that ULSI with multilevel of metallization layers brings an increase

of complexity in IC structure and compels the overall interconnect length to increase and pitch

size between metal wires in IC to become finer, which leads the sharp increase of parasitic

capacitance as the space between metal wires decreases. Hence, this scaling effect causes the

signal propagation to be delayed through the intrinsic gate delay and interconnect delay. Basically,

device performance in terms of the response speed in an IC is governed by two components: (1)

the switching speed of an individual transistor, known as the transistor gate delay, and (2) the

signal propagation time between transistors, known as interconnect or RC delay, where R is metal

wire resistance and C is ILD capacitance. The continuous scaling down of the multilevel

integrated circuits requires the metal wires to be thinner and closer each other, inducing the

increase of the resistance and capacitance in the device. It has been recognized that the delay

and power consumption raised by interconnect wires is the limiting factor upon further

improvement for system performance. According to Saraswat et al. the RC delay associated to

the resistance and the capacitance between the wires is given by

RC = 5.53ε0kρ 𝐴

𝐹𝑚𝑖𝑛2 (1.1)

Page 19: Study on the leakage current behaviors of porous

3

where ε0 is the permittivity of vacuum, k is dielectric constant of the insulator, ρ is the

resistivity of metal wire, A is the area of the chip, Fmin is minimum feature size in the chip,

respectively. [4] Equation (1.1) suggests that the reduction of feature size can lead to a sharp

increase in the RC delay time. Therefore IC performance has been relying on the switching speed

of transistors over the last decade, however, it is determined by RC delay these days. Fig. 1.2

shows the trend of interconnect delay versus technology generation. To some point (250 nm for

Al/SiO2 interconnect, and 180 nm for Cu/low-k interconnect), gate delay is dominant factor for

device performance, however, as the feature size shrinks, interconnect delay dominates.

Traditionally, metal wires are made of vapor deposited aluminum and the interlayer dielectrics are

silicon dioxide (SiO2). In order to improve the device performance, therefore, resistance of

aluminum metal wire and capacitance of dielectrics should be reduced. The metal resistance is

reduced by substituting the traditional aluminum (Al) wire with copper (Cu) wire because of the

lower resistance of Cu relative to Al. In addition, the traditional interlayer dielectric SiO2 (k~4.0) is

replaced by low dielectric constant materials (k<3.0). Dielectric constant can be reduced by

decreasing the density, polarizability, and permanent dipole moment of dielectric. This is achieved

by introducing C, H, and F elements as well as pores to reduce the film density and the

polarizability per unit volume of the dielectric material. [5]

Another major concern for interconnects is power consumption. Dynamic power

consumption is given by

P = αCfV2 (1.2)

where α is the wire activity (i.e., when the wire is really transferring a signal), f is the frequency,

V is the power supply voltage, and

C = Coutput + Cwire + Cinput (1.3)

where the output and input capacitance of the transistors and the capacitance introduced by the

wires are all included. Additionally, to a lesser extent, power dissipates statically through the

leakage current between wires. According to equations (1.1) and (1.2), the situation may be

Page 20: Study on the leakage current behaviors of porous

4

addressed by using metals with lower resistivity as the interconnect wires and dielectrics with

lower permittivity as the insulator. The interconnect delay calculated with copper wires and low-k

dielectric insulator shows significant decrease as compared traditional interconnect. (see Fig. 1.3)

Therefore, with recent decision to replace Al/SiO2 by Cu/low-k in the integrated circuit IC chips

and package, the implementation of low-k dielectric in Cu interconnect structures has become

one of the key subjects in the microelectronics industry.

Fig. 1.3 Gate and interconnect delay versus technology generation (unit: um) [6]

1.2 Low dielectric constant (low-k) materials

Generally, low-k dielectrics are defined as the materials with a dielectric constant less

than 4.0 which is the value of silicon dioxide dielectric constant. Dielectric constant refers to the

permittivity of dielectric with respect to that of vacuum as shown in Fig. 1.4. Since dielectric

constant of air or vacuum is defined as 1, the lowest possible dielectric constant is 1, which

technology to incorporate the air or vacuum is known as air gap. While Cu is the most probable

choice of metal for interconnect to decrease the resistance since no other metal can offer notable

Page 21: Study on the leakage current behaviors of porous

5

advantages, the choices of low-k dielectrics can be various. Therefore, the further reduction of

RC delay depends on the continuous development of low-k material with a dielectric constant

lower than SiO2, the standard dielectric material used for interconnect. As indicated in the

roadmap for implementation of low-k dielectrics (Fig. 1.5), the effective dielectric constant is

decreasing and expected to reduce to below 2.0 in the future. [1]

Fig. 1.4 Definition of dielectric constant (k)

Page 22: Study on the leakage current behaviors of porous

6

Fig. 1.5 Roadmap for implementation of low-k dielectric materials

The reduction in dielectric constant of ILD is achieved through the incorporation of

atoms and bonds that have a lower polarizability, or the decrease in density of material as

described in Clausius-Mossotti-Debye equation. [7]

𝑘−1

𝑘+2=

𝑁

3𝜀0(𝛼𝑒 + 𝛼𝑖 +

𝜇2

3𝑘𝑇) (1.4)

where N is the density of the material (number of molecules per cm3). αe is the electronic

polarization, which describes the displacement of the cloud of bond electrons with reference to

the nucleus under an applied electric field. αi is the ionic polarization, which is induced by the

displacement of the nuclei by the applied electric field, thereby stretching or compressing the

bond length. The third term in equation (1.4), (𝜇2

3𝑘𝑇), describes the dipolar polarization, where μ is

the orientation polarizability, k is the Boltzmann constant and T is the temperature. The term (𝜇2

3𝑘𝑇)

is the thermal averaging of the permanent electric dipole moments in the presence of an applied

Page 23: Study on the leakage current behaviors of porous

7

field. Depending on the signal frequency of the applied electrical field, the relative dominance of

each polarization mechanism varies. The latter two polarization mechanisms contribute to

polarization and are important at lower frequencies (<1013 Hz), while the electronic polarization

dominates in dielectric permittivity at higher frequencies (~1015 Hz). [8] At typical device operating

frequencies, currently <109 Hz, all three polarization mechanisms are important in the dielectric

constant and should be minimized for optimum performance. [5] Among all the possible

approaches for decreasing the dielectric constant of ILD, the most effective approaches is to

reduce the volume density because the reduction of density indicates the decrease of the total

number of electronic and ionic dipoles per unit volume. The density can be reduced by using

lighter atoms such as C and H atoms and/or by introducing more free space around the atoms.

Accordingly, incorporation of pores into the existing SiO2 is a favorable approach to increase the

free space and decrease the ILD density. There are two methods for adding pores into existing

low-k materials: subtractive and constitutive method. [5,8] In the subtractive method, a skeleton

precursor mixed with an organic precursor (which is called porogen) is introduced by using the

PECVD. During curing of the dielectric, the organic precursor burn out from the dielectrics, leaving

nano-size pores. [9] The constitutive method for fabricating low-k materials is the porogenless

structural approach. The porosity in the film can be obtained by using particular precursors that

contain molecular pores when the film cross-links during annealing, leaving behind pores. [10-14]

The description of lowering dielectric constant is shown in Fig. 1. 6. The organic polymers were

considered at first because they can be formed with non-polar C-C bonds. However, this category

of material had many issues, including thermal instability at high temperature, weak mechanical

properties and high coefficient of thermal expansions (CTE). These factors present significant

difficulties for integration in the existing IC processing technology. This has led to the development

of the main approach adopted by the industry which is to use SiO2-like low-k materials. This

category of materials can be easily integrated into existing technology since the SiO2 integration

Page 24: Study on the leakage current behaviors of porous

8

is highly developed. The polarizability of SiO2 can be decreased by introducing less polarized

bonds, such as Si-F, Si-H, or Si-CH3, into the silicon network.

Fig. 1.6 Description of lowering dielectric constant

The effect of porosity on dielectric constant can be predicted by using the Bruggeman

effective medium approximation model [15, 16]:

022 2

22

1

11

e

e

e

e

kk

kkf

kk

kkf (1.5)

where f1,2 represents the fraction of the two components, k1,2 the dielectric constant of the

components, and ke is the effective dielectric constant of the material. The model assumes two

components to the film: the solid dielectric material and pores. Since the dielectric constant of

free space is unit, the introduction of pores reduces the dielectric constant by lowering the density

of the ILD. However, the drawback of incorporating pores in a material is to reduce the mechanical

strength and degrade thermal properties, which leading to potential reliability issues as well as

significant integration challenges in advanced Cu/PLK interconnects. Such reliability issues and

integration challenges will be reviewed in more details in section 1.4.

Page 25: Study on the leakage current behaviors of porous

9

As described in Fig. 1.7, from 90 nm technology node, SiCOH materials have been

mainly studied and used for interlayer dielectrics. [17] The name “SiCOH” indicates the elemental

composition of the material but does not represent the stoichiometry. The SiCOH material is

formed by introducing carbon molecular bonds (mainly through CH3 groups) into a silica matrix,

which reduces both the total polarizability as well as the density of the material. The SiCOH

materials, therefore, is also called carbon-doped oxide (CDO) or organosilicate glass (OSG).

Fig. 1.7 Trend of interlayer dielectric materials

The implementation of SiCOH materials can reduce the effective k value to 2.7. To

achieve lower k value, the density of the material needs to be further reduced by introducing

porosity in the low-k material. The k value of a porous material with porosity P is expressed by

the following equation:

𝑘−1

𝑘+2= (1 − 𝑃) ∙

𝑘𝑚−1

𝑘𝑚+2 (1.6)

Page 26: Study on the leakage current behaviors of porous

10

where km is the dielectric of the matrix material without porosity and all pores are assumed to

empty or filled with a substance that has k=1. With the introduction of porosity, the ultralow-k (ULK)

material, which is defined as material that has a k value lower than 2.5, was produced. The ULK

material used in this dissertation is a porous SiCOH (p-SiCOH) material provided by

GLOBALFOUNDRIES and INTEL Corporation.

A porous SiCOH dielectric layer is deposited by using plasma enhanced chemical vapor

deposition (PECVD). It is the most used approach in the industry and first introduced by Grill et

al. [18] In this process, the film is deposited using at least two precursors in the plasma, where

one of them is a pure organic molecule (sacrificial nanoparticle) referred to as a ‘porogen’. The

other precursor consists of silicon atoms and organic radicals and is called the ‘matrix’ precursor.

The plasma interaction of these precursors forms a “hybrid” film composed of an organosilicate-

based matrix enclosing the porogens. Then, the porogens are removed by a post-deposition

treatment such as thermal annealing or UV treatment, resulting in a porous SiCOH film with

ultralow-k properties.

1.3 Fabrication of low-k dielectrics

Low-k or porous low-k films can be categorized into two, based on the method to obtain

these materials. The two methods are spin-on and chemical vapor deposition (CVD). Both the

deposition techniques have their advantages and disadvantages and there is very little agreement

that which of the two techniques is best suitable for future technology.

For the spin-on deposition, the dielectric precursors mainly consist of organic or

inorganic polymer matrixes mixed with solvent along with porogen precursors to make porous

films. There are several steps involved in spin coating: first the substrate on which the low-k film

has to be coated is placed on the spinner and the precursors are dispensed onto the center of

the substrate at room temperature and ambient pressure. The second step is to rotate the spinner

to produce a uniform distribution of material on the substrate by the creation of centrifugal force

Page 27: Study on the leakage current behaviors of porous

11

[8]. The thickness and uniformity of the coated film are dependent on the viscosity of the film, the

spinning rate and the evaporation rate of the solvent. The coating is subsequently cured to at

temperatures typically around 200ºC to remove the solvent and induce polymerization and cross-

linking of the precursors. Lastly, heating to temperatures typically around 400ºC, or using e-beam

technology is required to remove organics and porogens. This baking and curing steps result in

the final cross-linking of polymer chains which gives desirable mechanical strength to the film.

Chemical vapor deposition has widely gained importance in depositing thin films [19].

The CVD is a process in which chemical components from a gas phase absorb and react on the

surface of substrate in a vacuum environment. There are several methods of CVD deposition

such as APCVD, done at atmospheric pressure, LPCVD, done at low pressure and PECVD which

is a plasma-enhanced CVD process. Recently, most of the low-k films have been deposited by a

subtractive PECVD technique. In the subtractive technique, precursor materials such as

diethoxymethylsilane (DEMS), octamethylcy-clotetrasiloxane (OMCTS) and

tetramethylcyclotetrasiloxane (TMCTS) can be introduced into the PECVD reactor and deposited

on the substrate. (see the structure of precursors in Fig. 1.8)

Page 28: Study on the leakage current behaviors of porous

12

Fig. 1.8 Schematic illustration of precursor structures for CVD OSG [20]

During the deposition of the precursor, a volatile organic species or porogen precursors

are mixed with the matrix precursor, creating a dual phase material like SiCOH-CHX material. The

organic species (the labile CHX fraction) or porogens are removed by either annealing the film for

several hours at temperatures below 400ºC or annealing it with energetic species such as

electrons (EB cure) or photons (UV cure) at 350-400ºC. By the removal of the porogen during

curing process, the decomposed precursor forms a porous low-k film (pSiCOH) with a silicon

dioxide skeleton and terminating organic groups, consisting of hydrogen or methyl groups (-CH3)

[9]. Such materials are called porous low-k dielectrics (PLK), and hence make it possible to further

reduce dielectric constant of low-k materials by the reduction in film density due to the fact that

the methyl group is substituted for oxygen in SiO2. This is because replacing an oxygen atom by

a -CH3 group introduces a less polar bond and creates additional free volume. It is called

constitutive porosity.

Page 29: Study on the leakage current behaviors of porous

13

Table 1.1 summarizes the recognized dielectric material candidates for ILD integration.

Table 1.1 Candidates for low-k dielectrics [21,22]

Materials K value Deposition Methods

SiO2

3.9 – 4.2 CVD

Fluorosilicate glass (FSG) 3.2 – 4.0 CVD

Diamond-like Carbon (DLC) 2.7 – 3.4 CVD

Fluorinated DLC 2.4 – 2.8 CVD

Black Diamond ™ (SiCOH) 2.7 – 3.3 CVD

Parylene-F 2.4 – 2.5 CVD

Parylene-N 2.7 CVD

Hydrogen silsesquioxane (HSQ) 2.9 – 3.2 Spin-on

Methyl silsesquioxane (MSQ) 2.6 – 2.8 Spin-on

B-staged Polymers (CYCLOTENE and SiLK) 2.6 – 2.7 Spin-on

Fluorinated Polyimides 2.5 – 2.9 Spin-on

Polyimides 3.1 – 3.4 Spin-on

Poly (arylene ether)(PAE) 2.6 – 2.8 Spin-on

PTFE 1.9 Spin-on

Porous HSQ 1.7 – 2.2 Spin-on

Porous SiLK 1.5 – 2.0 Spin-on

Porous MSQ 1.8 – 2.2 Spin-on

Porous PAE 1.8 – 2.2 Spin-on

Aerogels/Xerogels (Porous Silica) 1.1 – 2.2 Spin-on

Air Gaps 1.0 ?

1.4 Integration and reliability challenges of Cu/low-k interconnect

The tremendous number of difficulties appearing during the integration of the low-k into

copper dual-damascene structures delayed the introduction of these dielectrics as compared with

the ITRS roadmap predictions. [23] For dense low-k materials, the poor mechanical and thermal

properties were identified as the main showstoppers for their integration. However, in the case of

ultralow-k materials the introduction of pores increases the number of integration issues even

further. In this section, the integration issues linked with the porosity in ultralow-k dielectrics (as

schematically shown in Fig. 1.9) will be discussed in more detail.

Page 30: Study on the leakage current behaviors of porous

14

Fig. 1.9 Integration challenges and issues of porous low-k dielectrics

1.4.1 Mechanical reliability

The introduction of porosity into dielectric films leads to inferior mechanical properties

as compared to their dense equivalents. Both elastic modulus and hardness are decreasing with

increasing porosity in the low-k matrix. [8] While the elastic modulus has been shown to correlate

with the ability to survive integration processes, which involve large mechanical force, such as

chemical mechanical polishing (CMP) [24], it appears not to be the only parameter for mechanical

performance of dielectric materials. The ability to withstand stress without crack propagation (i.e.

fracture toughness) is also important. Like the modulus, the fracture toughness degrades with

porosity. Modeling of the fracture toughness revealed that the softest of these materials, porous

aromatic organic materials, show the most attractive fracture toughness capability. [25]

Page 31: Study on the leakage current behaviors of porous

15

Fig. 1.10 Examples of weak mechanical property issue during integration

In addition to the inferior modulus, the lower adhesion strength of low-k materials can

also initiate interfacial crack formation and propagation [26]. Adhesion can be improved by careful

interface engineering. It is well known that additional treatments, such as plasmas, can enhance

both adhesion and elastic modulus by densification of the surface [27]. Unfortunately, a negative

side effect of this densification is the deterioration of the low-k properties, as will be discussed

later on in the section ‘plasma damage’. The elastic modulus can also be improved through UV

and/or e-beam cure treatments [28-30]. Due to the large penetration depth of these treatments,

the low-k material will be altered throughout the entire layer (and not all low-k properties might

benefit from that) and the CMOS transistors underneath might even be affected [30].

Although CMP compatibility might be the first manifestation of mechanical failures, the

ability to withstand packaging stresses and lifetime testing is essential to successful integration

of low-k materials. In addition, more and more metal levels are required in interconnects, due to

increasing circuit complexity and density. Consequently, the question arises whether the

increasing number of layers will have an impact on the reliability and failure mechanisms of the

structures within packages. Extensive thermos-mechanical modeling [26-30] has been used to

understand the stresses induced in the die back-end layers due to the package, and to identify

the key modulators that impact these stresses.

Page 32: Study on the leakage current behaviors of porous

16

1.4.2 Thermal conductivity

In general, there are three causes of interconnect temperature rise: Joule heating (i.e.

metal resistive heating), heat dissipation from the substrate and heat dissipation from nearby

interconnect lines. As increasing temperatures in the interconnect will lead to increasing line

resistances and decreasing electromigration lifetimes, the heat flow to a cooled substrate has to

be maximized. The thermal conductivity decreases rapidly with the incorporation of porosity, as

heat conduction is limited to the solid phase of a porous material. The low thermal conductivity

might cause heat dissipation problems. Hence, simulations of the thermal behavior of

interconnect systems, using low-k dielectrics and copper, are important. For that, the

measurement of the thermal conductivity is a prerequisite. Delan et al. [31] used the 3ω

measurement technique (transient hot wire method) to determine the thermal conductivity of a

variety of low-k materials. All of them were found to be in the range 0.11-0.19 W/mK, which is a

factor of 13 - 7 smaller than thermally grown SiO2.

In advanced technology nodes, the poor thermal conductivity of low-k materials does

not facilitate the heat transport to the substrate sufficiently. Therefore, more research is needed

to investigate the use of the metal as heat carrier, since it provides a low thermal resistance path.

Chiang et al. [32] proposed using dummy metal (stacked vias) in advanced interconnect

structures to transport the heat to a sink. They have shown that thermal vias would be required

approximately every 30 μm in copper-polymer interconnect structures and every 20 μm in copper-

air to match the temperature rise of copper-SiO2. The latter suggests that the issue of thermal

conductivity might be solved by optimized mask design.

1.4.3. Chemical stability and moisture absorption

With respect to chemical reliability issues, the pore structure makes the low-k materials

prone to the penetration of moisture and other chemicals during the integration process such as

Page 33: Study on the leakage current behaviors of porous

17

CMP, plasma-based etching and ashing. It has been reported that low-k materials are vulnerable

to moisture contamination even though they are usually hydrophobic. [33-40] In order to remove

the absorbed moisture in the low-k films, annealing or curing process is usually carried out at high

temperatures within the range that the low-k material can tolerate. However, a portion of

chemisorbed and/or trapped moisture in low-k materials typically is not removed thoroughly.

Moreover, when the low-k samples are exposed to a moisture-rich environmental again, re-

adsorption of moisture may occur. [35] The existence of moisture in low-k films can have a

negative influence on electrical reliability of interconnect structure and their mechanical integrity.

With regard to electrical reliability, the dielectric constant value of water or moisture is as high as

80 due to the polar O-H bonds, so that even a small amount of water intrusion into the low-k films

can significantly raise the dielectric constant of porous low-k films.

Water also impacts the leakage current of the dielectrics adversely. From the

mechanical point of view, the presence of water deteriorates interface-adhesion properties

between dielectrics and cap layers. [41,42] Such a moisture uptake is especially important for

porous low-k materials because they have a large surface area per unit volume, where moisture

could potentially be adsorbed, resulting in even more severe and problematic reliability issues in

Cu/PLK interconnects.

1.4.4. Plasma-induced damage

Plasmas are widely used in the semiconductor industry. Many materials, including most

low-k materials, are deposited using CVD-plasmas; plasmas are used to transfer the resist-

pattern into the deposited films and nowadays more and more additional plasma treatments are

used to improve adhesion and mechanical strength and to seal the porous surfaces. All these

plasmas will however differ on chamber design and processing details, such as e.g. reactant

concentration, power and duration. These factors determine the performance of the process, as

well as the extent of the plasma damage in the low-k films.

Page 34: Study on the leakage current behaviors of porous

18

A commonly observed consequence is carbon depletion of (non)-porous organosilicate

or silsesquioxane films after resist-ashing using an O2-based plasma. [43-47] Oxygen radicals

oxidize the Si-CH3 and the Si-H groups and convert them into a Si-OH group. Since the Si-OH

group is hydrophilic, it easily induces moisture uptake. For higher porosity low-k films, the impact

of this damage is expected to increase, since the penetration of the radicals will be deeper as

well as the absorption of water. The latter can lead to dramatic k-value increments. The

contribution of this damage on the overall electrical performance gains importance as the

dielectric spaces between the interconnect lines shrink.

Fig. 1.11 Schematic description of plasma-induced damage

When the technology approaches 45 nm or lower, low-k materials have more methyl

groups and pores to further reduce dielectric constant. In such a case, the plasma damaging

effect is more pronounced for highly porous low-k materials, causing reliability issues and yield

loss in Cu/PLK interconnects.

Since resist ashing is assigned to be the most critical process for low-k materials, most

attempts to minimize the plasma damage are focused on new ashing processes and / or new

integration schemes. H2/He ashing seems to be one of the most promising resist removal

processes for post-etch wafer cleaning compatible with SiOC films. [47-49] The physical damage

caused by these light ions is reduced, however the chemical damage can still be extensive. It

Page 35: Study on the leakage current behaviors of porous

19

should also be mentioned that this process is quite inefficient in resist-removal and it should

therefore be operated at elevated temperatures (to reduce the ash-time and consequently the

plasma damage). [48]

Other researchers focus on shifting the resist removal process to an earlier point in the

integration flow, consequently the low-k will not be exposed to the ash-plasma. Most of these

approaches involve the use of multiple CVD hard masks [50,51] or metal hard masks. [52,53] The

resist patterns are transferred into the hard mask layers. After removing the resist, the low-k is

patterned using the hard mask. The remainder of the hard masks on the dielectric layer after etch

will be removed during the CMP process.

All these hard mask approaches assume that the ash plasma causes the major part of

the sidewall damage. However, the damage by the etch-plasma should not be neglected. The

carbon-depleted sidewall region has been decorated by a diluted HF-treatment, which selectively

etches SiO2. The plasma damage caused by the oxygen-free via-etch cannot be neglected, since

a large part of the sidewall has been etched. In addition, a thin fluorine-containing polymer-layer

was found to be present at the sidewalls. In contrast to what was expected, this passivation layer

was not able to stop the plasma damage.

The possibility of repairing the plasma damage in organosilicate or silsesquioxane films

is being investigated as well. These techniques involve the use of silylating agents, such as

trimethylchlorosilane (TMCS) [54] or hexamethyldisilazane (HMDS). [54-56] The hydrophilic Si-

OH groups are replaced with hydrophobic Si-O-Si(CH3)3. The change of hydrophobicity reduces

the moisture uptake and consequently restores the k-value to a large extent. However, due to the

presence of a densified surface layer, the repair of the low-k materials will occur mainly at the

surface.

As at present, it seems extremely challenging to exclude plasma damage during the

integration of ultralow-k materials into on-chip interconnects, some authors [57,58] proposed

hybrid integration schemes. In these approaches, the SiOC material at trench-level is replaced

Page 36: Study on the leakage current behaviors of porous

20

with a porous aromatic polymer (i.e. porous SiLK) in order to prevent plasma damage at these

levels. Preliminary capacitance measurements [59] suggest however that the porous organic

polymers are also prone to plasma damage (accompanied by a significant k-value increase),

which would eliminate the advantage of the hybrid integration approaches.

More recently, researchers demonstrated successful integration of ultralow-k materials

using a gap fill based integration scheme. [60] In this approach, a reliable metal level is fabricated

in a dense organic or inorganic material. Following the metal CMP, the bulk dielectric is selectively

etched out between the metal lines. After the etch-back, any desired low-k material can be used

for (over) filling the gaps. However, in this scheme it is necessary that the deposited low-k fills the

narrow gaps and withstands direct CMP. This expensive approach also shows the desperate need

for a breakthrough in the “red brick wall” in the back-end-of-line part of the ITRS roadmap. [1]

1.5. Research motivation

As discussed, continuous scaling down of the multilevel integrated circuits requires

replacing interlayer dielectrics to improve device performance by reducing resistance-

capacitance coupling delay. The International Technology Roadmap for Semiconductors (ITRS)

indicates that permittivity of dielectric constant (k) with below 2.0 will be required for inter-level

metal insulators in the future. [1] In accordance with this technology trend, embedding nano-size

pores into the carbon-doped oxide dielectrics (SiCOH) has become the leading approach to

produce the ultralow-k materials. However, the porosity incorporation into a dielectric material

necessarily decreases mechanical properties and increases its active surface area, making it

more susceptible to potential damage from the reactive chemicals used in plasma etch and ash

during device process. Therefore, lowering of the effective dielectric constant while maintaining

the mechanical and electrical reliability of PLK dielectric thin films has been extensively studied

as a key factor in Back-End-Of-Line (BEOL) integration. [8,61] Equally important is to find an

effective way to restore the physical and chemical changes during the integration processes to

Page 37: Study on the leakage current behaviors of porous

21

the original structure.

In the BEOL integration of semiconductor devices, one of the major applications of

plasma exposure process is the removal of photoresist and polymer residues after dry etching

process. [62] Plasma exposure to the dielectrics film causes complex change in the chemistry

morphology involved with carbon depletion and the formation of Si-OH (silanol) or Si-H bonds,

and film densification. [63-65] Among various plasma sources, exposure of SiCOH films to O2

plasma induces breaking of Si-CH3 and thereby, relatively non-polar Si-CH3 groups are replaced

with significantly more polar Si-OH groups and oxidized carbon groups. These changes in surface

chemistry upon plasma exposure produced significant increases in the dispersive and polar

surface energy components. [66] In addition, Sun et al. and Lee et al. reported that O2 plasma

treatment induced the pore collapse and the densification of low-k dielectrics materials between

pores, leading to the non-uniform structure degradation in porous low-k dielectrics. [67,68] A

series of these changes result in the loss of hydrophobicity as well as the increase in the dielectric

constant due to polarizability of silanol and moisture uptake, making PLK films to instigate various

physical and functional reliability failure of the interconnects. It is therefore important to fabricate

the robust PLK dielectric matrix that creates less damage to physical and chemical structure of

PLK. Equally important is to find an effective and practical way to remove the damage in PLK

matrix incurred by integration processes and restore their original stability. Several methods of

damage restoration have been proposed in the past, most notably the silylation treatment;

however, they offer limited effectiveness, and also, they are not easy to incorporate into the flow

of integration processes. For example, SCCO2 based process requires high pressure (~80 bar)

to maintain supercritical state of CO2 and thus pose considerable challenges in its implementation

to actual fab environment. Furthermore, the byproduct of silylation reaction may react with CO2

forming a residue that produces adverse influence on the PLK properties. [69] UV cure has been

also reported that it induces Si-O-Si crosslink by condensation reaction. However, UV cure is

usually conducted at high temperature of 400oC, which causes thickness shrinkage. It is

Page 38: Study on the leakage current behaviors of porous

22

potentially problematic source of integration process when it comes to ultra-fine technology node.

Besides, producing of H2O by condensation reaction is definitely undesirable because dielectric

constant of water is ~80. Therefore, the present dissertation is basically motivated to find the

effective ways to restore the integration-process-induced damage (mainly, plasma-induced

damage) based on understanding of the fundamentals behind the intrinsic instability of PLK and

suggest the feasible methodology to produce the robust configuration of PLK matrix that causes

less damage with respect to integration process.

1.6 Objectives of research

As mentioned so far, plasma-induced damages have presented significant challenges

to the integration of Cu/low-k interconnect. This has generated great interests recently in studying

plasma-induced damage to low-k dielectric and damage repair by using several methods

including silylation process and UV cure. In our previous study, viscoplastic properties of PLK

films were traced depending on variation of plasma exposure by using ball indentation creep test

with weighted emphasis on the mechanical stability. [70] It was found that PLK films indeed

plastically deformed with time, and that PLK stability was significantly influenced by porosity

(intrinsic factor) and plasma damage (extrinsic factor). Furthermore, it was revealed that the

involved mechanism for viscoplastic deformation in PLK films was chemical evolution in

incomplete bond network catalyzed by Si-OH formation from the temperature dependence

indentation test. With the previous study and result, degradation in properties of porous SiCOH

films induced from plasma process during integration is clearly observed, however, PLK stability

and its change with plasma process conditions are determined to be much more complicated than

previously thought due to the complexity of low-k plasma surface interactions including both

chemical and physical effect. The former arises from chemical reactions of plasma with low-k

constituents, while the latter involves physical bombardment, the intensity of which depends on

the plasma density and energy. Unknown details in the backbone structure could be the factor to

Page 39: Study on the leakage current behaviors of porous

23

reveal the correlation between PLK stability and structural evolution. From this point of view, a

whole series of characterization in PLK structural change could suggest the outlook of restoring

the original structure and repairing the plasma-induced damage in PLK matrix. Interestingly, one

of the clues that viscoplastic deformation can be manipulated is found when we do the same

indentation test with different PLK specimen containing much more unstable hydrocarbon residue.

The indentation test result shows swelling phenomena rather than exhibiting indentation behavior,

obviously indicating that the thermo-mechanical instability related to viscoplastic deformation can

be improved by modifying chemical bond configuration.

From this perspective, the present dissertation research will show key findings along

with the discussion on the techniques for the future work that aims to provide complementary

evidences supporting the proposed mechanism of structural change induced by plasma exposure

and restoration process. For this, we investigate the correlation between film chemistry and

viscoplasticity (measure of structural weakness) by closely tracking chemical changes in the film

matrix using FTIR (Fourier Transform Infrared) spectroscopy and XPS (X-ray Photoelectron

Spectroscopy) with variation in plasma exposure and recovery processes. Based on our

observation, primary mechanism of viscoplasticity is mainly related to the hydrophilic nature of

the film after plasma exposure and dielectric constant change is relevantly involved with the cage-

like configuration in Si-O-Si backbone structure. FTIR spectra exhibit that such change is involved

with the oxidation of hydrophobic Si-(CH3)X bond groups and its transformation into more

hydrophilic Si-OH bond groups. And also, the reaction with plasma induces silicon suboxide

(~1023 cm-1) to increase with an expense of cage structure (~1135 cm-1) in the Si-O-Si backbone.

This results in shrinkage of the film with collapse of pores inside of the film. Its structural

restoration is found to be possible by treating such damage using silylation agent and soft x-ray

radiation with thermal assistance, because all those treatments promote reconfiguration of the

bond network around the pore and enhance structural stability. The level of instability driven by

plasma exposure in PLK matrix is recovered and desired mechanical and electrical properties are

Page 40: Study on the leakage current behaviors of porous

24

obtained by enhancing crosslinking with the increase in C content. In addition, we have been

searching for an alternative route for damage repair in terms of easy access to IC process flow,

leading us to a discovery that a brief exposure to low-energy inert plasma results in the restoration

of PLK structure and properties that closely match the undamaged. Peak configuration shift of

Si2p in backbone structure revealed by XPS supports that substitution of O with C can be taken

place by inert Ar-plasma radiation due to energy transfer. In addition, it is revealed that non-

reactive Ar radiation followed by thermal pressure promotes simultaneous reaction of elimination

of –OH groups, crosslinking with bridging methane (Si-CH2-Si), and production of methyl group

in the Si-O-Si structure.

Comparably as the study of damage restoration in PLK dielectrics, research on the

enhanced mechanical stability of ultralow-k dielectrics with self-organized molecular pores will be

highlighted. It proposes an alternative deposition method for robust PLK dielectrics with smaller

and uniform porosity by steric hindrance of matrix precursors. Pores fabricated by removal of

organic fragments (porogen) in ultralow-k dielectric film produce lower mechanical properties as

well as incur electrical degradation during chip integration process because pore surface acts as

a reactive path for moisture uptake or Cu diffusion. Furthermore, UV cure used in porogen

removal simultaneously breaks the skeleton crosslinks in silica matrix. To overcome this issue,

ultralow-k film with self-organized molecular pores due to steric hindrance is deposited by using

particular precursors. Dielectric constant of ~2.1 with superior modulus (>13 GPa) is achieved by

inducing uniform distribution of porosity and lower polarizability, which modulus is significantly

improved compared with that of porous SiCOH film fabricated by subtractive method with same

k value. Also, it shows enhanced resistance against plasma-induced damage and thermally-

induced film shrinkage. Analysis of the film structure indicates that symmetric linear Si–O

molecular chains with –CH3 terminal bonds are grown and cross-linked to each other in the film,

leading to the decrease in dipole moment and improvement in the mechanical properties.

It is believed that all these changes are beneficial for improving PLK stability as will be

Page 41: Study on the leakage current behaviors of porous

25

detailed in this study. Especially, the academic originality and particular advantage of this

research on IC manufacturing process regarding plasma-induced damage repair will be

highlighted.

1.7 Description of dissertation thesis

The dissertation is organized into the following chapters.

In chapter 1, the advanced technology of modern Cu/PLK interconnects is briefly

introduced and some functional properties of low dielectric constant materials are reviewed. Also,

the integration challenges and reliability issues due to the material properties of Cu and PLK are

discussed. Among them, thermo-mechanical instability of PLK in relation to reliability concerns is

emphasized.

Chapter 2 discusses the experimental details that are extensively used through this

dissertation. First, the indentation creep test method developed in our group will be overviewed.

This technique particularly suitable to characterization of permanent deformation in PLK film,

which will influence the integration failure due to dimensional change. Then, the characterization

techniques, such as FTIR, XPS, Ellipsometry, AFM, Nano-indentation, etc., used for sample

evaluation will be briefly introduced.

Chapter 3 is devoted to understand the backbone structure in PLK matrix. It is organized

as two parts. The first part of this chapter presents the experiment result exhibiting the IC process

damage on PLK properties. Influence of every step in IC process on PLK stability will be quantified

and studied. Then, the suggestion for the modification of backbone structure which is favorable

will be made by investigating various samples that have different chemical configuration.

In chapter 4, it is devoted to the investigation of dielectric recovery method including

silylation process and soft x-ray radiation combined with thermal treatment. The silylation process

restores the surface carbon and hydrophobicity but recovery is restricted to the surface. Soft x-

ray with thermal annealing modifies the backbone structure, but recovery for hydrophobicity is

Page 42: Study on the leakage current behaviors of porous

26

limited. Finally, recovery with low-energy inert Ar plasma treatment will be highlighted. The result

obtained in our study shows that Ar plasma treatment provides the most effective way to restore

the damaged mechanical and electrical properties at the same time.

Chapter 5 proposes an alternative deposition method for robust chemical configuration

that has higher resistance against IC integration process damage. Ultralow-k film with self-

organized molecular pores due to steric hindrance is deposited by using particular precursors and

thermo-mechanical properties of ULK film are characterized with respect to IC integration process

damage. Dielectric constant of ~2.1 with superior modulus (>13 GPa) is achieved by inducing

uniform distribution of porosity and lower polarizability, which modulus is significantly improved

compared with that of porous SiCOH film fabricated by subtractive method with same k value.

In chapter 6, the summary of the thesis and future research will be presented.

Page 43: Study on the leakage current behaviors of porous

27

Chapter 2

EXPERIMENTAL SETUP

2.1 Introduction of indentation test

Most of the indentation tests are applied for the determination of static plastic properties

of materials (e.g. hardness, yield stress). For the investigation of the time-dependent properties

of materials, termed indentation creep, the indentation tests with various indenters such as

spherical ball, pyramidal, conical and cylindrical indenters have been largely used due to the

simplicity of operation, involving relatively little demand on the sample preparation and the small

volumes related to the deformation during indentation process in comparison with the tensile tests

which are generally applied to examine the time dependent mechanical properties of materials

(e.g. creep, viscous flow). In our study, among various types of indentation tests the ball indenter

is employed to investigate the existence of thermo-mechanical instability of PLK dielectrics. The

primary advantage of the ball indenter is that it is less sensitive to alignment between the indenter

and material surface, which is essential to obtain precise geometries in hard materials, thereby

experiments are easy to perform. Another advantage for use in ball indenters is that indentation

contact begins elastically as the load is first applied, but then changes to elastic-plastic at steadily

increasing the load, which can theoretically be used to examine yielding and associated

phenomena (e.g. strain-hardening behavior) in a single test. [71]

The ball indentation test was performed to determine the viscosity of glasses by Heynes

and Rawson in the 60s. [72] The theoretical interpretation of this measurement was suggested

by Douglas. [73]

2.2 Fundamentals of indentation creep test for a ball indenter

In the case of crystalline materials at temperatures above 0.5 Tm, the time-dependent

deformation mechanism is typically described by

Page 44: Study on the leakage current behaviors of porous

28

nA (2.1)

Where ɛ is the strain rate in a uniaxial test, σ is the applied stress, A is a constant for a given

material and temperature, and n is the stress exponent of power-law creep. For pure metals or

glasses, it is reasonable to assume that the threshold stress (σ0) is zero within an acceptable

error. As shown in Fig. 2.1, an incompressible ball indenter is pressed onto the surface of sample

and the pressure distribution varies along the contact radius (a) during the indentation performed

under a constant load (F). Under this loading, the indenter sinks into the material to a depth (h)

with time (t).

Fig. 2.1 Scheme of the indentation creep under constant load (F) with a ball indenter

During indentation creep test the pressure, ps, under the ball indenter, can be expressed as a

function of the indentation depth

)(2 hDh

F

a

Fps

(2.2)

Assuming that D >> h, where D (=2R) is the diameter of the ball indenter, equation (2.2) can be

expressed in the following form

hD

Fps

(2.3)

Page 45: Study on the leakage current behaviors of porous

29

In this case, the strain rate can be defined as the ratio of the indentation rate and the radius of

the contact at the given indentation depth. Applying the same approach as in equation (2.3)

dt

dh

hDdt

dh

hDhdt

dh

a 2

1

)(2

1

2

1

(2.4)

Accordingly, the strain rate during indentation test will be determined by the shape of indenter

and indentation rate. Therefore, it is reasonable to conclude that the residual indentation depth

(h) after unloading in time (t) represents plastic deformation at given conditions of temperature

and load, and is related to the pressure stress through the stress-strain rate response equation

(2.1) [refer to Appendix 1]. From the measurement of indentation depths with time using a ball

indentation test we introduced, the thermo-mechanical instability of PLK dielectrics can be

effectively verified, leading to understanding the related viscoplastic deformation mechanism in

PLK dielectrics.

2.3 FTIR (Fourier transform infrared spectroscopy)

Fourier transform infrared spectroscopy (FTIR) is commonly applied to characterize the

bonding configuration or molecular structure of dielectric materials. FTIR is based on the IR

absorption arising from the dipole-photon interaction. [74] In the infrared (IR) range, atoms in solid

material have various types of vibrations, including asymmetrical stretching, symmetrical

stretching, in-plane bending (scissoring or rocking) and out-of-plane bending (wagging or twisting).

Once the IR photon energy matches the characteristic energy difference between two energy

levels of specific vibration modes, IR absorption occurs. According to the Beer-Lambert’s law, the

absorbance (A) is proportional to the molar absorptivity (α), bond concentration (c) and film

thickness (t) and can be expressed as

𝐴 = − log (𝐼1

𝐼0) = 𝛼 · 𝑐 · 𝑡 (2.5)

where I0 is the incoming light intensity and I1 the outgoing light intensity. In this study, a NicoletTM

6700 Spectrometer was applied in the transmission mode for FTIR measurement, under the

Page 46: Study on the leakage current behaviors of porous

30

condition of the wavenumber resolution ~ 4 cm-1, the number of scan ~ 256, and the wavenumber

range ~ 4000 cm-1 – 520 cm-1. During measurement, the FTIR chamber was purged with N2 gas

to remove vapor moisture and carbon dioxide. Instead of using tons of discrete monochromatic

lights, FTIR uses a broadband IR source. IR photons with wavenumber from 4000 cm -1 to 520

cm-1 pass through the sample at the same time.

The FTIR detection is based on the principle of the Michelson Interferometer. [75] As

shown in Fig. 2.2, by using the collimating mirror, the IR light is directed to the beam splitter. Then,

the beam is split into two directions, one toward the fixed mirror and the other toward the moving

mirror. By adjusting the displacement (x) of the moving mirror, the optical path difference between

the two beams can be varied. After bouncing back from the fixed mirror and the moving mirror,

the two beams recombine at the beam splitter with a certain phase difference and are directed

toward the sample. Then, the intensity of the light transmitted through the sample is measured by

a detector. By setting up a proper interference condition by adjusting the optical path difference

between the two beams, the resultant light intensity can be computed. The procedure to collect

the FTIR spectrum consisted of two steps. First, the transmission spectrum of a bare Si substrate

was collected and saved as a background spectrum. Second, the transmission spectrum of low-

k dielectrics on Si substrate was measured. By subtracting the background from the transmission

spectrum of low-k dielectrics on Si substrate, the FTIR spectrum of low-k dielectrics was obtained.

A typical FTIR absorbance spectrum for the porous SiCOH dielectrics is described in

Fig. 2.3. The spectrum shows several broad absorption bands representing the diversified film

structures that result from the plasma polymerization process.

Page 47: Study on the leakage current behaviors of porous

31

Fig. 2.2 Schematic illustration of FTIR

Fig. 2.3 Representative FTIR spectrum of p-SiCOH dielectrics with major absorption bands

Page 48: Study on the leakage current behaviors of porous

32

Detailed peak assignments are listed in Table 2.1. The broad absorption band from 1200

to 950 cm-1 belongs to the Si-O-Si asymmetric stretching mode, typical for a siloxane network.

This backbone structure of organosilicate glass low-k dielectrics is the cross-linked SiO2-like

tetrahedral structure. The Si-O-Si bonding configuration can be separated into three peaks,

including the cage (1135 cm-1, Si-O-Si~150º), the network (1063 cm-1, Si-O-Si~140º), and the

suboxide (1023 cm-1, Si-O-Si<140º) peaks. [76] The relationship between the wavenumber and

Si-O-Si bridging angle can be interpreted by the central force model proposed by Sen. et al. [77]:

𝜔2 = 𝛼

𝑚0(1 − cos 𝜃) +

4𝛼

3𝑚𝑆𝑖 (2.6)

where ω is the angular frequency, α is the Si-O bond-stretching constant, θ is the Si-O-Si bridging

angle, m0 is the oxygen atomic mass, and mSi is the silicon atomic mass. Because wavenumber

is proportional to angular frequency, Equation (2.5) shows that the wavenumber increases with

the Si-O-Si bridging angle. Due to the large Si-O-Si bridging angle, the cage structure contributes

primarily to the formation of pores [78] and the reductions of film density and dielectric constant.

Usually, with increasing concentration of cage structure, the porosity increases and the film

density decreases, resulting in a lower dielectric constant.

Page 49: Study on the leakage current behaviors of porous

33

Fig. 2.4 Deconvolution of the Si-O-Si asymmetric band

Page 50: Study on the leakage current behaviors of porous

34

Table 2.1 FTIR peak assignments of SiCOH low-k Dielectrics

2.4 Spectroscopic ellipsometer (SE)

Because measurements by the spectroscopic ellipsometer (SE) are nondestructive and

easy and fast to operate, the SE technique has been widely employed to determine film thickness,

Page 51: Study on the leakage current behaviors of porous

35

refractive index, and extinction coefficient in the semiconductor industry. This technique is based

on the change of light polarization induced by the reflection and transmission at the film interface.

As shown in Fig. 2.5, the SE system consists of a light source, polarizer, rotating analyzer, and

photomultiplier. By using a polarizer, the unpolarized light given out by the light source becomes

linearly polarized. After reflection and transmission at the film interface, the linearly polarized light

becomes elliptically polarized. By using rotating analyzer and photomultiplier, the change of light

polarization is measured.

Fig. 2.5 Description of spectroscopic ellipsometer

2.5 Optic surface profilometer

In order to determine the indentation depth of PLK films after the indentation creep

testing, the measurements of the depth of indentation were conducted under a WYKO® optical

profiler NT9100™. The WYKO® NT9100™ optical profiler combines non-contact interferometry

with advanced automation for highly accurate, 3D surface topography measurements. The

working principle of the WYKO® optical profiler is shown in Figure 2.6. Light from the illuminator

travels through the IMOA (Integrated Modular Optics Assembly) and downs to the objective (2.5X

Michelson, etc.). A beamsplitter inside objective splits the light into two beams. One beam, called

Page 52: Study on the leakage current behaviors of porous

36

reference beam, reflects from a super smooth reference mirror inside the objective. The other

beam, called the test beam, reflects from the sample and back to the objective. When these two

lights recombine, an interference pattern is received by the camera, and the signal is transferred

to the computer, where it is processed by Vision software. Vision then computes and produces a

graphical representation of the sample surface.

Fig. 2.6 Schematic diagram of optic surface profilometer

In our study, VSI (Vertical scanning-interferometry) mode was used to measure

indentation depth and surface roughness. Vertical scanning-interferometry is a newer technique

than phase-shifting interferometry. The basic interferometric principles are similar in both

techniques: light reflected from a reference mirror combines with light reflected from a sample to

produce interference fringes, where the best-contrast fringe occurs at best focus. However, in VSI

mode, the white-light source is not filtered, and the system measures the degree of fringe

modulation, or coherence, instead of the phase of the interference fringes. In vertical-scanning

interferometry, a white-light beam passes through a microscope objective to the sample surface.

Page 53: Study on the leakage current behaviors of porous

37

A beam splitter reflects half of the incident beam to the reference surface. The beams reflected

from the sample and the reference surfaces recombine at the beam splitter to form interference

fringes. During the measurement, the objective moves vertically to scan the surface at varying

heights. A stepper motor precisely controls the motion. Because white light has a short coherence

length, interference fringes are present only over a very shallow depth for each focus position.

Fringe contrast at a single sample point reaches a peak as the sample is translated through focus.

The system scans through the focus (starting above focus) at evenly-spaced intervals

as the camera captures frames of interference data. As the system scans downward, an

interference signal for each point on the surface is recorded. The system uses a series of

advanced computer algorithms to demodulate the envelope of the fringe signal. Finally the vertical

position corresponding to the peak of the interference signal is extracted for each point on the

surface.

2.6 XPS (X-ray photoelectron spectroscopy)

Due to the penetration of the IR source, the intensity of the FTIR detects the bulk change

of the bonding characteristics in low-k films. Thus surface bonding changes in less than 30 nm

are difficult to differentiate by FTIR due since it is not a particularly surface sensitive technique.

X-ray photoelectron spectroscopy (XPS), on the other hand, are sensitive to the surface chemical

composition and bonding configuration. The schematic setup for XPS measured is shown in Fig.

2.7. On the surface, the electrons of the material absorb the X-ray photon energy and escape

from the atom to vacuum and detected by a spectrometer. The kinetic energy of this photoelectron

is measured by an electron energy analyzer. Because the energy of the X-ray is known, the

binding energy of each emitted electron can be determined by the following equation,

EB = hν − EK – eφ (2.7)

where EB is the binding energy of core level electron, hν is the characteristic energy of X-ray

photon, EK is the kinetic energy of ejected photoelectrons and eφ is the work function of the

Page 54: Study on the leakage current behaviors of porous

38

detector. [79] Since the electrons are easy to be block by the material, the detection depth is

generally limited to less than 10nm depending on the escaping path.

Fig. 2.7 Schematic principle of x-ray photoelectron spectroscopy

The binding energy, which is the energy required to release an electron from its atomic

or molecular orbital, is a characteristic value of atoms or molecules. Therefore, it can be used to

identify elements inside a material. Typical binding energy values used in this study include C1s

(~285 eV), and Si2p (~103 eV).

XPS results in this study were obtained by a Perkin-Elmer Phi 560 XPS/Auger System.

The X-ray source was a monochromatic 1486.7 eV Kα Al source with 0.16 eV energy width. Due

to the pass-energy setting of electron energy analyzer and the peak-width of X-ray source, the

ultimate energy resolution of the spectrum is usually 0.4-0.6 eV.

2.7 Contact angle measurement

Measurement of the contact angle for low-k dielectrics was done with Ramé-Hart Model

250 Standard Goniometer. As shown in Fig. 2.8 (a), the goniometer consists of fiber optic

illuminator, sample stage, microsyringe, straight needle, and a CCD camera. By measuring the

Page 55: Study on the leakage current behaviors of porous

39

shape of water droplet on low-k dielectrics, the water contact angle can be determined. Because

the contact angle measurement depends on several parameters including the surface roughness

and the surface chemical and physical homogeneity [80], contact angles of low-k films in this

study were measured at various post processes and their average was reported.

As shown in Fig. 2.8 (b), the contact angle (θ) is the angle formed by the intersection of

three phases including solid (low-k dielectric), liquid (water), and vapor (air). γsv is the surface free

energy of solid, γlv is the surface free energy of liquid, and γsl is the solid-liquid interfacial energy.

Based on the force balance or energy minimization, Young’s equation can be derived [81]

𝛾𝑠𝑣 = 𝛾𝑠𝑙 + 𝛾𝑙𝑣 · cosθ (2.8)

By dividing the surface energy (γ) into dispersive (γd ) and polar (γp ) components,

γ ≈ 𝛾𝑑 + 𝛾𝑝 (2.9)

Owens et al. derived the following equation [82]

𝛾𝑙(1+𝑐𝑜𝑠𝜃)

2√𝛾𝑙𝑑

= √𝛾𝑠𝑝

[√𝛾𝑙

𝑝

𝛾𝑙𝑑] + √𝛾𝑠

𝑑 (2.10)

where γ means the surface free energy, θ the contact angle, subscript l the liquid, s the solid, p

the polar component, and d the dispersive component. [83] By using a series of liquids with known

surface free energy (𝛾𝑙 ) as well as known dispersive (𝛾𝑙𝑑 ) and polar (𝛾𝑙

𝑝) components and

measuring the contact angles (θ), the dispersive (𝛾𝑠𝑑) and polar (𝛾𝑠

𝑝) surface free energies of solid

can be calculated on the basis of equation (2.9). In addition, for specific liquid, with the reduction

of contact angle from 90° to 0°, the surface free energy of solid increases.

Page 56: Study on the leakage current behaviors of porous

40

Fig. 2.8 Schematic drawing of (a) contact angle goniometer and (b) contact angle of PLK

2.8 Nano-indentation

The nano-indentation measurements consist of forcing a pyramidal shaped diamond

indenter into the sample. The measured force as a function of depth during loading is

characteristic of the resistance of the material to deformation, including in general both elastic

and plastic responses. During unloading of the indenter, the decrease of force with depth is

controlled by the elastic response of the material. The initial rate of change with depth of decrease

in force (i.e. the slope) is defined as the sample stiffness. For a bulk sample, analytical methods

have been developed to deduce hardness and elastic modulus from the data obtained from the

depth sensing indentation technique. Oliver and Pharr method, one of the most advanced

techniques in evaluating the modulus by taking the initial unload portion of the load displacement

curve is the one used in the nano-indenter.

Page 57: Study on the leakage current behaviors of porous

41

Fig. 2.9 Load-displacement curve showing stiffness calculation from initial unload (left) and

surface profile under load and after load removal (right)

There are three important quantities measured from P-h curves: they are maximum load

Pmax, the maximum displacement, hmax, and elastic unloading stiffness, S=dP/dh (the slope of the

upper portion of the unloading curve during the initial stages of unloading, also called as contact

stiffness). The accuracy of the measurements depends inherently on how well these parameters

can be measured. Hardness (H) and modulus of elasticity (E) are the two major mechanical

properties measured by nano-indentation techniques. When indenter is forced into the sample

both elastic and plastic deformation occurs. When the indenter is removed, elastic portion of the

displacement regimes its original position. As shown in the figure, ‘hmax’ is the maximum

displacement at peak load ‘Pmax’. ‘hc’ is the contact depth and is defined as the depth of the

indenter in contact with the sample under load. ‘hf’ is the final depth after completing unloading

and ‘S’ is the slope of the unloading curve called contact stiffness from which modulus of elasticity

of the material can be calculated. The unloading curves are distinctly curved and usually

approximated by the power law relation given by

𝑃 = 𝐵(ℎ − ℎ𝑓)𝑚 (2.11)

Page 58: Study on the leakage current behaviors of porous

42

where ‘B’ and ‘m’ are the power law fitting constants. Oliver and Pharr approach is the basic

approach to calculate hardness and modulus of elasticity. [84] Nano-indentation hardness is

defined as the indentation load divided by projected contact area.

𝐻 =𝑃𝑚𝑎𝑥

𝐴 (2.12)

Where H is the hardness, Pmax is the maximum load and A is the projected contact area. Elastic

modulus can be obtained from initial unloading contact stiffness which is given as dP/dh and can

be obtained by differentiation of the equation.

1

𝐸𝛾=

(1−𝜈2)

𝐸+

(1−𝜈𝑖2)

𝐸𝑖 (2.13)

Correction factor was added by Jenny Hay et al., [85] and is added to the Sneddon’s equation

[86] for accuracy in measuring mechanical properties shown in equation

S =𝑑𝑃

𝑑ℎ= 𝛽

2

√𝜋𝐸𝛾√𝐴 (2.14)

‘Er’ is the reduced elastic modulus, ‘β’ depends on the geometry of the indenter [87] and for

spherical 1.0, triangular 1.034, and for square 1.012. The above relation is independent of

indenter geometry. Therefore modulus of elasticity and contact area can be calculated from the

initial unloading slope of load-displacement data.

2.9 Force amplitude microscopy by AFM (Atomic Force Microscopy)

Force Modulation Microscopy (FMM) is an extension of AFM imaging that operates in

contact atomic force microscopy (C-AFM) mode and is used to detect variations in the mechanical

properties of the sample surface such as surface elasticity, adhesion, and friction. In FMM mode,

the AFM tip is scanned in contact with the sample surface, and the Z feedback loop maintains a

constant cantilever deflection as in constant-force mode AFM. In addition, a periodic signal known

as the ‘driving signal’ is applied to the bimorph piezo and vibrates either the tip or the sample.

The resulting tip motion is converted to an electrical signal. This electrical signal is separated into

AC and DC components for analysis. The DC signal represents tip deflection as in contact AFM.

Page 59: Study on the leakage current behaviors of porous

43

The Z feedback loop uses this signal to maintain a constant force between the tip and the sample

to generate a topographic image. The AC signal contains the tip response due to oscillation. The

amplitude of the AC signal (called ‘FMM Amplitude’) is sensitive to the elastic properties of the

sample surface. A hard surface will deflect the oscillation, resulting in a large amplitude response.

On the other hand, a soft surface will absorb the oscillation, resulting in a small amplitude

response. The FMM image, which is a measure of the sample’s elastic properties, is generated

from variations in the FMM amplitude. Schematic description of AFM operation and an example

of the Amplitude Response using FMM are shown in Fig. 2.10 and 2.11, respectively.

Fig. 2.10 Schematic description of AFM operation

Page 60: Study on the leakage current behaviors of porous

44

Fig. 2.11 An example of the Amplitude Response using FMM

2.10 Preparation of PLK films and classification

The porous SiOCH films deposited by subtractive method in this study were synthesized

from an alkoxysilane matrix precursor and an organic porogen (BCHB; bicylcoheptadiene) by

plasma-enhanced chemical vapor deposition. In case of the constitutive p-SiCOH film, particular

matrix precursor was used without organic porogen. The methyl (-CH3) groups included in the

precursor as a Si-(CH3)X lower the film’s density, polarizability and make the film hydrophobic,

resulting in the decrease of the dielectric constant (k) of the film. Capping layer of SiCN, which

usually acts as diffusion barrier in interconnects, was not deposited in the present research in

order to characterize SiCOH material itself. The films had a nominal thickness of 400 nm, a

porosity of 25~35%, and a dielectric constant of approximately 2.0~2.4. Porosity was created by

incorporating an organic porogen into the film that was later removed by thermal and electron

beam to form porous SiCOH dielectrics. The films would have some residual levels of F in them

since it is a background contamination in the CVD chamber. Chamber cleaning was done with

Page 61: Study on the leakage current behaviors of porous

45

NF3. As shown in Fig. 2.12, the structure of PLK film fabricated by PECVD is highly complicated

by a variety of different crosslinked networks. [76] For comparison of the effect from the different

plasma exposure, the PLK films were processed with O2 and CO2 plasma source, respectively.

The pressure and flow rate under plasma exposure were kept constant.

Fig. 2.12 Diagram of networked structure of PLK film deposited from a matrix precursor

Basically, specimens are prepared for identifying for the effect of chemical bond

configuration and its plasma exposure on the thermo-mechanical instability of PLK. Details in

specimen history are exhibited in Table 2.2 and TEM image with the dimension measured and

the diffraction pattern indicating the PLK film matrix is amorphous are displayed in Fig. 2.13. The

PLK blanket film is deposited onto the Si substrate with the thickness of 400 nm.

Page 62: Study on the leakage current behaviors of porous

46

Fig. 2.13 TEM images displaying cross-section and diffraction pattern

Table 2.2 Classification of PLK specimens used in the study

Specimen

Dielectric

constant

(k)

Porosity

(%)

Plasma

exposure Remark

As-prepared (1) 2.1 30~35 n/a

Plasma-exposed

sample (1) 2.1 30~35

O2 & CO2

gas Plasma by supplier

Moisture-exposed

sample (1) 2.1 30~35 n/a

As-prepared (2) 2.1 30~35 n/a More C residue

Plasma-exposed

sample (2) 2.1 30~35

O2 & CO2

gas Plasma by supplier

Plasma-exposed +

recovery sample (2) 2.1 30~35

O2 & CO2

gas

Plasma and recovery

by supplier

As-prepared (3) 2.4 25~30 n/a Same as as-prepared

(1) except k value

As-prepared (4) 2.1 30~35 n/a Structural fabrication

Free volume

Page 63: Study on the leakage current behaviors of porous

47

Chapter 3

EFFECT OF OXIDIZING PLASMA EXPOSURE ON

THERMO-MECHANICAL RELIABILITY

3.1 Introduction

Reduction of the effective dielectric constant while maintaining the thermo-mechanical

and chemical stability of PLK dielectric materials for interlayer dielectric applications is considered

to a key challenge in BEOL integration. It is known to be particularly challenging for ultralow-k

dielectrics with k-value of 2.0~2.4 because their chemical configuration with porosity in backbone

bonds is more prone to be spoiled by exposure to chip integration processes such as CMP

(chemical mechanical polish), plasma etch/ash, and annealing, and so on.

In this chapter, firstly, the influence of integration process steps on thermo-mechanical

instability of PLK is investigated in terms of viscoplastic deformation. While it is evident that

lowering the density and mechanical strength by the introduction of porosity into the existing low-

k film, it is still unclear that how much integration damage is induced by each process step and

little is known if the integration damage is cumulative with individual process. Therefore, it is

important examine the impact of each integration process step to PLK stability so as to develop

effective PLK process methods to minimize the damages in PLK resulting from integration

processes and find a proper way to make PLK to be stable by restoring its original stability. Our

previous studies find that viscoplastic deformation, measured by our ball indentation technique,

[70] correctly reflects the change in the chemical back-bone of PLK films and therefore can be

used for tracking damage in PLK bond structure in variations with the integration process steps.

Secondly, plasma-induced damage in p-SiCOH film is examined in terms of thermo-

mechanical and electrical properties degradation. Time-dependent deformation (it was shown as

permanent deformation) with high temperature was already evidenced and its possible

mechanism was suggested in the previous study. [70] However, the electrical instability and its

structural evolution in low-k dielectric material should be correlated to suggest the outlook for

Page 64: Study on the leakage current behaviors of porous

48

timely implementation of PLK into the chip integration. In standard integration processes, different

plasma chemistries are employed to cap layers, etch patterns and ash photoresist. Plasma

treatment processes result in complex combinations of chemical reactions between the surface

species and the radicals formed in a discharge volume, and the physical impact or sputtering by

ion bombardment. [88] A number of factors including the plasma composition, temperature and

treatment time influence this process and determine etching rate, uniformity and selectivity as

well as the extent of damage to the dielectric film. Interaction of reactive plasmas with SiOCH

materials causes carbon depletion that induces silanol formation, film densification and dangling

bonds defects, concomitantly, increasing the film dielectric constant. [88] Degradation of porous

SiOCH films due to plasma processing under integration is evident, but because of the complexity

of low-k plasma surface interactions, several phenomena continue to remain unclear. Therefore,

further investigation of porous low-k films becomes necessary to better understand their response

to various plasma processes used in the fabrication of integrated circuits. This chapter will detail

such findings by presenting experimental evidence.

3.2 Experimental procedures

The porous SiCOH films were synthesized by plasma-enhanced chemical vapor

deposition. The films have a nominal thickness of ~400 nm, a porosity of ~32 %, and a dielectric

constant of approximately 2.0 measured by spectroscopic elliposometer. For comparison purpose,

the PLK films were exposed to O2 and less oxidizing plasma source, respectively. The pressure

and flow rate under plasma exposure were kept constant.

As a way of quantifying the PLK mechanical instability, especially viscoplasticity, ball

indentation technique was introduced. In our test equipment, 20 mm diameter Alumina ball with

static weight was placed on top of PLK films. The weight was chosen to produce 100~150 MPa

pressure stress to the film in order to simulate the stress that PLK would experience during

PLK/Cu integration process. The whole test assembly, the ball with static weight and PLK film on

Page 65: Study on the leakage current behaviors of porous

49

Si substrate, was in a chamber where temperature and environmental conditions can be

controlled. The test sequence was consisted of removal of trapped moisture in PLK films,

degassing the chamber, heating stage, applying load. Removal of water and degassing was

achieved with vacuum and N2 gas purge cycle at room temperature without making contact

between the ball and PLK films. Test temperature was set as 400 ˚C, which is typically used in

the integration process. Ball indentation was done by bringing the ball into contact with the PLK

films after target temperature was reached without holding time. The indentation surfaces were

observed using an optical microscope and quantified using a Wyko model NT9100 optical

profilometer equipped with Vision software. Characterization for whole series of changes due to

plasma damage was done by ellipsometry, contact angle measurement, FTIR, XPS, and so on.

3.3 Results and discussion

3.3.1 Effect of integration processes on viscoplastic deformation

Although the mechanism behind the property degradation of PLK films is reasonably

well understood, it is still unclear how much damage is created by each process step in back end

integration. Equally unknown is if the damage is cumulative with individual process. These are

important questions to answer because proper and effective resolution to PLK instability problem

can only be well understood when the most critical process is identified. Nevertheless, this is not

an easy task and therefore hasn't been attempted yet. The difficulty is related to the lack of

methods in quantifying the PLK stability, especially the status of the bond network. In this regard,

the ball indentation technique that is developed and introduced in our previous study can offer an

effective solution. This technique was originally developed to study the viscoplastic properties of

PLK films. The discovery of direct correlation between the viscosity of PLK, measured from the

indentation, and the chemistry of PLK backbone enables us to track the level of PLK damage with

the process steps. Also possible is the quantitative and sensitive measurement of damage repair

resulted by processes like UV cure or silylating treatment.

Page 66: Study on the leakage current behaviors of porous

50

Fig. 3.1 shows the change in indentation depth with process steps represented by

interpolated table in the plot. The films used for this experimental has a dielectric constant of 2.3

and covered with 10 nm thick SiCN capping layer. It can be seen that damage to the PLK film by

each process step is cumulative. Note that fact that the indentation depth increases from ~7 nm

to 28 nm, meaning that damage level is really substantial by the time when PLK integration

processes are all done. Interestingly, the result shows that UV cure does not add any meaningful

help for the stability of PLK. This is somewhat contradicting result from the conventional idea

because UV cure is believed to make the bond network to be more complete by removing

unsaturated bonds. The reason why UV is not effective may be related to the fact that the ball

indentation is more sensitive to the bond chemistry than the presence of unsaturated bond.

Furthermore, the heat created by UV radiation may increase the possibility of unintended

chemical reaction, such as the formation of –OH from H2O present in the film. This, formation of

–OH bond, may nullify the benefits gained from the removal of unsaturated bonds. Regardless of

the mechanism, our result suggests that UV is not effective in terms of repairing process damage

and that development of new repair method is necessary.

Page 67: Study on the leakage current behaviors of porous

51

Fig. 3.1 Effect of back end integration process steps on viscoplasticity

In this regard, the result that damaging process to PLK stability is the plasma etching

and ashing process deserves a special attention. They are essential part of PLK integration

process yet produces most vexing damage to PLK backbone chemistry. In order for proper control

of PLK stability, it is necessary either to modify the plasma process or to treat PLK films after each

plasma exposure. As a part of attempt to develop the repair process, PLK films (k=2.2) with two

different plasma level exposures were created; 1) CO2 ash processed PLK, and 2) O2 ash

processed PLK. The important difference between these two is the concentration of oxygen level.

The variation in the plasma source may result in different type of bond damage in addition to the

amount of damage. It is therefore possible that these two PLK films react differently to the repair

treatment, providing further insights to the type of chemical damage present in PLK films.

Page 68: Study on the leakage current behaviors of porous

52

3.3.2 Viscoplastic behavior of PLK films

In order to evaluate the potential effect of plasma exposure on the mechanical response

of porous SiCOH films, a first series of ball indentation tests were performed on the specimens

with different plasma conditions, which results were compared with the series of indentation data

taken from moisture-exposed samples. A series of surface profile images shown in Fig. 3.2

presented the comparison of deformation behavior and indentation depth in PLK films and its

sensitive dependence on plasma process conditions and the existence of H2O ambient. The

results clearly showed that the degree of deformation by indentation, visible as the dark contrast,

increased with test time. It was noteworthy that gradual increase in the indentation depth with

time was clearly evidencing the occurrence of viscoplastic deformation in PLK films. It was

observed that indentation area and depth of plasma exposure film was more pronounced than

those of non-plasma exposure films. It was also known that O2 plasma exposure induced more

alternation than CO2 plasma in terms of change in indentation depth, indicating that plasma

surface interactions of p-SiCOH dielectrics are even more complex with process conditions than

previously discussed.

Page 69: Study on the leakage current behaviors of porous

53

Fig. 3.2 Topographic images of residual indentation depth with different plasma exposures and

existence of moisture

Generally, decarbonization of p-SiCOH dielectrics by plasma exposure is well-known

phenomenon. Si-CH3 bonds are broken, creating dangling bonds that can react with moisture to

form Si-OH (silanol) or with hydrogen to form Si-H (silane). [90,91] Silanol groups make the film

surface hydrophilic, and the polarization of silanol and moisture uptake not only increase in

dielectric constant but reconfigure chemical bond network. Fig. 3.3 evidences the decarbonization

and hydrophilic transformation by variation of contact angle in PLK surface with respect to the

plasma exposure source, which results from –OH group increase. In case of as-prepared film,

contact angle was 100.87o, but it was sharply decreased to 44.54o and 56.68o after O2 plasma

and CO2 plasma exposure, respectively. Due to reactive oxygen species, PLK film surface was

altered into hydrophilic from hydrophobic.

Page 70: Study on the leakage current behaviors of porous

54

Fig. 3.3 Variation of contact angle depending on plasma exposure

It is believed that the mechanism of viscoplastic behavior of p-SiCOH films is due to

chemically assisted viscous flow linked to the formation of silanol and silane even though p-

SiCOH materials are regarded as brittle linear elastic materials. [70] It was also obvious that the

mass of pile up region around indented area defended the viscoplasticity of p-SiCOH film by

viscous flow among several mechanisms.

Along with the investigation of viscoplastic deformation induced plasma exposure on

PLK films, moisture effect on viscoplastic behavior of PLK films was also studied. Since O2-

plasma induces hydrophilic nature in the PLK matrix by forming Si-OH group and subsequently

H2O as mentioned, it was expected that tracking of thermo-mechanical instability for H2O ambient

PLK film and its comparison with those of plasma-exposed film could provide the clue upon the

restoration of plasma-induced damage in PLK matrix. As seen in Fig. 3.2, it is clearly observed

that the degree of deformation in H2O exposed film was increased, indicating that absorption of

moisture has a significant negative influence on the stability of PLK film, which is in the similar

manner with those of plasma exposed film.

It is our belief that the viscoplasticity seen in our investigation is fundamentally driven

by the viscous flow but chemical reaction may act as a catalyst for making the PLK films to be

viscous. The viscous flow of Newtonian solid (amorphous solid) occurs by body translation of

entire solid under stress. In case of PLK films under test conditions used in our test, body

translation may be difficult to achieve because silicate backbone provides a reasonable strong

Page 71: Study on the leakage current behaviors of porous

55

resistance against it. In order for the backbone molecule to move, it requires momentary breakage

of the bond and re-bond, which is known to require activation energy over 4 eV. However, when

there exists a chain of weak links in the bond network, the resistance against body translation

may be reduced because the colony that is encompassed by the weak link may act as one moving

solid (like the grain boundary in crystalline solid as shown Fig. 3.4).

Fig. 3.4 Schematic illustration of a chain of weak links in the backbone network

In this case, all the principle behaviors of viscoplastic deformation appear to follow the

viscous flow yet activation energy can be significantly lower because colony motion does not

require breakage of high energy bond. We believe Si-OH or Si-H that may serve as the defective

bond and exist in PLK films due to 1) trapped defects during deposition, 2) damage to CH3-Si-O

bond due to plasma damage, and 3) reaction with trapped moisture. This explains the low

activation energy seen in all PLK films and also keen dependence of indentation kinetics on PLK

film condition. The PLK films with plasma damage or moisture update would show faster

Page 72: Study on the leakage current behaviors of porous

56

deformation rate because the colony size is much smaller than the others. However, since the

principle deformation mechanism is controlled by the colony motion itself, the activation energy

would not be a keen function of the film condition. This mechanism, chemically assisted viscous

flow, appears to explain all observations seen in our investigation.

3.3.3 Effect of plasma exposure on structural evolution in PLK film

The effective dielectric constant at the wavelength of 500 nm was measured by

spectroscopic ellipsometry. Fig 3.5 plots the dielectric constant change depending upon the types

of plasma source and plasma exposure time. In both cases, dielectric constant was increased in

the parabolic manner with plasma exposure time. After 5 min plasma exposure, the effective

dielectric constant increased about 10 % and O2 plasma induced slightly more increase in k value

compared with CO2 plasma exposure. This is related to the reactive oxygen radical concentration.

In case of CO2 plasma source, it is supplied with more thermodynamically stable phase due to

strong bonding between C and O, the concentration of oxygen radicals that participate in the

surface reaction in PLK matrix is lower than that of O2 plasma source. Basically, oxidative plasma

causes decarbonization of p-SiCOH dielectrics by breaking Si-CH3, and subsequently creates

dangling bonds that can react with moisture to form Si-OH (silanol) or with hydrogen to form Si-

H (silane). [90,91] Silanol groups modify the film surface hydrophilic, and the polarization of silanol

and moisture uptake contribute to the increase in dielectric constant. During these series of

transformation, the densification of low-k dielectrics materials between pores is also involved. As

will be shown in the following sections, the bonding configuration changes and film densification

contributed to this increase.

Page 73: Study on the leakage current behaviors of porous

57

Fig. 3.5 Dielectric constant change upon the types of plasma source and exposure time

Fig. 3.6 Variation of root mean square (RMS) with respect to plasma exposure

Three-dimensional AFM images of p-SiOCH films treated by different gas plasmas are

presented in Fig. 3.6. A fine network of structures forming a smooth surface (Rrms = 0.391 nm)

was observed for the non-plasma exposed sample (right image). The O2 and CO2 plasma treated

films showed a dense arrangement of very fine surface topographies (middle and left image,

respectively). From the results of the change in dielectric constant and RMS value, as similarly

as viscoplastic deformation result, O2 plasma exposure has more impact on the alternation of

PLK film matrix compared with that of CO2 plasma exposure.

In order to characterize the bonding configuration changes, differential FTIR spectra

Page 74: Study on the leakage current behaviors of porous

58

were obtained by subtracting the spectrum of as-prepared film from that after plasma exposure.

A typical result over the wavenumber range of 750 ~ 4000 cm-1 is shown in Fig. 3.7 and each

peak absorbance under magnification is displayed in Fig. 3.8 and 3.9. The spectral data indicate

that the bond intensities of Si-(CH3)x (1280~1250, 870~760 cm-1), cage-like structure (1135 cm-

1) and CHx (~2960, 2925, 2865 cm-1) were reduced while those of –OH/H2O (3100~3500 cm-1),

and Si-O-Si network (~1063 cm-1) structures increased. These FTIR spectra results are closely

matched with prevailing chemical transition induced by plasma exposure.

Fig. 3.7 Differential FTIR spectra evolution after plasma exposure

Page 75: Study on the leakage current behaviors of porous

59

Fig. 3.8 FTIR spectra of as-prepared PLK and plasma-exposed PLKs

Fig. 3.9 FTIR spectra evolution for methyl and hydroxyl group after plasma exposure

It is well-known fact that the intensity change in shoulder of Si-O-Si peak is due to the

ratio change of cage-like structure in backbone, however, deconvolution of the peak into three

components was conducted in order to analyze the ratio change quantitatively as shown in Fig.

3.10. Deconvoluted fitting was done by using MatLab and it was assumed that all peaks to be

Page 76: Study on the leakage current behaviors of porous

60

perfectly Gaussian and to have the same FWHM (Full width at half maximum). As discussed

previously, according to the area ratio of the fitted subpeaks, the relative concentrations of all the

components were calculated.

Fig. 3.10 FTIR spectra evolution with plasma exposure: (a) before deconvolution, and

deconvoluted fitting of Si-O-Si peak for (b) non-plasma exposure, (c) O2 plasma exposure, and

(d) CO2 plasma exposure

The comparison of structural morphology evolution supported that plasma exposure

reduced the cage structure, which indicated that free volume in the film matrix was reduced,

leading to pore collapse and densification. In addition, O2 plasma treatment was more effective

to reduce the cage structure than CO2 plasma, which was considerably matched with the results

as discussed above. These evolutions are believed to be caused mainly by backbone breakage.

Page 77: Study on the leakage current behaviors of porous

61

Fig. 3.11 shows schematic description of backbone breakage induced by extrinsic factors such

as plasma, moisture, and thermal exposure. Extrinsic stress breaks the Si-O bonds and CH3

groups which are terminally bonded to the backbone structure, leading to the Si-OH group

formation and smaller size of backbone cluster. As a result, it was seen that overall peak intensity

of siloxane was slightly dropped after plasma exposure.

Fig. 3.11 Schematic description of backbone breakage induced by extrinsic factors such as

plasma, moisture, and thermal exposure

3.3.4 Indentation swelling phenomena of PLK films

In order to reduce the dielectric constant in p-SiCOH, the industry has extensively been

developing various precursors containing different configuration of non-polar species such as

methyl group for reducing dielectric constant. By replacing oxygen or hydrogen species with

Page 78: Study on the leakage current behaviors of porous

62

methyl species, PLK film matrix transforms into a less polar structure and has lower density due

to creation of additional free volume. With an aim to enhance the properties of PLK film, different

precursors and organic fragments were used for deposition of low-k dielectric film. Interestingly,

the indentation results showed that all films were swelled instead of indented although all test

conditions were identical. Fig. 3.12 displayed surface profile images with swelling height and

contact angle change with respect to the specimen history. Swelled height was drastically

increased with plasma exposure, which was totally different behavior from the other case we

discussed. PLK film with more C content incorporated showed more swelling compared to as-

prepared. Even though all other plasma parameters, such as total flow through reactor, pressure

in reactor, and RF power applied to sustain the plasma, influence the deposition process and film

properties, swelling phenomena and its comparison with indentation behavior strongly indicated

that PLK instability involved with viscoplastic deformation could be recovered by modifying

chemical bond configuration.

Fig. 3.12 Surface profile images with swelling height and contact angle change with respect to

the specimen history

In order to verify why the indentation behavior is totally different between two types of

Page 79: Study on the leakage current behaviors of porous

63

PLK film, comparison of FTIR spectra over the range of 950~3400 cm-1 was conducted and peak

area ratio upon Si-O-Si peak was summarized in the plot as shown in Fig. 3.13. Major contrasting

aspect was that the films showing swelling phenomena had much more carbon residue involved

with unstable organic fragments than those showing indented behaviors. From the intensities of

Si-(CH3)x (1280~1250 cm-1) peak, it is informed that the swelled films contain smaller free volume,

and also comparison of siloxane peak configuration indicates that swelled film matrix has less

crosslinking backbone structure. Noticeable is the fact from FTIR study between indented and

swelled PLK film that degraded properties of PLK induced by plasma exposure can be recovered

and enhanced by modifying chemical bond configuration. Possible scenario is to generate the

more C contents from porogen residue or unstable -C bond and then induce them to be

crosslinked to the backbone structure.

Fig. 3.13 Comparison of bond configuration between indented and swelled film

3.4 Summary

In this chapter, first, the investigation attempts to quantify the damage in PLK backbone

network as a function of integration process steps by the use of viscoplastic property as a tracing

Page 80: Study on the leakage current behaviors of porous

64

method of chemistry change. Our investigation reveals that the damage to the PLK backbone by

integration processes is cumulative and also that any process that exposes the film to oxidative

plasma is the most vexing among BEOL integration process steps. Hence, thermo-mechanical

instability of PLK with respect to the different plasma source is studied in order to find the effective

way of restoring plasma-induced damage. Primarily, it is found that formation of –OH bond is

found to be prevailing, which causes the decrease in –C group content and the breakage of

backbone crosslink. The observations made from viscoplasticity and FTIR characterization of PLK

films with plasma exposure suggest that the main plasma damage appears in two ways. The first

damage is the loss of carbon in the terminal bond (SiC-H3) and in the crosslink (C-H) bond. The

breakage of the terminal bond makes the free volume (pore) to decrease while the breakage of

crosslink decreases mechanical strength of the backbone structure. The second damage is the

formation of unstable bonds such as Si-OH and Si-H bonds. These bonds must form as terminal

bonds and break the long range cross-linking of the backbone structure, substantially decreasing

PLK's resistance against thermal and mechanical instability. In particular, we believe that such

bonds are responsible for viscoplastic deformation. Collation of such bonds can result in the

formation of small cluster that is chemically isolated from surroundings due to terminal Si-OH or

Si-H bonds. Due to the lack of long-range crosslink, the cluster can slide along the cluster

boundaries, resulting in viscoplastic deformation by viscous flow. Their formation is also

responsible for the increase in the dielectric constant because they carry considerable dipole

moment (polar). Our results produce multiple insights that are helpful in understanding the nature

of the damage and developing repair process.

Page 81: Study on the leakage current behaviors of porous

65

Chapter 4

REPAIR OF PLASMA-INDUCED DAMAGE

IN POROUS LOW-K DIELECTRICS

4.1 Introduction

Plasma etch and ash processes induce the depletion of methyl species and the increase

of moisture uptake in porous low-k films, leading to degradation of dielectric constant and their

sensitivity to thermo-mechanical instability. [64,92] Our previous study clearly shows that PLK thin

films exhibit a significant level of viscoplasticity with a rate sensitive to the degree of oxidative

plasma damage and moisture exposure when tested using a ball indenter at relevant conditions

to interconnect applications. [70] These changes in PLK properties and physical stability have

been persisting challenges for next-generation interconnects because they are the causes of

failure in interconnect integration as well as functional and physical breakdown appearing later in

the chip manufacturing.

Several methods of damage restoration have been proposed in the past, most notably

the silylation treatment. However, it offers limited effectiveness, and also, they are not easy to

incorporate into the flow of integration processes because of the requirement for supercritical CO2

and hazardous reaction byproduct. [93] In order to maximize the efficiency of damage repair by

silylation treatment in terms of both property restoration and process simplicity, we adopted simple

immersion into silylating agent and optimized the silylation treatment by combining mild annealing

under inert ambient. From the result, it is clear that damage repair is possible, but it is found that

restoration of the electrical property and thermo-mechanical reliability is somewhat compromised.

Also, as another way of enhancing the properties of PLK films, UV cure has been

employed by the industry to correct the limitations of the silylation process. However, UV cure is

mostly conducted at temperatures of ~400oC for durations of minutes, indicating that although it

yields PLK films with superior mechanical properties, it can lead to integration failure due to

dimensional change induced by high temperature anneal. Zenasni et al. reported that thickness

Page 82: Study on the leakage current behaviors of porous

66

reduction of PLK films occurred ~ 17 % after 15 min UV cure. [94] Furthermore, since UV cure is

performed by use of broadband UV lamp, penetration depth is not enough to stimulate entire PLK

film matrix. From these perspectives, low-energy inert plasma radiation, what is called soft x-ray

treatment, was also investigated. Soft x-ray radiation could induce gentle reconfiguration of

chemical bond by controlling the energy level, and consequently desired properties of PLK

dielectrics were obtained. Even though it showed the improvement in property restoration,

effectiveness was not favorable yet.

It is therefore important to find an effective and easy-to-implement way to reverse the

plasma induced damages in PLK. Our approach is based on the idea that damage repair can be

achieved by providing energetic stimulation to chemical bonds already existing in PLK films and

forcing them to reconfigure to a beneficial structure. Various radiation treatments have been tried

in our exploration, and this search leads us to a conclusion that low energy Ar plasma treatment

holds the most promising potential as it is found to result in the restoration of PLK structure and

properties that closely match with the original state PLK before exposure of oxidative plasma

process.

This chapter presents the mechanism responsible for the repair of the bond structure

and recovery of mechanical stability and dielectric property in PLK films.

4.2 Experimental procedures

The porous SiCOH films used in this study were plasma-damaged by O2 source. The

films have a nominal thickness of ~361 nm, and a dielectric constant of approximately 2.05

measured by spectroscopic elliposometer. Initially, film thickness was ~400 nm and dielectric

constant was 2.0, however, they were degraded due to plasma-induced damage. The other

characterization techniques are identical as described in chapter 3.

For silylation process, the one reported here was done using an immersion of PLK films

to pure HMDS solution followed by an annealing at 120oC for 30 min under flowing N2 environment.

Page 83: Study on the leakage current behaviors of porous

67

For soft x-ray radiation as a way of damaged property repair, Perkin-Elmer Phi 560

XPS/Auger System was used. Mg Kα (1.25 keV) source was applied to stimulate the film matrix.

Soft x-ray radiation was performed with operating pressure ~1 x 10-9 Torr. Exposure time to x-ray

radiation was 10 sec. A series of PLK films were annealed for 30 min after soft x-ray radiation to

enhance the chemical bond rearrangement.

Treatment of plasma damaged PLKs films with low energy Ar plasma is conducted using

an inductively coupled RF reactor. A plasma power is varied from 15 ~ 100 W (total chamber

power) and exposure time was 30 ~ 300 seconds. Since the substrate is biased under -200 V,

the Ar plasma ion is likely to carry 200eV kinetic energy toward PLK films. This energy is far lower

than the soft X-ray but is much higher than the deep UV (~6 eV) used for UV cure. After Ar plasma

treatment, the films are annealed at 400oC for 30 min to assist the reconfiguration reaction of PLK

backbone.

4.3 Results and discussion

4.3.1 Properties restoration by silylation process

Based on the structural morphology evolutions induced from plasma process conditions

as mentioned in this study, repair treatment on the plasma exposed SiCOH films was carried out

by restoring the original chemical structure. Alternatively, treatment with silylating agent followed

by annealing was proposed as a way to modify plasma-induced damage in PLK films. Among

several silylating agents, HMDS ((CH3)3-Si-NH-Si-(CH3)3) was chosen for the present study

because it is the solvent with low vapor pressure at room temperature. Silylating reaction can

substitute the hydrophilic –OH group with hydrophobic methyl species, thereby it is expected to

be restored the original structural morphologies before plasma exposed as shown in Fig. 4.1.

Page 84: Study on the leakage current behaviors of porous

68

Fig. 4.1 Schematic illustration of silylation treatment with HMDS agent

Fig. 4.2 showed the change in the indentation depth and contact angle for plasma

exposed specimens after 4 h indentation test at 400oC. The results presented that hydrophilic

surface was transformed to hydrophobic by incorporating methyl groups, which lead to the

strengthening of the films as well. The contact angle for O2 plasma exposed was measured as

44.54o, and 56.68o for CO2 plasma exposed. The alternation in surface chemistry induced from

plasma exposure produced significant increases in the dispersive and polar surface energy

components. However, the contact angle was restored up to 83.92o and 84.42o, respectively by

controlling the annealing temperature and time. For a reference, contact angle for non-plasma

specimen was 100.87o. In addition, it was proven that chemical treatment followed by annealing

at elevated temperature made dielectric constant to be recovered about 65 % and viscoplastic

deformation to be deceased without any further densification.

Page 85: Study on the leakage current behaviors of porous

69

Fig. 4.2 Effect of chemical treatment on the change of indentation depth and contact angle

Structural evolutions by silylation process were investigated in order to understand the

way of restoring quantitatively as exhibited in Fig. 4.3. From the comparison of deconvoluted Si-

O-Si fitting, diminished cage-like structure by O2-plasma exposure and linear structure were

increased at the expense of network structure after silylation reaction. In fact, the network

structure is the transition structure from linear structure to cage-like crosslinking structure, which

appears to be a relatively unstable and weak bond configuration. Symmetric linear Si-O chain

reduced dielectric constant by decreasing dipole moment of molecule in film and its networking

into cage-like structure showed excellent properties in terms of resistance to damage from acid

or alkali solution and oxygen plasma. [95]

Page 86: Study on the leakage current behaviors of porous

70

Fig. 4.3 Structural evolutions induced by silylation process

It was also noticeable that structural evolution by chemical reaction was more activated

with thermal assistance. Cage-like structure and linear structure were increased ~53 % and ~20 %

compared with those of as-prepared films, respectively when silylation process was combined

with thermal annealing. It is possible that the silylating reaction at room temperature produces an

intermediate bond state that is not fully incorporated into the bond network but exists near to the

reacted area. With thermal annealing given, those intermediate bonds are activated to bond

completely with the damaged site.

4.3.2 Properties restoration by soft x-ray

As another approach to resolve the problem from UV cure and improve PLK properties,

soft x-ray (target: Mg Kα) radiation was also investigated. The originality of this research is on

Page 87: Study on the leakage current behaviors of porous

71

that it is not only effective to manipulate the bond configuration but also easy to incorporate into

the flow of integration processes. This method has not been reported yet it was found that it could

induce gentle reconfiguration of chemical bond and by controlling the energy level, and

consequently desired properties of PLK dielectrics were obtained. Firstly, in case of x-ray

radiation, it has wavelength of 10-10 m which is smaller than that of UV by 10-2 order (Fig. 4.4),

stimulation depth should be deeper compared with UV cure. Another advantage is that treatment

time can be achieved within 5 sec with thermal assistance and it does not cause any serious

thickness reduction since the applied temperature is below ~300oC from our experiment. However,

it was revealed that x-ray radiation with Cu Kα target induced high level of energy and removed

some skeleton bonds such as Si-CH3, Si-O, leading to the acceleration in viscoplastic deformation.

Therefore, it is required to optimize the x-ray radiation conditions for the desired chemical bond

configuration.

Fig. 4.4 Electromagnetic spectrum [*www.viewzone2.com]

Page 88: Study on the leakage current behaviors of porous

72

Fig. 4.5 Indentation depth profile change after soft x-ray radiation

Fig. 4.5 shows the indentation depth profile change after soft x-ray radiation. It was

clearly observed that indentation deformation depth was increased and also, it was found that

reduction in dielectric constant occurred simultaneously while film thickness remained the same.

(Fig. 4.6) This result is very interesting in terms that plasma-induced damage can be repaired

without any sacrificial properties of dielectrics. Conventionally, it has been considered that the

enhancement of thermo-mechanical property and lower dielectric constant in PLK was offset by

Si-CH3/Si-O concentration ratio, thus, it should be optimized for proper tradeoff. [96-99]

Fig. 4.6 Effect of soft x-ray on thickness and k variation

Page 89: Study on the leakage current behaviors of porous

73

4.3.3 Low-energy Ar plasma treatment for repair of plasma-induced damage

Furthermore, we have been searching for an alternative route for damage repair which

is the most convenient to incorporate into the flow of integration processes, leading us to a

discovery that a brief exposure to low-energy inert plasma results in the restoration of PLK

structure and properties that closely match the undamaged. Abell et al. applied He plasma

treatment to seal the surface of low-k dielectrics by forming an ultra-thin SiO2-like layer and found

that it induced the increase of porosity (or reduction of k value) by sealing the low-k surface

efficiently. [100]

Our approach of using inert plasma as a way for damage repair is motivated by our

realization that plasma damage appears in two major areas. The first is the loss of –C from PLK

matrix, and the second is the increase in Si-H and Si-OH terminal bonds as a byproduct. The first

change causes the dielectric constant to increase because of increased density and/or loss of

free volume. The second causes PLK to lose thermal and mechanical stability because Si-H and

Si-OH act as catalysts for reactions that break the cross-linked backbone. Clearly, both changes

in PLK chemistry and bond structure must be addressed in order for any repair method to be

successful. Silylating treatment works because it replenishes –C while removing –H and –OH

bonds; however, the silylating agent cannot penetrate deep into PLK matrix with its low

permeability, making it difficult implement. Alternatively, it is possible to find a source of –C in the

PLK matrix because a good portion of -C is in the cross-link (CHx) chain network. When the CHx

is broken from the cross-link and forced to react with –H or –OH, then the reconstruction of PLK

backbone can be realized.

Page 90: Study on the leakage current behaviors of porous

74

Fig. 4.7 Schematic illustration of Ar plasma radiation and bond energy found in p-SiCOH film

matrix

For this very reason, we utilize the inert Ar plasma because the kinetic energy transfer

from Ar ions can effectively break CHx bonds, and also, Ar ions can promote a dehydration

reaction during repolymerization. Schematic illustration of Ar plasma radiation and bond energy

found in p-SiCOH film matrix are shown in Fig. 4.7. Our trial with various Ar plasma conditions

reveals that such reactions are indeed occurring, as evidenced by the recovery of dielectric

constant, increase in film thickness, and improved resistance against thermal instability as well

as viscoplastic deformation. An even greater and more interesting discovery is the fact that such

reactions become more intense when the repolymerization is induced under hydrostatic pressure.

Fig. 4.8 exhibits the evidence that altered PLK film matrix by inert Ar plasma radiation experiences

chemical reaction with hydrophobic groups. This is because usually indented area during ball

indentation creep test is transformed into hydrophilic as marked in conventional behavior in Fig.

4.8, however, the indented area was become hydrophobic after the test in case of Ar plasma

radiated film. It indicates that matrix chain is obviously reconstructing under thermal pressure and

desired property can be obtained.

Page 91: Study on the leakage current behaviors of porous

75

Fig. 4.8 Effect of Ar plasma radiation on surface morphology

Fig. 4.9 Effect of Ar plasma radiation on film thickness and k change

In order to support the idea that repolymerization occurred in PLK matrix, the variation

of film thickness and dielectric constant was examined shown in Fig. 4.9. The results convinced

that it did occur. Interestingly, film thickness was increased ~ 2.5 % in case of Ar plasma radiated

film followed by thermal annealing. Simultaneously, it was evidently seen that dielectric constant

was reduced.

Page 92: Study on the leakage current behaviors of porous

76

Fig. 4.10 Variations in (a) indentation depth, and (b) thickness and dielectric constant with

proposed recovery processes

Fig. 4.10(a) compares the indentation depth of PLKs films with variation in PLK

Page 93: Study on the leakage current behaviors of porous

77

treatments. Notice that indentation depth of Ar treated films shows a substantial reduction from

the plasma damage condition and becomes the same as the as-prepared PLK films. Fig. 4.10(b),

where film thickness and dielectric constant are shown as a function of treatment condition, clearly

evidences the effectiveness of Ar treatment in restoring film thickness and dielectric constant.

Interestingly, the hydrophobization of PLK surface is found be more pronounced in indented area.

It is found that ~85 % recovery in contact angle (hydrophobization) is achieved in the indented

area. This result indicates that the chemical reaction for hydrophobicity is more favored under

pressure. The exact nature of reaction preferred under pressurized condition is currently unknown.

It is our speculation that incorporation of C in the –CH3 terminal bond is favored reaction under

hydrostatic pressure based on the analysis of C1s XPS binding energy shift, which will be

discussed later in Fig. 4.13.

Fig. 4.11 shows the effect of Ar treatment on the change in dielectric film thickness,

indicating that Ar treatment plays a critical role to reconfigure the bond network in the film matrix.

The plot compares the different behaviors in film thickness change with respect to the Ar treat

presence. Initially, film thickness damaged by O2 plasma is 361 nm and it is further decreased up

to 349 nm after Ar treat since Ar plasma treat acts as sputtering process as well. However,

remarkable fact to observe is that the PLK film without Ar treat shows continuous decrease in

thickness during the post annealing process. As mentioned in Fig. 4.10(b), annealing process on

the Ar treated film increases the film thickness. From the comparison of two contrasting behavior,

it is assumed that Ar treat stimulates the chemical bond ready to re-polymerize in the film matrix

and post annealing assists such reaction to happen. However, without Ar treat, annealing

probably contributes to removal of organic fragment or porogen residue in the film matrix, leading

to densification and the decrease in film thickness. In other words, chemical bond in the film matrix

is not activated to rearrange without Ar treat.

Page 94: Study on the leakage current behaviors of porous

78

Fig. 4.11 Effect of Ar treatment on the change in dielectric film thickness

Fig. 4.12 FMM image of ball indented area for PLK film treated by Ar plasma

Page 95: Study on the leakage current behaviors of porous

79

Fig. 4.12 shows the FMM (Force Modulated Microscopy) image of Ar treated film

produced by AFM in our lab. The area with dark contrast indicates the indented area, which

becomes harder compared with non-indented area since dark contrast means that it needs more

current to penetrate the same depth. As the contact area between indentation ball and film surface

create the pressure with high temperature, this result evidences thermal pressure facilitates the

reaction of hydrophobization (refer to Fig. 4.8) and mechanical hardening simultaneously in case

of Ar treat film.

In order to understand the restoration mechanism of PLK properties by Ar plasma

treatment, the change in backbone structure is analyzed by using Si-O-Si absorption band as

shown in Fig. 4.13. In our analysis, the Si-O-Si FTIR peak is deconvoluted with an assumption

that all sub-peaks follow the Gaussian function and have the same FWHM as mentioned. The

relative concentrations of three sub-peaks, namely cage-like, ring, and sub-oxide structure, are

computed using the peak area. It can be seen that the O2 plasma reduces the case-like and sub-

oxide structures (Fig. 4.13(a) vs. (b)). Ar plasma treatment followed by thermal annealing restores

the cage-like and sub-oxide component (Fig. 4.13(c-d)) with an expense of the ring structure. It

is commonly believed that the cage structure is responsible for the pore formation (free volume)

and the sub-oxide structure is associated with the PLK strength as it enables long-range crosslink.

Based on this analysis, it can be concluded that damage restoration (increase in stability and

reduction in dielectric constant) is a result of increase in the cross-linking of the backbone that is

facilitated by the sub-oxide, and the cage structure that lowers dielectric constant.

Page 96: Study on the leakage current behaviors of porous

80

Fig. 4.13 Evolution of the absorption bands of Si-O-Si with deconvoluted peak information; (a)

as-received, (b) O2 plasma exposed, (c) Ar plasma + thermal anneal treated, and (d) Ar plasma

+ thermally pressured anneal

To further verify the mechanism, Si bonding status is investigated by XPS (X-ray

Photoelectron Spectroscopy) and each spectral region is deconvoluted into individual peaks as

shown in Fig. 4.14. It is clearly observed that binding energy peak exhibiting the highest intensity

is shifted from 103.2 eV to 105.2 eV after Ar plasma treatment, which describes the shift to SiO4

from SiO2-C2. This result obviously implicates that Ar plasma treatment reconfigures the chemical

bonds to be mechanically strengthened, and it is drawn that oxygen content is related to

strengthening of the film matrix due to the enhancement of the Si-O structure. In other words,

energy source from Ar plasma breaks the Si-H or Si-O-H links and induces –H radicals to react

with Si-CH2 in the backbone or porogen residue, which produces Si-CH3 and Si-O-Si crosslinking

Page 97: Study on the leakage current behaviors of porous

81

by involving -O into the O-Si- structure. This is in good agreement with the increase of Si-O4

configuration in the backbone structure.

Fig. 4.14 XPS binding energy profile shift of Si2p after Ar plasma process

The exposure of the PLK films to the Ar plasma beam is sufficient to break chemical

bonds (C-H, O-H, Si-H), leaving free radicals at near the surface. These radicals can react only

with other surface radicals or by chain transfer reactions. In case of porous film matrix treatment

under Ar plasma condition, plasma ions are accelerated towards the pore surface where crosslink

is less stable or –OH / –H are terminally bonded to silicate backbone cluster and lead to an

increased reactivity of the respective surface. As response of the molecular structure in SiCOH

films, one of the following events proceeds; branching, chain scission or recombination. [101] In

addition, the inert plasma eliminates low molecular weight species or substitutes them with high

molecular weight species by crosslinking. In summation, it is assumed that kinetic energy from Ar

ion scissions undesired bonds and effectively reconfigures them towards superior properties on

the carbon depletion region in PLK films. While silylation process brings –C species into the

damaged PLK films, alternatively, it is possible to find a source of –C in the PLK matrix and

trapped aliphatic hydrocarbon chains induced by porogen precursors, which are generally used

for the creation of porosity, because a good portion of –C is in the polymer chain network.

Page 98: Study on the leakage current behaviors of porous

82

Accordingly, it is suggested that the immediate event of an Ar plasma beam radiation is to deposit

energy from the plasma species (ions, electrons) and this energy input produces the excited state

species because Ar cannot chemically react with the film matrix. As a consequence, the radical

sites are generated at the less stable area such as colony boundary or pore surface with the

decay of the excited species, leading to the production of free radicals by an energy transfer to

the bonds which are to be broken. Then, the activated sites experience chemical bond

rearrangement by chain-scission, branching, or cross-linking. In our case, crosslink with C is

involved with silylmethylene (Si-(CH2)x-Si) groups and possibly porogen residues and it is turned

out that some of these groups are converted to methyl groups terminally bonded to siloxane

backbone structure under 300~400oC by reaction with –OH, and simultaneously creating a new

Si-O-Si crosslink as shown in Fig. 4.15. [102] However, solid low-k films do not work with this

suggested mechanism. This is due to the fact that the plasma action is a strong function of the

morphology and relative stability of the surface, thus, it tends to concentrate on the preexisting

defects on the matrix.

Fig. 4.15 Proposed mechanism of chemical bond restoration

The simplicity of the Ar plasma treatment yet its excellent ability of damage repair has

a profound practical implication. It can be simple to implement without altering much of current

processes used in industry. For example, Ar treatment can be done immediately after processes

Page 99: Study on the leakage current behaviors of porous

83

involving oxidizing plasma processes. This can be done even in the same process chamber by

changing the process gas. By doing this, the structural damage created during plasma process

can be immediately repaired. Nevertheless, since our understanding on the restoration

mechanism is still at infant state, it may demand more investigation before its implementation. It

is our view that Ar plasma works because Ar plasma is inert but carries considerable kinetic

energies sufficient to disturb unstable bonds in PLK backbone. Energy transfer from Ar beam to

unstable bonds in PLK backbone (such as colony boundary), pore surface or in porogen residue

activates them to be susceptible for chemical bond rearrangement by chain-scission, branching,

or cross-linking.

4.4. Summary

This study finds that low energy Ar plasma radiation is extremely effective in restoring

the mechanical stability and dielectric constant of plasma-damaged PLK film by oxidative plasma.

The damage repair appears to work because kinetic energy from Ar ion beam stimulates the

unstable bonds in PLK backbone and force them to form C-bridged crosslinking. This reaction

also promotes the removal of Si-OH bonds, which increases the thermal and mechanical stability

of PLK films.

Page 100: Study on the leakage current behaviors of porous

84

Chapter 5

ENHANCED MECHANICAL STABILITY OF ULTRALOW-K DIELECTRICS

WITH SELF-ORGANIZED MOLECULAR PORES

5.1 Introduction

Implementation of ultralow-k (ULK) interlayer dielectric materials for on-chip

interconnects has been extensively studied to achieve ultra-large-scale integrated (ULSI) devices

in terms of multi-functionality and high performance. Development of a porous carbon-doped

silicon oxide (p-SiCOH) dielectrics has been a leading solution to realize ULSI electronics by

reducing RC coupled delay in Cu/low-k interconnect wiring. Microelectronics industry mostly

adopts the subtractive approach to produce porous low-k (PLK) dielectric film since it is much

more flexible to reduce dielectric constant. In the subtractive method, a SiCOH matrix precursor

mixed with an organic precursor is introduced in PECVD chamber. The deposited film is

essentially a dual-phase SiCOH-CHx material that is subsequently cured to remove the chemically

unstable organic fragment and to convert the film into a porous SiCOH. [18] However, p-SiCOH

material is easily damaged during the plasma-induced and thermally-induced processing in back-

end-of-line (BEOL) integration due to the pores which surface area are more susceptible to the

reactive chemicals used in plasma etch and ash process. Especially, plasma-induced damage is

more pronounced when the pores are randomly distributed in the p-SiCOH matrix and their size

is bigger. The damage results in the accumulation of trapped charges and an increase in defect-

state concentrations. This leads to large leakage currents and exposes to the electrical reliability

of devices. [103] In addition, mechanical/chemical damages take place that result in undesirable

deviations from the expected physical properties of the dielectric film. [104] Our previous study

clearly indicates that oxidizing plasma-exposed p-SiCOH film results in the loss of hydrophobicity

as well as thermo-mechanical stability due to formation of silanol and moisture uptake, making

PLK films to be prone to the viscoplastic deformation. [70] Also, film shrinkage is easily observed,

causing the overall dimensional variation in the chip interconnect system. Given that plasma-

Page 101: Study on the leakage current behaviors of porous

85

induced damage is difficult to prevent, the alternative is to repair this damage. In accordance with

this viewpoint, several methods have been extensively proposed for damage restoration in the

past such as silylation process, UV cure. [105,106] Silylation process utilizes reactive silyating

agents to convert hydrophilic Si-OH groups into hydrophobic derivatives. However, it is revealed

that they offer limited effectiveness or work only on the surface of PLK films since the molecule

of silylation agents is generally enormous to penetrate. Also, Zenasni et al. reports the inefficiency

of UV cure in that it causes further shrinkage in PLK film and the penetration depth is not enough

to stimulate entire PLK film matrix. [94] From these perspectives, a lot of researchers have

recently worked on the improvement of mechanical robustness of p-SiCOH matrix by structural

modification or rearrangement. [95,107-108] Urbanowicz demonstrates that SiCOH glasses with

improved mechanical properties and ultralow dielectric constant can be obtained by controlled

decomposition of the porogen molecules prior to the UV hardening step. [107] Iacopi shows that

the combination of thermal activation and UV radiation promotes a selective bonding

rearrangement of the organosilicate glass matrix, which induces an improvement of about 40%

in elastic modulus and hardness value. [108] More interestingly, Yoshiyuki et al. reports that large-

radius neutral-beam-enhanced chemical vapor deposition process is developed to precisely

control the film structure so as to produce non-porous ultralow-k SiCOH with sufficient modulus

(>10 GPa). Since pores are not formed in this film, it shows that this particular film does not incur

any damage from acid or alkali solution or oxygen plasma. [95]

In this chapter, we deposit two types of p-SiCOH dielectric films by using subtractive

method and porogenless structural (or constitutive) method respectively in order to compare the

thermo-mechanical reliability and the resistance for plasma-induced damage. In the structural

method, the porosity in the film is obtained by choosing special precursors that contain molecular

pores and by adjusting the plasma conditions to minimize the dissociation of the precursors in the

plasma and to promote polymerization of the precursor molecules. [109] Since the deposited film

is eventually single-phase material, precursor molecules and pores can be uniformly distributed

Page 102: Study on the leakage current behaviors of porous

86

by controlling the deposition condition. Also, cure process becomes unnecessary by using

porogenless structural deposition so that it induces robust structural configuration because

normally UV cure can remove not only porogen but the crosslinks silica matrix. Elastic modulus

and hardness are investigated for each case and resistance properties of integration damage are

also evaluated to show that most integration damage is closely involved with the pore size and

distribution. Our experimental data clearly show the feasibility that mechanical stability of ultralow-

k dielectric film can be drastically enhanced by producing smaller pore size and uniform pore

distribution without porogen fragments.

5.2 Experimental Procedure

The porous SiOCH films deposited with subtractive method in this study were

synthesized from an alkoxysilane matrix precursor and an organic porogen (BCHB;

bicylcoheptadiene) by using plasma-enhanced chemical vapor deposition. In case of the film

deposited by structural method, the matrix precursor is confidential and PECVD condition is

skewed from the industry standard. The films had a nominal thickness of 400 nm, a porosity of

~30%, and a dielectric constant of approximately 2.1. Porosity in the subtractive film was created

by incorporating an organic porogen into the film that was later removed by thermal and electron

beam to form porous SiCOH layer. The mechanical properties with respect to different deposition

method were measured at room temperature and compared using Nano-indention test. Conical

tip was found to be more suitable for our thin films. Measurements were carried out with a surface

approach velocity of 10 nm/s. Ten points on each film were analyzed and the average and

standard deviation were calculated. The first 10% of the film thickness was taken as indentation

depth range to determine elastic modulus and hardness. Dielectric constant and film thickness

were measured by spectroscopic ellipsometer. Three different angles from 65 to 75 degree were

used to calculate the change of light polarization induced by the reflection and transmission at

the film interface.

Page 103: Study on the leakage current behaviors of porous

87

5.3 Results and Discussion

5.3.1 Elastic modulus and hardness

In order to evaluate the change in elastic modulus and hardness of p-SiCOH films, a first

series of nano-indentation test were conducted on the specimens with respect to the different

deposition method. The values of elastic modulus and hardness from specimens were obtained

by penetration depth controlled mode and the penetration depth was set as 40 nm to exclude the

Si substrate effect. The result exhibited significant difference between two samples. As shown in

Fig. 5.1, it was found that the p-SiCOH dielectric film deposited by structural method had excellent

mechanical properties, although dielectric constant remained very low (k ~2.1). Measured value

of the elastic modulus was 13 GPa. It is considerably superior value among previously reported

p-SiCOH thin films with similar dielectric constants. [110-114] In case of the film by subtractive

deposition, however, elastic modulus reached 6 GPa with the identical dielectric constant.

Correlatively, hardness follows the same trend as elastic modulus in all cases. The measured

hardness of the structural film was 2.3 GPa and that of subtractive film was 1.2 GPa. Similar

tendency was observed in creep indentation test developed by our research group.

Page 104: Study on the leakage current behaviors of porous

88

Fig. 5.1 Comparison of elastic modulus and hardness between subtractive and constitutive PLK

films

5.3.2 Viscoplastic deformation

Previously, we reported the viscosplastic behavior of p-SiCOH thin film with a rate

sensitive to the porosity at relevant conditions to integrated circuit fabrication. [70] This particular

property, namely viscoplasticity, has not been considered to be critical for the interconnect system

a decade ago. However, as the technology node is shrunken dimensional change induced by

viscoplastic deformation plays an important role to achieve reliable chip interconnect system.

Page 105: Study on the leakage current behaviors of porous

89

Fig. 5.2 Comparison of viscoplastic deformation between subtractive and

constitutive p-SiCOH films

A series of surface profile images shown in Fig. 5.2 presented the comparison of

deformation behavior and indentation depth in p-SiCOH films and its sensitive dependence on

fabrication methods. The results clearly showed that the degree of deformation by indentation,

visible as the dark contrast, increased with test time. It was noteworthy that gradual increase in

the indentation depth with time was clearly evidencing the occurrence of viscoplastic deformation

in subtractive film. However, important result to notice carefully is the fact that the viscoplastic

behavior of structural film displayed the irrelevance with permanent deformation with the identical

thermo-mechanical stress. Our previous study on the viscoplastic deformation rate for the

subtractive films revealed that even the film with dielectric constant of ~2.7 (porosity: ~20 %)

exhibited some level of viscoplasticity. However, even though the structural film had ~30 %

porosity and far lower dielectric constant, it had much higher resistance against thermo-

mechanical stress, which can lead to timely implementation of ultralow-k dielectrics into the

interconnect system.

Page 106: Study on the leakage current behaviors of porous

90

5.3.3 Thermo-mechanical resistance

Fig. 5.3 showed the comparison of the change in film thickness and dielectric constant

with respect to thermal anneal process and oxidative plasma exposure between subtractive and

structural p-SiCOH films. It is to examine the thermal stability and its correlation to electrical

reliability. Additionally, plasma-induced shrinkage and dielectric constant change were indicated

in the same plots because oxidizing plasma exposure induces the porous film to be densified and

hydrophilic, leading to the increase in dielectric constant.

Fig. 5.3 Comparison of the change in dielectric constant and thickness with respect to anneal

and plasma exposure between subtractive and constitutive PLK films

This is one of major concerns in the integration process. Annealing temperature and time

were 400oC and 1 h, respectively. Plasma source and power used in this study were O2/Ar and

50 W, respectively and plasma exposure time was 20 min. As shown in Fig. 5.3(a), the thickness

reduction of subtractive film after thermal annealing was about 10 % and that of structural film

was 4 %. Basically, 4 % thickness reduction is superior to that of previously reported p-SiCOH

films. [111,112] Also, this trend in thickness reduction was consistent with the result of plasma

Page 107: Study on the leakage current behaviors of porous

91

exposed films, indicating that damage formation caused by thermo-mechanical stress was

diminished in the structural film. It is assumed that possible scenarios responsible for the

acceleration of thermal reduction are related to the pore size, distribution, and interconnectivity of

pores. Generally, the porosity incorporation into a dielectric material increases its active surface

area, rendering it more susceptible to the extrinsic damage from thermal stress and reactive

chemicals used in plasma etch and ash during the BEOL (Back End of Line) process. Thus, its

possibility to be damaged becomes more remarkable when the pore size is bigger and pores are

interconnected, not sealed. Due to high interconnectivity and bigger size of pores, thermal energy

or oxygen radicals and ions from plasma source easily strike the pore surface and penetrate deep

into the film matrix. These energy sources consume Si-(CH3)X group on the pore surfaces, which

induced pore collapse, causing the film shrinkage. However, in case of structural p-SiCOH film, it

has much smaller pore size and closed pore distribution by steric hindrance, thermally-induced

stress or plasma modification is merely restricted to the surface. Hence, damage formation is

limited and thermal reduction appears to be decreased. This indicates that dielectric film

fabricated by structural method has a better thermo-mechanical properties and is able to

withstand the thermally and mechanically-induced stress during the integration process. Dielectric

constant change with respect to the thermal stress and annealing and plasma exposure,

meanwhile, was investigated as shown in Fig. 5.3(b). Initial dielectric constant of both films was

2.1. Comparison of the change in dielectric constant clearly demonstrated that subtractive film

was more prone to be damaged by plasma exposure in terms of increase of dielectric constant.

This is because decarbonization of p-SiCOH dielectrics by plasma exposure occurs. Si-CH3

bonds are broken, creating dangling bonds that can react with moisture to form Si-OH (silanol) or

with hydrogen to form Si-H (silane). Silanol groups make the film surface hydrophilic, and the

polarization of silanol and moisture uptake not only increase in dielectric constant but reconfigure

chemical bond network. However, dielectric constant change by thermal annealing process

displayed a countertrend. This is assumed that labile porogen residue (; trapped porogen)

Page 108: Study on the leakage current behaviors of porous

92

remained in the backbone crosslinks is removed during thermal annealing, which contributes the

decrease in dielectric constant.

5.3.4 Study of structural configuration

To understand the fundamentals of structural difference between subtractive and

structural films, FTIR measurements were carried out as illustrated in Fig. 5.4. Si-O peak at 1030

cm-1 was observed in dielectric films, which indicates backbone structure of p-SiCOH dielectrics.

And the CHx (x = 1-3) stretching peaks, which indicate aliphatic chains, were detected at 2930

and 2970 cm-1. It could be related to the porogen fragments which were unstable and labile. Peak

at 1380 cm-1 arises from Si-free CH2. In case of subtractive film, it represents the trapped porogen

whereas in case of structural film, it exhibits the unreacted precursor. From comparison of these

two peaks, subtractive film appeared to contain more porogen residue, supporting the fact that

dielectric constant decreased after annealing process because the trapped porogen was removed.

The absorption peaks at 754, 1260 cm-1 represented of (Si-CH3)X vibrations. More terminal –C

bonds in these peaks mean more nano-pores in the film matrix. Based on the table interpolated

in the FTIR plot, which showed the terminal –C bond proportion calculated from the peak area

ratio against backbone Si-O peak, the value of structural film was higher than that of subtractive

film. It suggested that the structural film had smaller size of pores since structural film had more

nano-pores while the porosity of two different films was identical. At this point, the uniformity of

pore distribution was not clear, however, we could draw a conclusion that the variation of thermal

and mechanical properties between the films used in the present study was primarily related to

the difference of pore size and configuration.

Page 109: Study on the leakage current behaviors of porous

93

Fig. 5.4 FTIR spectra between subtractive and constitutive PLK films

Fig. 5.5 showed the evolution of the absorption bands of Si-O-Si with deconvoluted peak

information based on the assumption that all peaks perfectly follow the Gaussian function and

have the same FWHM. The Si-O-Si bonding configuration can be separated into three peaks,

including the cage (1135 cm-1, Si-O-Si~150º), the network (1063 cm-1, Si-O-Si~140º), and the

linear or sub-oxide (1023 cm-1, Si-O-Si<140º) peaks. [76] According to the area ratio of the fitted

sub-peaks, the relative concentrations of all the components are computed. The comparison of

structural morphology evolution demonstrated that cage-like structure in structural film appeared

to be stronger than subtractive film, which is the indicative of the increase in free volume of the

film matrix or the decrease in dielectric constant. Due to the large Si-O-Si bridging angle, the

increase in cage-like structure contributes primarily to the formation of pores and the reductions

of dielectric constant. [90] And linear structure is involved in the mechanical strength depending

on the symmetrical arrangement and crosslinking. [95] Thus, it can be suggested that the

Page 110: Study on the leakage current behaviors of porous

94

increased linear structure in structural film correlates to the enhancement of mechanical

properties based on the FTIR study.

Fig. 5.5 Deconvolution of Si-O-Si backbone peak in FTIR spectra; (a) subtractive film, and (b)

structural film

Different aspect of thermos-mechanical robustness in the structural film matrix was

evidenced in Fig. 5.6-7. Fig. 5.6 showed the integrated peak area change of Si-O-Si (950 ~ 1250

cm-1) and CHX absorption (2950 ~ 3000 cm-1) in FTIR after thermal annealing and plasma

exposure. First, from the Si-O-Si backbone peak area change shown in Fig. 5.6(a), peak area of

structural film remained almost the same against the thermal annealing and plasma exposure

whereas that of subtractive film exhibited a sharp drop. This is resulted from the breakage of

backbone structure or damage formation induced by external stress. As similarly, CHX peak area

of subtractive film had a faster rate of decrease with respect to thermal annealing process and

plasma exposure compared with that of structural film. As exhibited, this is an obvious indication

that subtractive film is more sensitive to the process-induced damage and structural film has a

solid morphology in terms of pore distribution. It can be assumed that structural film produces not

only smaller size of pores in the film matrix but also closed pore distribution with little

Page 111: Study on the leakage current behaviors of porous

95

interconnectivity, leading to higher resistance to be spoiled by any kinds of stress during BEOL

processes.

Fig. 5.6 Peak area variations of (a) Si-O-Si, and (b) CHx after anneal and plasma exposure

Depth profiling of Si atom in vertical direction to the film by using XPS was also evaluated

to evidence the robustness of structural film matrix as shown in Fig. 5.7. XPS measurements

were collected by means of a monochromatic Al Kα radiation and film surface sputtering with Ar

ion was applied in order to examine the depth profile of Si. Since the SiCOH film was deposited

on top of Si substrate with the same thickness, time-dependent scanning normal to the Si

substrate provided the time to reach the substrate. If the film matrix has the strong configuration,

it will take longer to strike the Si substrate. Theoretically, the Si 2p spectra consists of five

components: SiO4 (104.9 eV), SiO3 (103.7 eV), SiO2 (102.6 eV), SiO1 (101.7 eV), and SiO0 (100.6

eV). [115] And the peak at 98.5 eV is ascribed to the Si signature from the substrate. Important

result to note from Fig. 5.7 is the fact that Si signal from the substrate along the z direction comes

out first in case of subtractive film. It was detected after 10 min sputtering in subtractive film while

structural film exhibited the identical signal after 16 min sputtering. Also, equally noticeable was

the fact that overall peak intensity of Si 2p was much higher in structural film.

Page 112: Study on the leakage current behaviors of porous

96

Fig. 5.7 Depth profile of Si atom in vertical direction to the films; (a) structural film, and (b)

subtractive film

Fig. 5.8 Peak shift of (a) Si 2p, and (b) C 1s

Details of peak shift and intensity change in Si 2p peak information was described in Fig.

5.8(a). In terms of peak intensity, structural film had increased value although binding energy was

shifted toward lower value. This result revealed the probability that the dielectric film fabricated

Page 113: Study on the leakage current behaviors of porous

97

by structural method had high film density, contributing to the mechanical stability. Although

binding energy was slightly decreased, however, it was considered that higher density cancelled

out the decrease of binding energy. In addition, the occurrence of peak shift with the deposition

method showed that the majority of Si component was SiO3 in subtractive film, but it transformed

into SiO2 in structural film. In this case, it is assumed that the linear Si-O configuration is

connected to methyl groups fully and a chain-like structure is formed in structural film. [95] Thus,

symmetric bonding configuration of oxygen and methyl group to the Si reduces the polarity and

also, more population of methyl groups in the unit backbone structure creates more porosity. This

is the feasible explanation that structural film has superior mechanical properties while keeping a

lower dielectric constant at the same time. The C 1s spectra were studied to support our

mechanism as shown in Fig. 5.8(b). Basically, the C 1s peak is divided into four components: CSin

(283.5 eV), Si–CH3 (284.5 eV), CO1 (285.3 eV), and CO2 (286.3 eV). [115] From the peak

assignment, the majority of the C moiety was Si-CH3 in structural film, which was in good

agreement with the peak area ratio of terminal –C bonds as discussed in Fig. 5.4.

Enhancement of these properties, which refer to elastic modulus, hardness, and

thermally-induced shrinkage, is essential to increase the reliability and performance of electronic

devices. As it turns out, structural deposition approach is feasible for the introduction of smaller

nano-pores with little or no interconnectivity. Due to the closed pore system, thermally-induced

stress and plasma-induced damage is restricted merely to the surface of the dielectric film. This

is attributed to the stable siloxane (Si-O-Si) backbone and the terminally bonded methyl group

attached to silicon (Si-CH3), inducing steric hindrance that lowers the density of the films. The low

dielectric constant and mechanical stability are closely involved with the formation of the Si-O-Si

cage-like structure and an appropriate combination of stable Si-O-Si, Si-CH3 groups. Based on

the FTIR and XPS spectra, it was concluded that the formation of the Si-O-Si cage-like structure

was enhanced by structural method.

Page 114: Study on the leakage current behaviors of porous

98

5.4 Summary

Robustness of p-SiCOH dielectric materials to withstand thermo-mechanical stress

during device integration process is the key to increase the reliability and performance in

microelectronics. The present study clearly indicated that thermo-mechanical properties and

resistance against the process damage significantly improved by using structural deposition

method. Elastic modulus was enhanced up to 13 GPa while lowering the dielectric constant to be

~2.1. In addition, film thickness reduction from thermal stress and plasma exposure was

remarkably increased, which leads to the minimization of BEOL damage during the process. This

implies p-SiCOH film deposited by structural method can be used without sustaining integration

damage in the BEOL integration process.

Page 115: Study on the leakage current behaviors of porous

99

Chapter 6

CONCLUSION AND FUTURE WORK

6.1 Conclusion

Plasma-induced damage in etching and ashing process poses a great challenge for

integration of the porous low-k material into the on-chip interconnects. Particularly, viscoplastic

deformation is discovered in porous low-k films at the relevant temperature to BEOL integration

process and it is found that plasma-induced damage accelerates the deformation rate. The

troublesome feature of the viscoplasticity is the fact that it is slowly progressing that its occurrence

becomes difficult to identify. Further, the failure in PLK/Cu integration due to the viscoplastic

deformation in PLK layer appears much later stages of processing or in use condition. Therefore,

such factors must be removed before PLK proceeds to next process.

The objective of this dissertation is to study the mechanism of plasma-induced damage

to porous low-k dielectrics and to develop method/process to restore the loss of properties as

dielectrics for enhancing stability of the dielectrics in advanced microelectronics. The studies

conducted in this dissertation follow three approaches.

One approach is to investigate the mechanism of the plasma-induced interaction on

porous low-k materials with the aim to find the effective way to repair plasma-induced damage.

(Chapter 3) Based on the result that the viscoplasticity is due to combination of chemical reaction

and the viscous flow, the resistance against viscoplasticity can be achieved by eliminating or

reducing the Si-OH or Si-H bond. With reduction in Si-OH or Si-H bond, the colony size increases

and so does the PLK's resistance against the viscous flow.

The other approach is to develop process to recover the low-k dielectric properties of

plasma-damaged PLK in order to improve the stability of porous low-k dielectrics for integration

into interconnect structure. (Chapter 4) There appears to be several routes to restore the

degraded properties of dielectrics, including a use of low-energy radiation and thermo-chemical

treatment with silylating agent to remove Si-OH or Si-H and restore the cross-linked chemical

Page 116: Study on the leakage current behaviors of porous

100

bond configuration. Silylation treatment, however, they offer limited effectiveness, and also, they

are not easy to incorporate into the flow of integration processes. Soft x-ray radiation is found to

be effective in terms of the increase in crosslinking and resistance against viscoplastic

deformation, yet hydrophilic surface induced by oxidizing plasma damage is not improved

remarkably. From these points of view, inert Ar plasma treatment is employed in order to correct

the limitations of silylation process and x-ray cure. It is suggested that the immediate event of an

Ar plasma beam radiation is to deposit energy from the plasma species (ions, electrons) and this

energy input produces the excited state species because Ar cannot chemically react with the film

matrix. As a consequence, the radical sites are generated at the less stable area such as colony

boundary or pore surface with the decay of the excited species, leading to the production of free

radicals by an energy transfer to the bonds which are to be broken. Then, the activated sites

experience chemical bond rearrangement by chain-scission, branching, or cross-linking. In our

case, it is proposed that crosslink with C is involved with silylmethylene (Si-(CH2)x-Si) groups and

it is turned out that some of these groups are converted to methyl groups terminally bonded to

siloxane backbone structure under 300~400oC by reaction with –OH, and simultaneously creating

a new Si-O-Si crosslink. Property restoration is fundamentally able to be achieved by removing

Si-OH groups and inducing cross-linking with –C simultaneously.

Finally, investigation of robust chemical structure that is less damaged during BEOL

integration process including plasma-induced damage is performed and the enhancement of

dielectric properties is evaluated. (Chapter 5) Pores fabricated by removal of organic fragments

(porogen) in ultralow-k dielectric film produce lower mechanical properties as well as incur

electrical degradation during chip integration process because pore surface acts as a reactive

path for moisture uptake or Cu diffusion. Furthermore, UV cure used in porogen removal

simultaneously breaks the skeleton crosslinks in silica matrix. To overcome this issue, ultralow-k

film with self-organized molecular pores due to steric hindrance is deposited by using particular

precursors. Dielectric constant of ~2.1 with superior modulus (>13 GPa) is achieved by inducing

Page 117: Study on the leakage current behaviors of porous

101

uniform distribution of porosity and lower polarizability, which modulus is significantly improved

compared with that of porous SiCOH film fabricated by subtractive method with same k value.

Also, it shows enhanced resistance against plasma-induced damage and thermally-induced film

shrinkage. Analysis of the film structure indicates that symmetric linear Si–O molecular chains

with –CH3 terminal bonds are grown and cross-linked to each other in the film, leading to the

decrease in dipole moment and improvement in the mechanical properties. It is achieved by

incorporating the molecular pores in a uniform distribution manner into the backbone structure.

6.2 Future work

The subjects of this work are of technologic and scientific value. Although the work is

extensive and detailed, it is far from complete and needs in-depth exploration. In many aspects,

it may serve as a starting point for future work. The structure-property relationship is of

fundamental interest in materials science and engineering. Advances in computational

technology make it possible to model the microstructure of more realistic materials. Our

quantitative structural analysis should come in handy in these simulations to the prediction of

many properties such as modulus, hardness, viscoplasticity, dielectric constant, and so on. As

the technology moves on, interlayer dielectrics will become increasingly porous. Therefore, pore

structure including pore size, distribution, morphology, and connectivity should be carefully

characterized and quantitatively analyzed to provide useful guidance for process optimization.

The optimization of the matrix structure is a key factor in the manufacturing of viable ultralow-k

dielectric films with robustness.

From these perspectives, characterization of pore morphology and its in-situ change

with common BEOL integration processes is essential to develop and optimize both low-k

dielectric films and processes. The structural parameters of interest include overall density,

density of matrix material, pore size and pore distribution of periodicity. As reported in many

Page 118: Study on the leakage current behaviors of porous

102

researches, cracking, fracture and delamination are still major concerns in implementation of

ultralow-k dielectrics. Additionally, viscoplastic behavior is newly found at the relevant condition

to BEOL integration process. It is obvious that these concerns will be more serious as porosity is

increased, thus quantitative investigation of pore structure and its correlation to the property

change is essential for reliable on-chip interconnect structure.

Structural information about pores gained from GISAXS or POSITRON beam technique

can then be related to the change of thermo-mechanical stability of the film and also to the

fundamental bond network of the film. The data will also help in differentiating between the effect

of morphological change during pore formation (built-in change; pore incorporation inevitably

reconfigures the chemical bond structure) and that during integration process (post-process

change) on thermo-mechanical instability. In-situ observation in particular will enable us to track

such mechanisms and provide an array of information useful for enhancing stability of the

dielectrics in advanced microelectronics. Finally, the outcome of this study will demonstrate the

usefulness of GISAXS to microelectronics community and may stimulate study of other planned

materials with a similar scope.

Page 119: Study on the leakage current behaviors of porous

103

References

[1] International Roadmap for Semiconductors (ITRS), Semiconductor Industry Association, 2009

[2] D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T. McDevitt,

A. Stamper, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, P. McLaughlin, T. Katsetos, H. Rathore,

R. Schulz, L. Su, S. Luce, N. Rohrer, and J. Slattery, Tech. Dig. IEEE IEDM, 376 (1997)

[3] R. Rosenberg, D. C. Edelstein, C. –K. Hu, and K. P. Rodbell, “Copper metallization for high

performance silicon technology”, Annu. Rev. Mater. Sci. 30 229-62 (2000)

[4] Saraswat, K.C. and F. Mohammadi, Effect of Scaling of Interconnections on the Time-delay of

VLSI Circuits, IEEE Transactions on Electron Devices, 1982. 29(4): p. 645-650

[5] Morgen, M., et al., Low dielectric constant materials for ULSI interconnects. Annual Review of

Materials Science, 2000. 30: p. 645-680

[6] Bohr, Mark T., Interconnect scaling, The real limiter to high performance ULSI

[7] C. Kittel, Introduction to solid state physics. Wiley, 1996

[8] K. Maex, M. R. Baklanov, D. Shamiryan, F. Iacopi, S. H. Brongersma, and Z. S. Yanovitskaya,

“Low dielectric constant materials for microelectronics”, J. Appl. Phys. 93, 8793-8841 (2003)

[9] Grill, A. Journal of Applied Physics. 93, no. 3. 2003. p. 1785

[10] Kwak SI, Jeong KH, Rhee SW. 2004. Nanocomposite low-k SiCOH films by direct PECVD

using vinyltrimethylsilane. J. Electrochem. Soc. 151:F11–16

[11] Tada M, Kawahara J, Hayashi Y. 2001. Characterization of plasma-polymerized

divinylsiloxane benzocyclobutene (DVS-BCB) polymer film. Mater. Res. Soc. Conf. Proc. ULSI

XVI: 579–85

[12] Tada M, Yamamoto H, Ito F, Takeuchi T, Furutake N, Hayashi Y. 2007. Chemical structure

effects of ring-type siloxane precursor on properties of plasma-polymerized porous SiCOH films.

J. Electrochem. Soc. 154: D354–61

[13] Kawahara J, Kinimi N, Kinoshita K, Nakano A, Komatsu M, et al. 2007. An organic low-k film

deposited by plasma-enhanced copoloymerization. J. Electrochem. Soc. 154: H147–52

Page 120: Study on the leakage current behaviors of porous

104

[14] Wu Q, Gleason KK. 2003. Plasma-enhanced CVD of organosilicate glass (OSG) films

deposited from octamethyltrisiloxane, bis(trimethylsiloxy)methylsilanes, and 1,1,3,3-

tetramethyldisiloxane. Plasmas Polym. 8:31-41

[15] R. M. A. Azzam, N. M. Bashara. Ellipsometry and Polarized Light. Elsevier, Amsterdam, 1977

[16] C. Le Cornec, F. Ciaramella, V. Jousseaume, P. Leduc, A. Zenasni, and G.Passemard. K

Value Improvement of ULK Dielectrics by Wet Activation. Microelectronic engineering, Vol.83,

No.11-12, 2006, p. 2122-2125

[17] W. Volksen, R. D. Miller, and G. Dubois, “Low dielectric constant materials”, Chemical

reviews, vol. 110, no. 1, pp. 56-110, Jan. 2010

[18] A. Grill, “Porous p-SiCOH Ultralow- k Dielectrics for Chip Interconnects Prepared by PECVD,”

Annual Review of Materials Research, vol. 39, no. 1, pp. 49-69, Aug. 2009

[19] G. Meggy, W.L. Peter, L. Xia, and E. Yieh. The Case for CVD Low-K Technology.

Semiconductor Fabtech, 15th Edition, http://www.fabtech.org, 2005, p.179-183

[20] R. Vrtis, MRS Tutorial, Spring, 2003

[21] J.G. Ryan, Copper and Low-k Dielectric Integration Challenges, SEMICON West 2000

[22] K. Carter, The road to Low Dielectric Constant Materials, Proceedings from the Ninth Meeting

of the Symposium on Polymers for microelectronics, Wilmington DE 2000

[23] National Technology Roadmap for Semiconductors, Semiconductor Industry Association

(2004)

[24] S. Lin, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2001), p. 146-148

[25] S. J. Martin et al., Advanced Materials 12, 23 (2000) p. 1769-1778

[26] G. Wang, et al., IEEE Trans. Dev. Mat. Rel. 3, 4 (2003) p. 119-128

[27] F. Iacopi, et al., Microelectron. Eng. 75 (2004) p. 54-62

[28] C. Waldfried, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2002), p. 226-228

[29] H. Miyajima, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2004), p. 222-224

[30] E. Mickler, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2004), p. 190-192

Page 121: Study on the leakage current behaviors of porous

105

[31] A. Delan, M. Rennau, S.E. Schulz and T. Gessner, Microelectron. Eng. 70 (2003) p. 280-284

[32] T.-Y. Chiang, K. Banerjee and K.C. Saraswat, Technical Digest. International Electron

Devices Meeting, (IEDM 2000), p. 261-264

[33] H. Miyajima, R. Katsumata, Y. Nakasaki, Y. Nishiyama and N. Hayasaka. Water Absorption

Properties of Fluorine-Doped SiO2 Films Using Plasma-Enhanced Chemical Vapor Deposition.

Jpn. J. Appl. Phys, Vol.35, Part 1, No.12A., Dec. 1996, p.6217 - p.6225

[34] S. J. Martin, J. P. Godschalx, M. E. Mills, E. O. Shaffer II, P. H. Townsend. Development of

a Low-Dielectric-Constant Polymer for the Fabrication of Integrated Circuit Interconnect, Adv.

Mater. Vol.12, No.23, December, 2000, p.1769 - p.1778

[35] J. Yao, A. Iqbal, H. Juneja, and F. Shadman. Moisture Uptake and Outgassing in Patterned

and Capped Porous Low-k Dielectric Films. Journal of The Electrochemical Society, Vol. 154,

No.10, 2007, p.G199 - p.G206

[36] A. Iqbal, H. Juneja, J. Yao, and F. Shadman. Removal of Moisture Contamination from

Porous Polymeric Low-k Dielectric Films. AICHE, Vol. 52, No.4, 2006, p.1586 - p.1593

[37] Shaw, T.M., et al. Advanced Metallization Conference 2003 Proceeding (AMC2003) 2003.

Montreal, Quebec, Canada and Tokyo, Japan Materials Research Society, Warrendale, PA

[38] Guyer, E.P., J. Gantz, and R.H. Dauskardt, Aqueous solution diffusion in hydrophobic

nanoporous thin-film glasses. Journal of Materials Research, 2007. 22(3): p. 710-718

[39] Tsui, T.Y., A.J. McKerrow, and J.J. Vlassak, The effect of water diffusion on the adhesion of

organosilicate glass film stacks. Journal of the Mechanics and Physics of Solids, 2006. 54(5): p.

887-903

[40] Lin, Y.B., T.Y. Tsui, and J.J. Vlassak, Water diffusion and fracture in organosilicate glass film

stacks. Acta Materialia, 2007. 55(7): p. 2455-2464

[41] H. Yanazawa, T. Fukuda, Y. Uchida, I. Katou. Water Sorbability of low-k Dielectrics Measured

by Thermal Desorption Spectroscopy. Surface Science, Vol. 566–568, 2004, p. 566 - 570

[42] A.A. Kumbhar, S.K. Singh, and R.O. Dusane. Enhancement of Moisture Resistance of Spin-

Page 122: Study on the leakage current behaviors of porous

106

on Low-k HSQ Films by Hot Wire Generated Atomic Hydrogen Treatment. Thin Solid Films, 501

(May, 2006) 329 – 331

[43] K. Yonekura, et al., J. Vac. Sci. Technol. B22, 2 (2004) p. 548-553

[44] D. Shamiryan, et al., J. Vac. Sci. Technol. B20, 5 (2002), p. 1923-1928

[45] E. Kondoh, et al., J. Vac. Sci. Technol. B18, 3 (2000), p. 1276-1280

[46] O. Louveau, et al., Microelectron. Eng. 73-74 (2004), p. 351-356

[47] Y. Furukawa, et al., Microelectron. Eng. 76 (2004), p. 25-31

[48] A. Matsushita, et al. Proceedings of the Int. Interconnect Tech. Conf. (IITC 2003), p.147-149

[49] W. Chen, et al., J. Electrochem. Soc. 151, 8 (2004), F182-F188

[50] M. Assous, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2003), p.97-99

[51] V. Arnal, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2004), p.202-204

[52] T. Furusawa, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2003), p.195-197

[53] O. Hinsinger, et al., Technical Digest. International Electron Devices Meeting, (IEDM 2004),

p. 317-320

[54] B. Xie & A.J. Muscat, Microelectron. Eng. 76 (2004), p. 52-59

[55] B.P. Gorman, et al., J. Vac. Sci. Technol. B22, 3 (2004), p. 1210-1212

[56] Y.S. Mor, et al., J. Vac. Sci. Technol. B20, 4 (2002), p. 1334-1338

[57] C.N. Yeh, et al., Proceedings of the Int. Interconnect Tech. Conf. (IITC 2003), p. 192-194

[58] R. Kanamura, et al., Proc. VLSI (2003), p. 107-108

[59] A. Grill, S. Gates, C. Dimitrakopoulos, V. Patel, S. Cohen, Y. Ostrovski, E. Liniger, E. Simonyi,

D. Restaino, S. Sankaran, S. Reiter, A. Demos, K. S. Yim, V. Nguyen, J. Rocha and D. Ho, Proc.

IEEE Int. Interconnect Technol. Conf., 2008, 7, 28

[60] S. Nitta, et al., Technical Digest. International Electron Devices Meeting, (IEDM 2004), p. 321

–324

[61] S.H. Rhee, M.D. Radwin, M.F.ng, J.I. Martin, and D. Erb, Appl. Phys. Lett. 83, 2644 (2003)

[62] Y. Li, Z. Tokei, J. V. Aelst, L. Carbonell, H. R. Baklanov, O. Richard, H. Bender, G.

Page 123: Study on the leakage current behaviors of porous

107

Groeseneken, K. Maex, Semicond. Sci. Technol. 22 (2007) 320-325

[63] E. Taglauer, Appl. Phys. A 51 (1990) 238

[64] D. Kim, Y. Lee, N. Park, Appl. Phys. Lett. 69 (1996) 2779

[65] S. Sugahara, K. Usami, M. Matsumura, Jpn. J. App. Phys. 38 (1999) 1428

[66] Michael S. Silverstein, Michal Shach-Caplan, Maria Khristosov, Tuval Harel, Plasma Process.

Polym. 2007, 4, 789–796

[67] Sun, J.N., et al., Appl. Phys. Lett., 2002. 81(8) 1447-1449

[68] Lee, H.J., et al., Appl. Phys. Lett., 2007. 91(17)

[69] J.R. Combes, L.D. White, C.P. Tripp, Langmuir 15 (1999) 7870

[70] E. H. Zin, W.H. Bang, E. T. Ryan, S. King, and C.-U. Kim, Appl. Phys. Lett., 102, 221909

(2013)

[71] D. M. Meng, N. L. Michael, Y. J. Park, and C. U. Kim, Appl. Phys. Lett. 88, 261911 (2006)

[72] M. S. R. Heynes, H. Rawson, Phys. Chem. Glass. 2/1 (1961) 1

[73] R.W. Douglas, W.L. Armstrong, J.P. Edward and D. Hull, Glass Technol. 6 (1965) 52

[74] Smith, R.S., The Effect of Ultra-violet Light Curing on the Molecular Structure and Fracture

Properties of an Ultra Low-k Material. Dissertation, The University of Texas at Austin, 2007

[75] Gunzler, H. and H.-U. Gremlich, IR Spectroscopy: An Introduction. WILEYVCH, 2002

[76] A. Grill and D.A. Neumayer, J. Appl. Phys., 94, 6697 (2003)

[77] Sen, P.N. and M.F. Thorpe, Physical Review B, 1977. 15 (8) 4030-4038

[78] Grill, A. and V. Patel, Appl. Phys. Lett., 2001. 79(6) 803-805

[79] M. P. Marder, “Condensed Matter Physics,” John Wiley & Sons, Inc., 2000

[80] Rudawska, A. and E. Jacniacka, Analysis for determining surface free energy uncertainty by

the Owen-Wendt method. International Journal of Adhesion and Adhesives, 2009, 29(4): p. 451-

457

[81] Ohring, M., Materials Science of Thin Films. Academic Press, Inc., 2002

[82] Owens, D.K. and R.C. Wendt, Estimation of Surface Free Energy of Polymers. Journal of

Page 124: Study on the leakage current behaviors of porous

108

Applied Polymer Science, 1969. 13(8): p. 1741

[83] http://www.ksvltd.com/content/index/keysfe, KSV Instruments Ltd., 2010

[84] O’Neill, Hardness measurement of metals and alloys, London, Chapman and Hall, 1967

[85] J.C. Hay, A. Bolshakov, G.M. Pharr, J Mater Res. 14, (1999) 2296

[86] I.N. Sneddon, Int. J.Eng. Sci., Vol 3, 1965, 47-56

[87] G.M. Pharr, Mater, Sci, Eng, A,, Vol 253, 1998, p 151-158

[88] E. Taglauer, Appl. Phys. A 51 (1990) 238

[89] E. Kondoh, M.R. Baklanov, H. Bender, K. Max, Electro-Chem. Solid State Lett. 1 (1998) 224

[90] A. Grill and V. Patel, J. Electrochem. Soc. 153, F169 (2006)

[91] E. T. Ryan, J. Martin, K. Junker, J. Wetzel, J. N. Sun, and D. W. Gidley, J. Mater. Res. 16,

3335 (2001)

[92] S. Sugahara, K. Usami, M. Matsumura, Jpn. J. App. Phys. 38 (1999) 1428

[93] J.R. Combes, L.D. White, C.P. Tripp, Langmuir 15 (1999) 7870

[94] Zenasni et al., J. Appl. Phys. 102, 094107 (2007)

[95] Y. Kikuchi, A. Wada, T. Kurotori, M. Sakamoto, T. Nozawa, S. Samukawa, J. Phys. D: Appl.

Phys. 46 (2013) 395203 (7pp)

[96] Zhou, Y., et al., Correlation of surface and film chemistry with mechanical properties in

interconnects. Characterization and Metrology for ULSI Technology, 2003, 683: p. 455-461

[97] Jacques, J.M., et al., Fracture property improvements of a nanoporous thin film via post

deposition bond modifications. Materials, Technology and Reliability of Advanced Interconnects

2005, 2005. 863: p. 61-66

[98] Abell, T., et al., Solid state MAS NMR spectroscopic characterization of plasma damage and

UV modification of low k dielectric films. Mater. Res. Soc. Symp. Proc., 2005, 863

[99] Hoofman, R.J.O.M., et al., Challenges in the implementation of low-k dielectrics in the back-

end of line. Microelectronic Engineering, 2005, 80: p. 337-344

[100] Abell, T. and K. Maex, Damage minimized plasma pore sealing of microporous low-k

Page 125: Study on the leakage current behaviors of porous

109

dielectrics. Microelectronic Engineering, 2004, 76(1-4): p. 16-19

[101] R.M. Rance, R.D. Short, J. Chem. Soc., Faraday Trans. 93 (1997) 3713

[102] Asefa. T et al. Angew. Chem. Int. Ed. (2000) 39, 1808

[103] K. P. Cheung, Plasma Charging Damage (Springer-Verlag, Berlin, Germany, 2000)

[104] D. Rats, V. Hajek, and L. Martinu, Thin Solid Films 340, 33 (1999)

[105] H. Chaabouni et al., Microelectronic Engineering 84 (2007) 2595-2599

[106] Jae Mok Jung et al., Microelectronic Engineering 87 (2010) 1680-1684

[107] A.M. Urbanowicz et al., Journal of Applied Physics 107, 104122 (2010)

[108] F. Iacopi et al., Journal of Applied Physics 99, 053511 (2006)

[109] Hatton, B.D., Landskron, K., Hunks, W.J., Bennett, M.R., Shukaris, D., Perovic, D.D. and

Ozin, G.A. Materials chemistry for low-k materials. Mater. Today, 9, 22–31 (2006)

[110] V. Jousseaume, A. Zenasni, L. Favennec, G. Gerbaud, M. Bardet, J. P. Simon and A.

Humbert, J. Electrochem. Soc., 2007, 154, G103

[111] D. D. Burkey and K. K. Gleason, J. Electrochem. Soc., 2004, 151, F105

[112] J. S. Rathore, L. V. Interrante and G. Dubois, Adv. Funct. Mater., 2008, 18, 4022

[113] G. Dubois, T. Magbitang, W. Volksen, E. Simonyi and R. D. Miller, Proc. IEEE Int.

Interconnect Technol. Conf., 2005, 8, 226

[114] N. J. Trujillo, Q. Wu and K. K. Gleason, Adv. Funct. Mater., 2010, 20, 607

[115] W. Wang, D. Grozea, A. Kim, D. D. Perovic and G. A. Ozin, Adv. Mater., 2009, 21, 1

Page 126: Study on the leakage current behaviors of porous

110

Biographical Information

Yoonki Sa gained the Bachelor’s and Master’s degree in the field of Materials Science

& Engineering in Hongik University and his thesis is related to the superplastic behavior and

mechanical properties of light metals such as Mg, Al alloy and bulk metallic glasses. He published

4 journal papers during his Master course and one of the papers was cited more than 70.

He has five and half years of industry experience in researches and developments of

electronic packaging including Pb-free interconnects and its reliability evaluation test, alloy

developments, high electro-migration resistant solder metallurgy, micro-bump formation and flip-

chip bonding, TSV filling with molten solder. Especially, while he was working at KITECH funded

by the government in Korea, he delivered more than 20 presentations.

During his PhD study at University of Texas at Arlington, he studied thermo-mechanical

reliability of Cu/low-k interconnect structure and high reliable Pb-free solder alloy for flip chip

application under the guidance of Prof. Choong-Un Kim. Also, he has strong background in

assembly processing and equipment, integrated flow, reflow behaviors, surface finish, flux

chemistry, mechanical characterizations and physics for improved solder joint integrity, failure

analysis.

His sufficient career in microelectronics raised hands on skills with SEM/EDS, FTIR,

SAM (Scanning Acoustic Microscopy), SMT process line (Screen printer, mounter, reflow), HALT

(Highly Accelerated Life Test), Flip-chip bonder, Die saw machine, Reliability Chambers (Thermal

Shock/Cycling, Vibration, HAST, etc.), XRD, GISAXS (Grazing-incidence small angle x-ray

scattering), AFM, XPS, Nano-indentation, Ellipsometer, Optic profilometer, FIB, Ion-milling,

Evaporator, Sputter, Shear tester (Dage 4000), Tensile tester (Instron), DSC, TMA, etc.