study on low warpage and high reliability for large package … · 2018-02-20 · level warpage,...

12
Study on Low Warpage and High Reliability for Large Package Using TSV-Free Interposer Technology Through SMART Codesign Modeling Fa Xing Che, Masaya Kawano, Mian Zhi Ding, Yong Han, and Surya Bhattacharya Abstract— Through-silicon via (TSV)-free interposer (TFI) technology eliminates TSV fabrication and reduces man- ufacturing and material cost. In this paper, structure– material–assembly–reliability–thermal (SMART) codesign mod- eling methodology is established for a package using TFI tech- nology by considering wafer process, package assembly and package/board-level temperature cycling reliability, and thermal performance to optimize structure design, assembly process, and material selection. Experimental results are used to validate wafer warpage modeling results first. Through wafer-level modeling, suitable carrier and molding compound materials are recom- mended to control wafer warpage less than 2 mm for 12-in molded wafer. Effects of coefficient of thermal expansion of package substrate and stiffener on package warpage induced by assembly reflow process are simulated and analyzed. The recommended materials and geometry design based on thermal cycling reliability simulation are aligned with that from wafer and package warpage simulation results. The final test vehicle design and material selection are determined based on SMART codesign modeling results for achieving successful TFI wafer process and package assembly and long-term board-level reliability. Index Terms— Finite-element analysis (FEA), solder joint reliability, structure–material–assembly–reliability– thermal (SMART) codesign modeling, through-silicon via (TSV)- free interposer technology, warpage. I. I NTRODUCTION T HROUGH-SILICON interposer (TSI) is a successful application of through-silicon via (TSV) technology [1]–[3]. The TSI technology realizes the heterogeneous integration of IC chips and vias offer vertical connection from the chips with fine-pitch I/Os to the substrate with medium-pitch I/Os [3]. However, TSV fabrication is facing many challenges, such as void-free filled Cu TSV and lower Cu protrusion [4]–[7], bonding/debonding process [8], thin wafer handling and wafer warpage control [9], [10], and long-term reliability of TSVs [11]–[13]. TSI is not a cost-effective technology due to wafer processes, material cost, and yield loss. New technologies are being explored to provide the similar function to TSI interposer but without TSV formation. Different terminologies are used for such Manuscript received March 19, 2017; accepted May 17, 2017. Date of publication June 14, 2017; date of current version October 26, 2017. Recommended for publication by Associate Editor C. Basaran upon evaluation of reviewers’ comments. (Corresponding author: Fa Xing Che.) The authors are with the Institute of Microelectronics, Agency for Science, Technology and Research, Singapore 138634 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. technologies, such as silicon-less interconnection technology (SLIT) [14] and non-TSV interposer [15]. In our previous study, a package using SLIT technology results in a solder joint reliability and package warpage, which is comparable to that obtained using TSI technology [16]. In this paper, we call this technology as TSV-free interposer (TFI) technology. In TFI technology, the back-end-of-line (BEOL) layers are formed based on a Si or glass carrier, followed by chip-to-wafer bonding, wafer-level compression molding and back grinding (BG), carrier wafer (Si/glass) removal, solder ball attachment, and singulation. Therefore, TFI technology eliminates TSV fabrication and reduces manufacturing and material cost. TFI technology still faces several challenges that need to be addressed, such as wafer warpage during wafer fab- rication process, assembly-induced package warpage, and package/board-level solder joint reliability [14], [15]. Finite- element analysis (FEA) is a powerful and useful tool and has widely been used in the packaging design stage for virtual prototyping [9], [10], [16]–[18]. In this paper, a structure–material–assembly–reliability–thermal (SMART) codesign methodology with FEA simulation has been estab- lished and applied to TFI technology to optimize structure design, wafer process, assembly process, material selection, and thermal performance. Codesign modeling methodology for TFI technology includes TFI wafer process simulation to reduce wafer warpage, TFI package assembly process simu- lation to minimize package warpage, package-level tempera- ture cycling (TC) simulation to improve controlled collapse chip connection (C4) solder joint reliability, board-level TC (TCOB) simulation to improve board-level solder joint relia- bility, and thermal simulation to evaluate and enhance ther- mal performance of TFI package. Through SMART codesign simulation, cost-effective and successful wafer and assembly processes and reliable and high-performance package solu- tion can be achieved for the advanced package using TFI technology. II. FABRICATION PROCESS FLOW AND EXPERIMENTAL PROCEDURE Fig. 1 shows the schematics of TFI package layout, in which one 15 × 15 × 0.56-mm 3 larger chip that is supposed to be a graphics processing unit (GPU) and two 5.5 × 7 × 0.49-mm 3 smaller chips that are supposed to be high-bandwidth memory (HBM) are mounted onto a

Upload: others

Post on 09-Jul-2020

16 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Study on Low Warpage and High Reliability forLarge Package Using TSV-Free Interposer

Technology Through SMART Codesign ModelingFa Xing Che, Masaya Kawano, Mian Zhi Ding, Yong Han, and Surya Bhattacharya

Abstract— Through-silicon via (TSV)-free interposer (TFI)technology eliminates TSV fabrication and reduces man-ufacturing and material cost. In this paper, structure–material–assembly–reliability–thermal (SMART) codesign mod-eling methodology is established for a package using TFI tech-nology by considering wafer process, package assembly andpackage/board-level temperature cycling reliability, and thermalperformance to optimize structure design, assembly process, andmaterial selection. Experimental results are used to validate waferwarpage modeling results first. Through wafer-level modeling,suitable carrier and molding compound materials are recom-mended to control wafer warpage less than 2 mm for 12-inmolded wafer. Effects of coefficient of thermal expansion ofpackage substrate and stiffener on package warpage inducedby assembly reflow process are simulated and analyzed. Therecommended materials and geometry design based on thermalcycling reliability simulation are aligned with that from wafer andpackage warpage simulation results. The final test vehicle designand material selection are determined based on SMART codesignmodeling results for achieving successful TFI wafer process andpackage assembly and long-term board-level reliability.

Index Terms— Finite-element analysis (FEA), solderjoint reliability, structure–material–assembly–reliability–thermal (SMART) codesign modeling, through-silicon via (TSV)-free interposer technology, warpage.

I. INTRODUCTION

THROUGH-SILICON interposer (TSI) is asuccessful application of through-silicon via (TSV)

technology [1]–[3]. The TSI technology realizes theheterogeneous integration of IC chips and vias offer verticalconnection from the chips with fine-pitch I/Os to the substratewith medium-pitch I/Os [3]. However, TSV fabrication isfacing many challenges, such as void-free filled Cu TSV andlower Cu protrusion [4]–[7], bonding/debonding process [8],thin wafer handling and wafer warpage control [9], [10],and long-term reliability of TSVs [11]–[13]. TSI is not acost-effective technology due to wafer processes, materialcost, and yield loss. New technologies are being explored toprovide the similar function to TSI interposer but withoutTSV formation. Different terminologies are used for such

Manuscript received March 19, 2017; accepted May 17, 2017. Dateof publication June 14, 2017; date of current version October 26, 2017.Recommended for publication by Associate Editor C. Basaran upon evaluationof reviewers’ comments. (Corresponding author: Fa Xing Che.)

The authors are with the Institute of Microelectronics, Agency for Science,Technology and Research, Singapore 138634 (e-mail: [email protected];[email protected]).

Color versions of one or more of the figures in this paper are availableonline at http://ieeexplore.ieee.org.

technologies, such as silicon-less interconnection technology(SLIT) [14] and non-TSV interposer [15]. In our previousstudy, a package using SLIT technology results in a solderjoint reliability and package warpage, which is comparable tothat obtained using TSI technology [16]. In this paper, we callthis technology as TSV-free interposer (TFI) technology.In TFI technology, the back-end-of-line (BEOL) layersare formed based on a Si or glass carrier, followed bychip-to-wafer bonding, wafer-level compression molding andback grinding (BG), carrier wafer (Si/glass) removal, solderball attachment, and singulation. Therefore, TFI technologyeliminates TSV fabrication and reduces manufacturing andmaterial cost.

TFI technology still faces several challenges that needto be addressed, such as wafer warpage during wafer fab-rication process, assembly-induced package warpage, andpackage/board-level solder joint reliability [14], [15]. Finite-element analysis (FEA) is a powerful and useful tooland has widely been used in the packaging design stagefor virtual prototyping [9], [10], [16]–[18]. In this paper,a structure–material–assembly–reliability–thermal (SMART)codesign methodology with FEA simulation has been estab-lished and applied to TFI technology to optimize structuredesign, wafer process, assembly process, material selection,and thermal performance. Codesign modeling methodologyfor TFI technology includes TFI wafer process simulation toreduce wafer warpage, TFI package assembly process simu-lation to minimize package warpage, package-level tempera-ture cycling (TC) simulation to improve controlled collapsechip connection (C4) solder joint reliability, board-level TC(TCOB) simulation to improve board-level solder joint relia-bility, and thermal simulation to evaluate and enhance ther-mal performance of TFI package. Through SMART codesignsimulation, cost-effective and successful wafer and assemblyprocesses and reliable and high-performance package solu-tion can be achieved for the advanced package using TFItechnology.

II. FABRICATION PROCESS FLOW AND

EXPERIMENTAL PROCEDURE

Fig. 1 shows the schematics of TFI package layout,in which one 15 × 15 × 0.56-mm3 larger chip that issupposed to be a graphics processing unit (GPU) and two5.5 × 7 × 0.49-mm3 smaller chips that are supposed tobe high-bandwidth memory (HBM) are mounted onto a

Page 2: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 1. Schematics of TFI package layout.

Fig. 2. Process flow for TFI package fabrication.

25 × 18-mm2 TFI interposer. The final TFI package excludingC4 joint and stiffener has a thickness of 0.62 mm. Fig. 2 showsthe process flow of TFI package test vehicle (TV) fabrication.The TFI interposer with three levels of 0.4 µm/0.4 µm fineline/space redistribution layer (RDL) is first fabricated on a

Fig. 3. Simple wafer structure for simulation result validation.

Si or glass carrier wafer using BEOL process. Then, chip-on-wafer bonding process is conducted to mount GPU and HBMchips onto the wafer, followed by wafer-level underfilling.After wafer-level compression molding and BG, GPU chipsare exposed and HBM chips are embedded with 100-µmovermold. Si stiffener with 500 µm thickness is then attachedto the top of the molded wafer to help reduce wafer warpageand assembly warpage and enhance thermal performance ofthe package. After carrier wafer removal, under bump met-allization (UBM) and C4 bumping with 300-µm pitch areconducted. After the singulation process, the obtained TFIpackage with the stiffener is 25 × 18 × 1.15 mm3 in size. TheTFI package is then mounted onto a 30 × 25-mm2 organicsubstrate by using C4 solder joints and finally attached to anFR4 printed circuit board (PCB) through ball grid array (BGA)solder joints. Underfill is used to protect C4 joints, but is notused for BGA joints. The final TV design and material selec-tion are determined based on codesign modeling results forachieving a successful TFI wafer process, package assemblyprocess, long-term package/board-level reliability, and optimalthermal performance.

An experiment is conducted by using a simple struc-ture, as shown in Fig. 3, to validate the wafer warpagemodeling method and also to help select suitable epoxymolding compound (EMC) material. Liquid or granular-typeEMC is put onto a Si carrier with the calculated weight ofthe EMC material. Molding temperature for each EMC isapplied accordingly. After wafer-level compression modeling,the molded wafer has 400-µm-thick mold compound and770-µm-thick Si carrier. Wafer warpage after post-mold cureis measured by the Fogale TMAP IR measurement equipment.The measured warpage data are used for correlating with FEAsimulation results (refer to results in Fig. 6).

III. SMART CODESIGN MODELING METHODOLOGY

Fig. 4 shows contents and topics included in the SMARTcodesign methodology, which has been established and appliedfor TFI packaging technology in this paper by using FEAsimulation with ANSYS commercial software. The mainpurpose of codesign method is to optimize structure and

Page 3: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 4. SMART codesign modeling methodology.

Fig. 5. FEA models for different simulation purposes. (a) 3-D quarter model for wafer warpage simulation. (b) 3-D half model for package-level simulation.(c) 3-D slice model for package-level simulation. (d) 3-D slice model for board-level simulation.

geometry design, wafer process, assembly process, mater-ial selection, and thermal performance. Codesign modelingmethod for TFI technology in this paper includes wafer-level warpage, package warpage induced by assembly reflowprocess, package/board-level solder joint reliability, and ther-mal performance simulation. Wafer process sequence sim-ulation using a 3-D quarter model of the wafer as shownin Fig. 5(a) has been established to simulate compressionmolding, BG, stiffener attach, carrier removal, and backsideRDL processes. C4 bumping process and C4 joints are notmodeled in the wafer-level simulation. For small features suchas RDL, vias, UBM, and µ bumps, thin layer is simplifiedwith considering layer thickness and majority material (relativehigh volume) in wafer-level simulation. Through wafer-levelmodeling, suitable carrier wafer and EMC materials and Sistiffener are recommended to control wafer warpage less than2 mm for 12-in wafer, which is a requirement of warpage

handling by lithography equipment. Effect of organic sub-strate, coefficient of thermal expansion (CTE), and stiffenerthickness on assembly induced package warpage is simulatedby a 3-D half model of the package as shown in Fig. 5(b) toreduce package warpage. Long-term package-level and board-level TC reliability are simulated to predict C4 and BGAsolder joint thermal fatigue lives. Comparison between 3-Dhalf model in Fig. 5(b) and 3-D slice model in Fig. 5(c) iscarried out for C4 joint reliability. In order to reduce solvingtime and computing resource, a 3-D slice model as shownin Fig. 5(d) is also used for investigating board-level solderjoint reliability. In the 3-D slice models, detailed structure andsmall feature size are included. Proper boundary conditionsare applied for each model as shown in Fig. 5. Table I listsmaterial properties used in each model. Stress-free temperatureis chosen for materials according to their active (processing)condition in the actual processes. Temperature range from

Page 4: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

TABLE I

MATERIAL PROPERTIES USED IN FEA MODELS

Fig. 6. Correlation of wafer warpage between experimental and simulationresults.

–40 °C to 125 °C and cycle duration of 1 h are modeledfor TC and TCOB loading. The recommended materials andstructure design based on reliability are aligned with that fromwafer and package warpage simulation results. The optimizedTV structure and materials are finalized based on codesignmodeling results and ready for process and fabrication.

IV. RESULTS AND DISCUSSION

A. Wafer Warpage Analysis and Validation

First of all, the wafer warpage modeling method is validatedby experimental results. Fig. 6 shows correlation betweenexperimental and simulation results (based on structure inFig. 3). Simulation result has a good agreement with warpagemeasurement data. EMC 3 leads to the lowest warpage amongthree EMCs due to the lowest CTE mismatch between EMC 3and Si wafer. The validated modeling method can be imple-mented in the real TV fabrication process to analyze andoptimize wafer warpage.

In order to identify wafer warpage direction duringwafer process, warpage direction and sign are definedin Fig. 7. Process-dependent modeling is conducted for waferwarpage simulation using element birth-and-death technique

Fig. 7. Wafer warpage definition and sign.

Fig. 8. Wafer warpage at different wafer processes.

provided by software. Fig. 8 shows process dependent waferwarpage data at room temperature (25 °C) for the referencemodel. In the reference model, original molding thickness of720- and 100-µm-thick molding compound (EMC 1) isremoved after BG, and 770-µm-thick Si carrier and500-µm-thick Si stiffener are modeled. Two critical processesinducing large warpage are identified by simulation results,i.e., molding process and carrier wafer removal process.Smiling shape warpage [> 1 mm as shown in Fig. 9(a)]occurs after wafer-level compression molding and reducesslightly after BG process. The molded wafer becomes flat

Page 5: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 9. Wafer warpage simulation results. (a) After compression molding. (b) After final RDL process.

Fig. 10. Effect of molding compound on wafer warpage.

TABLE II

GLASS CARRIER WAFER MATERIAL PROPERTIES

Fig. 11. Effect of carrier material on wafer warpage.

after stiffener attach. However, crying shape warpage [> 2 mmas shown in Fig. 9(b)] occurs after carrier removal and finalRDL process, which arises a challenge for final RDL process.In order to control wafer warpage less than 2 mm through allprocesses, optimization needs to be conducted by means ofFEA simulation.

B. Wafer Warpage Optimization

The effect of mold compound on wafer warpage is signif-icant, as shown in Fig. 10, because wafer warpage is mainly

Fig. 12. Effect of stiffener thickness on wafer warpage.

induced by CTE mismatch between Si and EMC materials.EMC 1 and EMC 2 lead to similar wafer warpage, whichare different from warpage results in Fig. 6 for the bilayeredsimple structure due to different structures and volume ratiosof EMC to Si. EMC 3 results in the lowest wafer warpageamong three EMCs due to low-CTE value of 4 ppm/K, whichis one of candidates to ensure wafer warpage less than 2 mmduring wafer processes.

If EMC 1 is used in the TFI package, wafer warpage canalso be controlled less than 2 mm by choosing a suitable carrierwafer. Table II lists three glass carrier materials with differentmaterial properties, especially different CTEs. Fig. 11 showswafer warpage results for different wafer carriers. Glass-1 carrier leads to the similar warpage results as Si carrierdue to their similar CTE property. When glass carrier withhigh CTE is used, wafer warpage direction can be changedafter compression molding. For the molded wafer with EMC1, selecting glass-2 carrier (CTE = 5 ppm/K) helps to con-trol wafer warpage less than 2 mm during wafer processes.Therefore, carrier wafer and EMC material selection shouldbe considered as a system based on geometry structure andmatched CTE requirement, which is also successfully appliedin fan-out wafer-level packaging with both mold-first andRDL-first techniques to optimize wafer warpage [19].

Fig. 12 shows the effect of Si stiffener thickness on waferwarpage considering two major processes after stiffener attach,i.e., carrier removal and final RDL process. Wafer warpageis more than 2 mm after carrier removal and final RDLprocess if the stiffener is not applied. Even though EMC 3has low-CTE value, wafer with EMC 3 still has large warpagewhen the stiffener is not used because EMC 3 has lowmodulus, which makes the structure much softer and flexible.

Page 6: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 13. Effect of organic substrate CTE and stiffener on package warpage at (a) 25 °C and (b) 220 °C.

Fig. 14. Effect of organic substrate thickness on package warpage at (a) 25 °C and (b) 220 °C.

Stiffener makes structure stiffer and not easy to deform.Wafer warpage decreases with increasing stiffener thickness,especially for wafer with soft EMC material (EMC 3 in thispaper). For the purpose of wafer warpage reduction, 500-µm-thick Si stiffener and EMC 3 material are desirable choicesfor TFI package fabrication.

C. Package Warpage Analysis

Reflow condition (peak temperature of 250 °C) is modeledfor package assembly to simulate C4 solder joint reflowprocess induced package warpage. C4 joints have 300-µmpitch and 160-µm standoff height after assembly, and organicsubstrate has 1-mm thickness. The warpage requirement isless than 100 µm to ensure the good joint quality of C4 jointafter reflow process. After C4 joint reflow process, underfillingprocess is conducted to protect C4 joints. Fig. 13 showspackage warpage at both room temperature (25 °C) and soldermelting temperature (220 °C) at which solder starts solidifica-tion and stress starts to build up in solder and high packagewarpage at this temperature, which will affect solder joint for-mation quality. Package warpage has a convex or crying shapeat 25 °C and increases with increasing substrate CTE. Pack-age warpage has a different definition from wafer warpage.

Stiffener helps to reduce package warpage by 40%–70%. BothEMC 1 and EMC 3 lead to similar package warpage at 25 °C.However, package warpage has a concave or smiling shapeat 220 °C and also increases with increasing substrate CTE.Effect of stiffener on package warpage becomes insignificantat high temperature. Based on simulation results, organicsubstrate with low CTE (<= 10 ppm/K) and applying stiffeneron the top of the package are recommended to control packagewarpage less than 100 µm.

Fig. 14 shows the effect of substrate thickness on pack-age warpage. For package with stiffener, substrate thicknessinfluences on warpage are not significant. Effect of substrateCTE on package warpage is more significant than its thicknesseffect. To control warpage of the TFI package with stiffener,selecting low-CTE substrate is more efficient than changingsubstrate thickness.

D. C4 Solder Joint TC Reliability

Package-level TC reliability is modeled for TFI packageusing a 3-D half model [Fig. 5(b)] and a slice model [Fig. 5(c)]to investigate and predict C4 joint thermal fatigue life. TheSn–Ag–Cu lead-free solder is modeled for C4 joints usinghyperbolic-sine creep constitutive model [20]. Temperature

Page 7: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 15. Creep strain energy density of C4 joints in TFI package. (a) Withoutunderfill. (b) With underfill.

Fig. 16. Comparison of C4 solder joint life based on simulation results from3-D half model.

Fig. 17. C4 solder joint life prediction based on simulation results from 3-Dslice model.

range from −40 °C to 125 °C and cycle duration of 1 h aremodeled for TC loading. Fig. 15 shows creep strain energydensity of C4 joints under TC loading for the TFI packagewith 500-µm-thick stiffener based on simulation results fromthe half model. For TFI package without underfill, C4 joints

Fig. 18. Comparison of C4 joint life predicted by 3-D slice model and 3-Dhalf model.

TABLE III

MECHANICAL PROPERTIES OF UNDERFILL

Fig. 19. Effect of underfill on package-level C4 joint reliability.

at package edges [Fig. 15(a)] have large creep strain energydensity value, which corresponds to low solder joint life.Underfill is used to improve fatigue life of C4 joints at packagecorners and edges and makes C4 joints under Si die area havemore uniform life [Fig. 15(b)]. Volume averaged creep strainenergy density accumulation per cycle based on the interfaciallayer of solder and package is used for fatigue life prediction.The energy-based life model of Sn–Ag–Cu solder is expressedin the following:

N f = 137.6×W−1.112cr (1)

where Wcr is the creep strain energy density from simulationresults, and then fatigue life, N f , can be predicted.

Fig. 16 shows fatigue life comparison of C4 joints at thepackage edge [column C1 in Fig. 15(b)] from the 3-D halfmodeling results [Fig. 5(b)]. For TFI package with stiffenerbut without underfill, C4 joint life is more sensitive to joint

Page 8: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 20. Effect of organic substrate CTE on package-level C4 joint reliability. (a) Without underfill. (b) With underfill.

Fig. 21. C4 joint reliability comparison between package TC and board-level TCOB condition (organic substrate and PCB having the same CTE of15 ppm/K).

Fig. 22. BGA solder joint reliability under TCOB condition (organic substrateand PCB having the same CTE of 15 ppm/K).

location and the corner joint has much lower fatigue life. ForTFI package without underfill, EMC 3 leads to lower C4 jointlife than EMC 1 due to higher CTE mismatch between EMC 3

Fig. 23. Effect of organic substrate CTE on C4 joint reliability under TCand TCOB conditions.

Fig. 24. Effect of organic substrate CTE on BGA joint reliability underTCOB condition.

and organic substrate. C4 joints show more uniform andimproved fatigue life when underfill is applied in the package.The effect of stiffener on C4 solder joint life is not significantwhen underfill is applied in package. Package with stiffenerhas slightly lower C4 joint fatigue life compared to package

Page 9: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 25. Effect of organic substrate thickness on BGA joint reliability. (a) Substrate CTE 15 ppm/K. (b) Substrate CTE 10 ppm/K.

Fig. 26. Effect of organic substrate thickness and CTE on critical solder joint life. (a) BGA joint. (b) C4 joint.

without stiffener because Si stiffener makes the packagenot flexible and increases CTE mismatch between packageand organic substrate. The main purpose of stiffener is tohelp reduce wafer/package warpage significantly. Therefore,underfill and stiffener are all needed to improve C4 solderjoint reliability and reduce package warpage.

3-D half model is one very time-consuming model, whichneeds more than 50 h to solve one TC simulation for eachcase of TFI package, like results in Fig. 15. Simplified3-D slice model cutting along TFI package center to edgewith one row or C4 joints [Fig. 5(c)] is adopted for parametricstudy. In the 3-D slice model, only large GPU die is modeledwith the same distance from die edge to package edge asin the 3-D half model. Fig. 17 shows simulation results andfatigue life of C4 joints from the 3-D slice model. C4 jointshave similar life with helping from underfill. Life predictionbased on bottom interfaces (organic substrate side) of C4solder joint has slightly lower value compared to that basedon top-side interface (chip side). Simulation results from the3-D slice model are compared to those from the 3-D halfmodel, as shown in Fig. 18. Similar life prediction from 3-D

slice model and 3-D half model indicates that slice modelis one accurate and simple model that can be used to domore parametric studies effectively. In [21], slice model wasalso verified as an efficient and accurate model through FEAsimulation for BGA package subjected to TCOB loadingcondition. In this TFI package TC simulation case, solvingtime of 3-D slice model is just one tenth that of 3-D halfmodel. With TFI package with underfill, EMC 1 and EMC 3lead to similar C4 joint life (Fig. 18), which is different fromresults of TFI package without underfill (Fig. 16).

Underfill is important to improve C4 joint reliability, espe-cially for large package size. However, it is essential andcritical to choose suitable underfill to protect C4 joints. Oth-erwise, underfill may decrease C4 joint reliability [22], [23].Table III lists four underfills and their properties. CTE andYoung’s modulus are two critical mechanical properties affect-ing C4 joint reliability. Fig. 19 shows the effect of underfillon C4 joint reliability. Underfill 1 and 2 lead to similar resultsand good protection on C4 joint reliability due to their similarmechanical properties and similar CTE value as lead-freesolder. Underfill 3 leads to poor C4 joint reliability due to

Page 10: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fig. 27. 3-D thermal model of TFI package.

Fig. 28. Effect of stiffener on temperature by thermal simulation.

high CTE and low Tg. Underfill 1 is recommended to improveC4 joint reliability for TFI package, and it will be used in thefollowing simulation.

Organic substrate is another important material affectingC4 joint reliability. The most important material property ofsubstrate is its CTE. Fig. 20 shows the effect of substrateCTE on C4 joint reliability for TFI package with or withoutunderfill using 3-D slice model. Substrate CTE has significanteffect on C4 joint reliability of TFI package without underfill,and C4 joint life is sensitive to joint location. C4 joint fatiguelife increases with decreasing substrate CTE because low sub-strate CTE leads to low-CTE mismatch between substrate andpackage. The effect of substrate CTE on C4 joint reliability isnot significant for TFI package with underfill, and C4 joint lifeis not sensitive to joint location. For package with underfill,low-CTE substrate has no improvement for C4 solder jointreliability but helps to reduce package warpage. Consideringboth C4 reliability and package warpage concern, organicsubstrate with CTE of 10 or 7ppm/K is recommended.

E. Board-Level Solder Joint Reliability

When TFI package is assembled onto the PCB, TCOBreliability is another concern for BGA solder joint, whichis simulated using a 3-D slice model [Fig. 5(d)]. In the 3-D slice TCOB model, underfill 1 is applied for C4 jointsbut not for BGA joints, and EMC 3 is used as moldingcompound. BGA joint has a pitch of 0.9 mm. Organic substratehas the same CTE of 15 ppm/K as the PCB in TCOB

simulation, and substrate thickness is 1 mm and PCB thicknessis 1.6 mm (common PCB thickness). PCB keeps a constantCTE of 15 ppm/K in TCOB simulation. Fig. 21 shows thatC4 joints have slightly higher life under package-level TC testthan that under TCOB test for the same TC test conditiondue to stiffer structure of board-level assembly compared topackage-level assembly. Fig. 22 shows that BGA solder jointreliability is sensitive to joint location due to distance toneutral point effect and underfill not used for BGA joints. Thebottom interface of BGA joint (PCB side) is critical comparedto the top interface (package substrate side). The critical BGAjoint is adjacent to Si stiffener edge, not package substrateedge. BGA solder joints show higher fatigue life compared toC4 joints.

Fig. 23 shows the effect of organic substrate CTE on criticalC4 joint reliability and comparison between package TC andboard-level TCOB conditions. With TFI package with underfill1 for C4 joints, C4 joint reliability is not sensitive to organicsubstrate CTE in TCOB testing condition. Fig. 24 showsthat substrate CTE affects BGA joint reliability significantly,which is different from its effect on C4 joint reliability. CTEmismatch between chip and substrate and between underfilland C4 joint are the main contribution for C4 joint reliability,while CTE mismatch between whole package (including chipand substrate) and PCB is a main contribution for BGAjoint reliability. Substrate with 10-ppm/K CTE leads to highBGA life, which is also recommended for reducing packageassembly warpage.

Fig. 25 shows the effect of substrate thickness on BGAjoint reliability. When package substrate and PCB have thesame CTE value (15 ppm/K), the critical BGA joint locates atSi stiffener edge/corner [Fig. 25(a)] and thin substrate helpsto improve BGA solder joint reliability due to more flexiblestructure. When package substrate has CTE of 10 ppm/K whilePCB has CTE of 15 ppm/K, the critical BGA joint locatesat package substrate edge/corner [Fig. 25(b)] due to CTEmismatch between substrate and PCB. BGA joint life is lesssensitive to substrate thickness with package with 10-ppm/KCTE substrate compared to package with 15-ppm/K CTEsubstrate, which is also applicable for the effect of substratethickness on the critical BGA joint life as shown in Fig. 26(a).BGA joint life decreases with increasing substrate thickness.C4 joint reliability is not sensitive to substrate thickness andCTE under TCOB condition [Fig. 26(b)]. Organic substratewith CTE of 10 or 15 ppm/K provides good BGA jointreliability. Considering package warpage, organic substratewith 10 ppm/K is finally recommended.

F. Thermal Performance Modeling and Evaluation

3-D simulation model as shown in Fig. 27 has beenconstructed using a computational fluid dynamic softwarefor thermal performance modeling. The equivalent thermalconductivity in the in-plane and out-of-plane directions iscalculated based on volume averaging method for GPU andHBM microbump joint layer, C4 joint and underfill layer, andTFI interposer layer by considering joint and bump layoutdesign and materials and their volume. Thermal modeling is

Page 11: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

carried out for TFI package considering two power supplycases, i.e., 1 W for GPU chip and 1 W for each HBM chip,and 2 W for GPU chip and 0.7 W for each HBM chip.Fig. 28 shows the effect of Si stiffener on the maximumtemperature of GPU and HBM chips. The stiffener can work asa heat spreader for HBM chip and slightly improve the thermalperformance. Effect of stiffener on GPU thermal performanceis not significant. The package can dissipate around 3.5-Wtotal heating power (case 2 in Fig. 28) with help by 500-µmthick stiffener, while maintaining the operation temperaturelimit of HBM chip temperature less than 85 °C.

V. CONCLUSION

SMART codesign modeling methodology has been estab-lished for TFI packaging technology considering waferprocess, package assembly and package/board-level relia-bility, and thermal performance. Low-CTE EMC materialhas matched properties with Si carrier wafer and helpsto reduce wafer warpage. Stiffener is an effective way toreduce wafer warpage after carrier removal and assemblyinduced package warpage. Package- and-board level reliabilityshow that C4 joint is more critical than BGA joint forTFI package. Through FEA simulation, the optimal under-fill has been recommended for improving C4 solder jointreliability. C4 joints reliability is not sensitive to organicsubstrate CTE and thickness for TFI package with usingsuitable underfill for C4 joints. Thin substrate with mediumCTE value helps to improve BGA joint reliability with-out violating the package warpage condition. The stiffenerworks as a heat spreader and thus improves package ther-mal performance, especially for the HBM chip. Based onthe codesign modeling on warpage, reliability, and thermalperformance results, geometry and materials are optimizedand determined for the final TV design and fabrication:Si or low-CTE glass carrier wafer for wafer process, low-CTEEMC 3, underfill 1 for C4 joints, 500-µm-thick Si stiffener,0.75-mm-thick substrate with 10-ppm/K CTE, and 1.6-mmthick FR4 PCB with 15-ppm/K CTE. The TV is in manu-facturing stage right now, and further correlation work will beconducted.

ACKNOWLEDGMENT

This work is the result of a project initiated by Cost-Effective Interposer Consortium. The authors would like tothank the members’ for their participation in discussions andencouragement throughout the course of the project, whichmade this research possible.

REFERENCES

[1] B. Banijamali, S. Ramalingam, N. Kim, and C. Wyland, “Ceramics vs.low-CTE organic packaging of TSV silicon interposers,” in Proc. 61stElectron. Compon. Technol. Conf., 2011, pp. 573–576.

[2] M. Sunohara, T. Tokunaga, T. Kurihara, and M. Higashi, “Sili-con interposer with TSVs (through silicon vias) and fine multi-layer wiring,” in Proc. 58th Electron. Compon. Technol. Conf., 2008,pp. 847–852.

[3] C. C. Lee et al., “An overview of the development of a GPU withintegrated HBM on silicon interposer,” in Proc. 66th Electron. Compon.Technol. Conf., 2016, pp. 1439–1444.

[4] M. J. Wolf et al., “High aspect ratio TSV copper filling with differentseed layers,” in Proc. 58th Electron. Compon. Technol. Conf., 2008,pp. 563–570.

[5] F. X. Che, W. N. Putra, A. Heryanto, A. D. Trigg, X. Zhang, andC. L. Gan, “Study on Cu protrusion of through-silicon via,” IEEETrans. Compon., Packag., Manuf. Technol., vol. 3, no. 5, pp. 732–739,May 2013.

[6] A. Heryanto, W. N. Putra, A. D. Trigg, S. Gao, W. S. Kwon, andF. X. Che, “Effect of copper TSV annealing on via protrusion forTSV wafer fabrication,” J. Electron. Mater., vol. 41, pp. 2533–2542,Sep. 2012.

[7] I. De Wolf, “Cu pumping in TSVs: Effect of pre-CMP thermalbudget,” Microelectron. Rel., vol. 51, nos. 9–11, pp. 1856–1859,2011.

[8] S. Pargfrieder, P. Kettner, M. Privett, and J. Ting, “Temporary bondingand debonding enabling TSV formation and 3D integration for ultra-thin wafers,” in Proc. 10th Electron. Packag. Technol. Conf., 2008,pp. 1301–1305.

[9] F. X. Che, H. Y. Li, X. Zhang, S. Gao, and K. H. Teo, “Devel-opment of wafer-level warpage and stress modeling methodologyand its application in process optimization for TSV wafers,” IEEETrans. Compon., Packag., Manuf. Technol., vol. 2, no. 6, pp. 944–955,Jun. 2012.

[10] F. X. Che, “Dynamic stress modeling on wafer thinning process andreliability analysis for TSV wafer,” IEEE Trans. Compon., Packag.,Manuf. Technol., vol. 4, no. 9, pp. 1432–1439, Sep. 2014.

[11] K. N. Tu, “Reliability challenges in 3D IC packaging technology,”Microelectron. Rel., vol. 51, no. 3, pp. 517–523, 2011.

[12] S. K. Ryu, K. H. Lu, X. Zhang, J. H. Im, P. S. Ho, and R. Huang,“Impact of near-surface thermal stresses on interfacial reliability ofthrough silicon vias for 3-D interconnects,” IEEE Trans. Device Mater.Rel., vol. 11, no. 1, pp. 35–43, Jan. 2011.

[13] E. Beyne, “Reliable via-middle copper through-silicon via technologyfor 3-D integration,” IEEE Trans. Compon., Packag., Manuf. Technol.,vol. 6, no. 7, pp. 983–992, Jul. 2016.

[14] W. S. Kwon et al., “Cost effective and high performance 28 nm FPGAwith new disruptive silicon-less interconnection technology (SLIT),” inProc. IMAPS, 2014, pp. 599–605.

[15] F. Y. Liang et al., “Development of non-TSV interposer (NTI) forhigh electrical performance package,” in Proc. 66th Electron. Compon.Technol. Conf., 2016, pp. 31–36.

[16] F. X. Che, X. Zhang, and J. K. Lin, “Reliability study of 3D ICpackaging based on through-silicon interposer (TSI) and silicon-lessinterconnection technology (SLIT) using finite element analysis,” Micro-electron. Rel., vol. 61, pp. 64–70, Apr. 2016.

[17] L. J. Ladani, “Numerical analysis of thermo-mechanical reliabil-ity of through silicon vias (TSVs) and solder interconnects in3-dimensional integrated circuits,” Microelectron. Eng., vol. 87,pp. 208–215, Sep. 2010.

[18] J. Ciptokusumo, K. Weide-Zaage, and O. Aubel, “Investigation of stressdistribution in via bottom of Cu-via structures with different via formby means of submodeling,” Microelectron. Rel., vol. 49, pp. 1090–1095,Apr. 2009.

[19] F. X. Che, D. Ho, M. Z. Ding, and M. W. Rhee, “Study onprocess induced wafer level warpage of fan-out wafer level pack-aging,” in Proc. 66th Electron. Compon. Technol. Conf., 2016,pp. 1879–1885.

[20] J. H. L. Pang and B. S. Xiong, “Mechanical properties for95.5Sn-3.8Ag-0.7Cu lead-free solder alloy,” IEEE Trans. Compon.Packag. Technol., vol. 28, no. 4, pp. 830–840, Dec. 2005.

[21] F. X. Che and J. H. L. Pang, “Fatigue reliability analysis ofSn–Ag–Cu solder joints subject to thermal cycling,” IEEE Trans. DeviceMater. Rel., vol. 13, no. 1, pp. 36–49, Mar. 2013.

[22] F. X. Che, X. Zhang, O. K. Navas, K. H. Teo, S. Gao, and D. Pinjala,“The study of thermo-mechanical reliability for multi-layer stacked chipmodule with through-silicon-via (TSV),” in Proc. 12th Electron. Packag.Technol. Conf., 2010, pp. 743–749.

[23] F. X. Che, “Study on board level solder joint reliability for extremelarge fan-out WLP under temperature cycling,” in Proc. 18th Electron.Packag. Technol. Conf., 2016, pp. 207–215.

Page 12: Study on Low Warpage and High Reliability for Large Package … · 2018-02-20 · level warpage, package warpage induced by assembly reflow process, package/board-level solder joint

Fa Xing Che received the Ph.D. degree in engi-neering mechanics from Nanyang TechnologicalUniversity, Singapore, in 2006.

He was a Senior Engineer with the UnitedTest and Assembly Center and STMicroelectronics,Singapore, from 2006 to 2009, a Scientist withthe Institute of Microelectronics (IME), Singapore,from 2009 to 2011, and a Staff Engineer withInfineon Technologies Asia Pacific, Singapore, from2011 to 2013. He is currently a Scientist with IME.He has authored or coauthored more than 120 tech-

nical papers in refereed journals and conference proceedings. His currentresearch interests include design for reliability in advanced packaging,Cu wire bonding, through-silicon via technology, fan-out wafer-level/panel-level packaging, finite-element modeling and simulation, and the characteri-zation of microelectronic packaging materials.

Dr. Che serves as a peer reviewer for more than 15 international scientificjournals. He received the Best Poster Paper Award from the IEEE ElectronicsPackaging Technology Conference in 2013, the Best Poster Paper Award fromthe IEEE ITherm in 2006, the Best Paper Award from IEEE ICEPT in 2006,and the Outstanding Student Paper Award from the IEEE EPTC in 2003.

Masaya Kawano, photograph and biography not available at the time ofpublication.

Mian Zhi Ding, photograph and biography not available at the time ofpublication.

Yong Han, photograph and biography not available at the time of publication.

Surya Bhattacharya, photograph and biography not available at the time ofpublication.