stochastic resist simulation for euv · stochastic resist model with poisson statistics ......

22
Stochastic Resist Simulation for EUV Mark D. Smith, John Biafore

Upload: trankhanh

Post on 18-Aug-2018

223 views

Category:

Documents


0 download

TRANSCRIPT

Stochastic Resist Simulation for EUV

Mark D. Smith, John Biafore

Outline

� What is Stochastic Resist Modeling?

� Stochastic Resist Model with Poisson Statistics – ArF Example

� Description of the Stochastic Model

� Calibration

� Validation of Model with CDU data

� Stochastic Resist Model for EUV Exposure

� Photoelectron Exposure Model

� EUV calibration

� CDU predictions and Comparison to ArF results

� CDU Predictions for 22nm Node

� Summary and Conclusions

3

What is Stochastic Resist Modeling?

Lithographic modeling strategies to date have mostly followed the continuum approximation, or the use of continuous mathematics to describe the average or mean-field behavior.

However,

1 – Photon shot noise gives dose fluctuations

2 – Resist reactants are discrete molecules

When describing behavior at the length scale of tens of nanometers, an alternate approach is to build the quantization of light and matter directly into the models.

This is stochastic modeling

Images from James Schombert, Dept. of Physics, Univ. of Oregon website @ ABYSS.UOREGON.EDU

4

Computationally inexpensive way to study interesting lithographic behavior

1. Photon Counting Statistics

• Effects of photon shot noise

2. Resist formulary vs. behavior

• Effects of reactant loadings

• Quantum efficiency of bright and ‘dark’ exposure mechanisms

3. Resist line-edge roughness, line-width roughness, CD variability and failure mechanisms

• Statistical fluctuations in the placement of the resist edge

• Statistical fluctuations in the resist width

• Scumming and bridging phenomena

Why Consider a Stochastic Model?

Stochastic Model for Direct Photon Absorption at Ar FFluctuations from Formulation and Photon Shot Noise

Formulation Model

� PAG and quencher placed randomly throughout resist volume based on loading and Poisson statistics

Exposure Model

� Poisson statistics for photons

� Monte Carlo model for probability that PAG absorbs a photon, and for probability of conversion to acid

( )1

*

*

≤=

Φ=→→

hotonsnAbsorbedP

nAcidsYieldAcid

AcidPAGprob

PAGPAG hυ

1 nm3

Calibration Process

� Calibration Data� 3 Focus-Exposure Matrix wafers exposed under identical conditions

1. 50nm Line, 100nm Pitch

2. 80nm Line, 200nm Pitch

3. 110nm Line, 700nm Pitch

� Data processing for calibration� CDSEM images were reviewed and points where bridging, scumming or pattern collapse

was observed were excluded.

� Average CD and standard deviation where valid CD was obtained for all wafers.

� LWR values were determined for each site using SUMMIT (EUVL Technology Corp).

� Calibration Process� Absolute PAG and quencher loadings fixed to formulation values

� Resist optical parameters fixed to values measured with an ellipsometer

� Nonlinear least-squares fitting of exposure, development, and PEB parameters to minimize root-mean-square error for FEM CDs and LWR values.

Reference:Robertson, Biafore, Smith, Reilly, and Wandell, ”Predictive linewidth roughness and CDU simulation using a calibrated physical stochastic resist model”, Proc SPIE 7639 (2010)

Process Conditions

� BARC: 19 nm Dow AR124 over

79 nm Dow ARC26N

� Resist: Dow EPIC2013

� Resist Process: 130 nm Resist

100°/ 60” SB

100°/ 60” PEB

12” GP Nozzle development – Dow MF-26A with FIRM process

� Topcoat: 90nm JSR TCX04190°/ 60” Cure

� Mask: 6% AttPSM

� Exposure Conditions: 1.35NA Immersion, ASML/1900i0.90σo/0.60σi Annular (X/Y Polarization)

Experimental CD Vs Simulation (3 Trial Mean) Optimized Stochastic Model Parameters

50nm Line, 100nm Pitch FEM

30

35

40

45

50

55

60

-0.05 0 0.05 0.1 0.15 0.2

Focus ( µm)

CD

(nm

)

80nm Line, 200nm Pitch FEM

30

35

40

45

50

55

60

0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16 0.18 0.2

Focus ( µm)

CD

(nm

)

110nm Line, 700nm Pitch FEM

50

55

60

65

70

75

80

0 0.02 0.04 0.06 0.08 0.1 0.12 0.14

Focus ( µm)

CD

(nm

)

34.8mJcm-2 Expt

34.8mJcm-2 Sim

36.0mJcm-2 Expt

36.0mJcm-2 Sim

37.2mJcm-2 Expt

37.2mJcm-2 Sim

38.4mJcm-2 Expt

38.4mJcm-2 Sim

39.4mJcm-2 Expt

39.6mJcm-2 Sim

40.8mJcm-2 Expt

40.8mJcm-2 Sim

Average 3 σ LWR – Experimental Vs SimulationOptimized Model Parameters

0

1

2

3

4

5

6

7

8

9

0 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.16

Defocus ( µm)

3 σ L

WR

(nm

)

100nm Pitch Expt

100nm Pitch Sim

200nm Pitch Expt

200nm Pitch Sim

700nm Pitch Expt

700nm Pitch Sim

Resist Profiles – Experimental Vs SimulationOptimized Model Parameters

50nm Line100nm Pitch

80nm Line200nm Pitch

110nm Line700nm Pitch

Verification data for ArF Resist Model

� Collected CDU data for line-space and for line-end shortening structures.� 50nm lines on 100nm pitch with different gap widths.

� Same scanner and process settings as calibration dataset.

� Experiment designed to minimize any non-resist contributions to CDU� All structures in the same position within the field

� Only one stage used on scanner

� Only a single flow through the track (same spin bowl, PEB hotplate, etc.)

� Only a single scan direction

Experiment Model

CDU – 50 nm Line, 100 nm Pitch (155 Sites)36 mJ/cm 2, 0.05 µm Focus (Best Focus)

CDFIT EXPT = 45.3 nmσFIT EXPT = 0.47 nm

CDFIT SIM = 46.0 nmσFIT SIM = 0.40 nm

43 43.5 44 44.5 45 45.5 46 46.5 47 47.5 480

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Data

Den

sity

Best Focus Experiment

Experiment FitBest Focus Simulated

Simulation Fit

CDU – Line End Shortening Pattern (155 Sites) 100 nm Gap [36mJ/cm 2 – Best Focus]

CDFIT EXPT = 166.3 nm CDFIT SIM = 165.7 nmσFIT EXPT = 3.2 nm σFIT SIM = 3.7 nm

155 160 165 170 1750

0.05

0.1

0.15

Data

Den

sity

100nm Gap Experiment

Experimental Fit100nm Gap Simulation

fit 8Simulation Fit

Mask

Wafer

Model

PAG conversion by electron kinetic energy

Probable exposure mechanism at EUV

Acid yields at EUV are not explained by direct photolysis of PAG. Acid generation at EUV is hypothesized to be similar to that found in e-beam resists: via ionization and electron scattering

Stochastic Model for EUV – Secondary ElectronsFluctuations from Formulation, Photon Shot Noise, a nd Secondary Electrons

( )larger?or1

2

*

*

>=

Φ=→+→++→++→

−−

+−−

−+

hotonsnAbsorbedP

nAcidsYieldAcid

AcidPAGprob

PAGePAGe

MeMe

eMM hυ

elastic mean-free path

inelastic mean-free path

stopping power

, scattering angles

el

inel

dE

dS

λλ

θ φ

=

=

=

=

-2-1

01

2

-2-1

01

2

-2

-1

0

1

2

nmnm

nm

10

20

30

40

50

60

70

80

Simulation Parameters:

Resist Atomic Density = 100 atoms per nm3

Average atomic number = (8*6 + 8*1)^(1/3)

PAG loading = 0.1 / nm3

PAG reaction radius = 2.7 nm

PAG Eact = 2.0 eV

Ionization potential = 8 eV

1 primary photoelectron

2 secondary electrons

Acid yield = 2

Energy, eV

PAG

acid

acid

PAG

electron trajectories

Acid yield and electron scattering from one EUV ionization event

Calibration Data – Process Conditions

� Resist: Shin Etsu SEVR40

� Resist Process: 70 nm Resist

100°/ 60” SB

100°/ 60” PEB

12” GP Nozzle development – Dow MF-26A with FIRM process

� Mask: 77nm absorber stack

32nm lines on 65nm, 75nm and 95nm pitches

Both horizontal and vertical

� Exposure Conditions: ASML ADT

0.25 NA, 0.5 partial coherence

Reference: Biafore, Smith, van Setten, Wallow, Naulleau, Blankenship, Robertson, and Deng “Resist pattern prediction at EUV”, Proc SPIE 7636 (2010)

-0.05 0 0.05 0.1 0.152

4

6

8

1032L75PH RMS LWR= 1.1 nm

focus, um

LWR

, nm

-0.05 0 0.05 0.1 0.152

4

6

8

1032L95PV RMS LWR= 0.7 nm

focus, um

LWR

, nm

-0.05 0 0.05 0.1 0.152

4

6

8

1032L95PH RMS LWR= 0.8 nm

focus, um

LWR

, nm

Global RMS Error = 1 nm, Max Err = 5 nm

Reasonable quantitative agreement between model and average CD, LWR

-0.05 0 0.05 0.1 0.1525

30

35

4032L75PH RMS CD= 0.8 nm

focus, um

CD

, nm

-0.05 0 0.05 0.1 0.1525

30

35

4032L95PV RMS CD= 0.5 nm

focus, um

CD

, nm

-0.05 0 0.05 0.1 0.1525

30

35

4032L95PH RMS CD= 0.3 nm

focus, um

CD

, nm

<CD>

Lines = model

LWR

Circles = model

Examples of fit to mean CD and LWR

28 nm V lines on 65 nm pitch, CDSEM 28 nm V lines on 56 nm pitch, simulation

Reasonable qualitative agreement between simulation and experiment

Comparison of Resist Profiles 28nm vertical lines on 56nm pitch

Comparison between ArF and EUV100nm Gap Line-end Shortening

CDFIT EXPT = 166.3 nm

σFIT EXPT = 3.2 nm

CDFIT SIM = 165.7 nmσFIT SIM = 3.7 nm

155 160 165 170 1750

0.05

0.1

0.15

Data

Den

sity

100nm Gap Experiment

Experimental Fit100nm Gap Simulation fit 8Simulation Fit

ArF Result

Experiment = ???

CDFIT SIM = 96.3 nmσFIT SIM = 1.7 nm

EUV Result

EUV predicted to require minimal OPC, and have bett er CDU control!

Extrapolation to Smaller Gap Sizes

Gap CD does not hit target for gaps smaller than 70nm. Pattern failure for 50nm pitch.

35L-70P 70 Gap

nm

nmGAP

27.2

1.74

=

=

σ

30L-60P 60 Gap

nm

nmGAP

46.2

8.69

=

=

σ

25L-50P 50 Gap

nm

nmGAP

40.2

2.68

=

=

σ

Summary and Conclusions

� We have presented a Stochastic Resist Model describes the fluctuations naturally present when imaging small features

� Photon shot noise

� Concentration fluctuations in PAG and Quencher

� Scattering of secondary electrons and reaction with PAG

� We have calibrated this model to CD and LWR data for both ArF and EUV exposures, and achieved good fits to these datasets.

� CDU prediction with ArF model agreed well with experimental data.

� Extrapolation to EUV shows broadening of CDU as features shrink

� Even with current EUV resists, gap CDU is still better than ArF result.

� Pattern ultimately fails on dense lines, not gap.

The PROLITH team

� Stewart Robertson

� David Blankenship

� Dale Legband

� Pat Lee

� Sanjay Kapasi

� Trey Graves

� Chris Walker

� Greg Floyd

� Heather Spears

� Dan Grubbs

ASML

� Eelco van Setten

� Anita Fumar-Pici

� Steve Hansen

References:

� Robertson, Biafore, Smith, Reilly, and Wandell, ”Predictive linewidth roughness and CDU simulation using a calibrated physical stochastic resist model”, Proc SPIE 7639 (2010)

� Biafore, Smith, van Setten, Wallow, Naulleau, Blankenship, Robertson, and Deng “Resist pattern prediction at EUV”, Proc SPIE 7636 (2010)

Acknowledgements

Lawrence Berkeley National Lab

� Patrick Naulleau

� Lorie-Mae Blaclea-an

Dow Electronic Materials

� Michael Reilly

� Jerome Wandell

GLOBALFOUNDRIES

� Tom Wallow

� Yunfei Deng