sistemas microprocesados, glcd

6
UNIVERSIDAD POLITÉCNIC A SALESIANA LABORATORIO DE SISTEMAS MICROPROCESA DOS II Fecha: 27/04/2016 INFORME PRÁCTICA Nº2 MANEJO DEL MÓDULO GLCD Cahe!a" Ma#$%a &a' Fe('a')*  +cahe'a",e"-.".e).ec Pa(e)e" R*)(e% Sa'-$a* A')(" "a(e)e"(1,e"-.".e).ec V$##a((*e# De#a)* S-a#$' Pa-($c$* "3$##a((*e#,e"-.".e).ec RESUMEN: En la pr esente pr áctica se real iz ó la  programación, simulación y posteriormente se armó un circuito para el manejo del módulo GLCD y con base en los conoc imien tos adqui ridos previ ament e acerc a de P! e interrupciones, se pudo desarrollar un código que cumpla con los enunciados requeridos KEYS WORDS: PIC 1F4550 LCD I'-e((c$*'e" P8M. 1. OBJETIVOS 1.1 OBJETIVO GENERAL I9#e9e'-a( ' "$"-e9a e'e(a)*( )e "e!a#e" -$#$%a)a" e' e# ca9* )e #a E#e c-( '$ca ; 3$"a#$%a(#a" "*<(e 'a a'-a##a (=>$ca c*' #a >$ 'a#$)a) )e - $#$%a( #a 9a;*( ca'- $) a) )e (ec ("*" ; > 'c$*'e " )$ " *'$<#e" e' #a" #$<(e(a" )e# c*9$#a)*( C. 1.2 OBJETIVOS ESPECIFICOS I'3e"-$ a( #a >*( 9a )e *e(ac$ ' )e# LCD a(a (a>$ca( >'c$*'e". I)e' -$ >$ ca( #* " (e $ "-(*" 'ece "a($*" )e# 9$ c( *c*'-(*#a) *( PIC1 F 45 50 a(a )a(  ($*($)a ) )e acc$ ' a e3e'-*" e?-e('*" a# 9$c(*c*'-(*#a)*(. E"- )$a( #a c*'> $ (ac$ ' )e (e$ "-(*" )e# 9$c(* c*'-(*#a)*( PIC1 F4550 a(a *<-e' e( 'a "e!a# )e 3*#-a+e DC 3a($a'-e e' e# -$e9*. 2. MARCO TEÓRICO 2.1. PIC18F4! E# PIC1 F 45 50 e" ' * )e #* " 9a" * #a(e" 9$c(* c*'-( *#a)*( e" ca') * )e c*'ec-$3$)a) USB "e -(a- a -a9<$ ' ce'- a c*' 9e9*($a RAM EEPROM ; FLAS@ "e($*( a #a )e #*" PIC )e #a >a9$#$a 16F. I)ea# a(a ee!a" *-e'c$a" 'a'*3a-$* ; a#$cac$*'e" )e c*'ec-$3$)a) e <e'e>$c$a' )e #a )$"*'$<$#$)a) )e #*" -(e" e(- *" "e($a# e": FSU SB 12 M<$- /" IC ; SPI ha"-a 10 M<$-/". S (a' caac$)a) )e 9e9*($a RAM a(a a#9ace'a9$e'-* -e9*(a# ; " 9e9*($a FLAS@ )e (*(a9a 9e+*(a)a" hace' e "ea $)ea# a(a e# c*' -(* # $'- e( a)* ; a# $cac$*'e" )e 9*'$-*(e* e (e $e(e' c*'e?$ ' e($ ) $c a c*' ' *()e'a )* (  e("*'a# a -(a3" )e USB a(a #a ca(a / )e"ca(a )e )a-*" ; / * ac-a#$%ac$*'e" )e > $(9a(e. E'-(e "" ($'c$a#e" ca(ac-e("-$ca" e"-=': G P e( -* USB V2. 0 e e)e -( a< a+a( e' 9* )* #* "ee) 1.5 M</" ; F## "ee)12 M</". G RAM 1 H <;-e acce "$ <# e *( USB. G Re#* + e? -e (' *" ha"- a )e 4 M@%. G O"c$#a)*( $'-e('* )e 1 H@%J M@% c* '> $ (a<#e *( "*>-a(e . G P$'e " c*' "a #$ )a )e a# -a c*(($e'-e )e ha"-a 25 9A. G 4 TIMERS )e #*" c a#e": ha"-a 2 e)e' "e(  -$#$%a)*" c*9* 9*)#*" )e ca-(a/c*9a(ac$'/P8M. G Pe(-* USART c*' "**(-e a(a  c*9'$cac$*'e" MSSP SPI e IC. G @a"-a 1 c a' a#e" AD C )e 10 <$-". G Me9*($a FLAS@ c*' 100 000 c$c# *" )e #ec- (a" e"c($-(a -$c*". G Me9*($a EEPROM c*' 1000000 c$c#*" )e #ec- (a e"c($- (a -$c*" ; (e-e'c$' )e )a-*" )e ha"-a 40 a!*". G P( * (a9ac$ ' c*' c*)$ * ) e (*-ecc$ '. G P(* (a9ac$ ' ICSP 3$a )*" $'e ".  E# PIC 1F4550 *"ee 'a a($-ec-(a -$* @a()3a() ;a e )$"*'e )e )$>e(e'-e" <"e" a(a acce)e( a #a 9e9*($a )e (*(a9a * a #a 9e9*($a )e )a-*". E"-* '*" )a #a *c$ ' )e acce)e( a #a 9e9*($a )e )a-*" a(a e+ec-a( 'a $'"-(cc$ ' 9$e'-(a" "e #ee )e #a 9e9*($a )e (*(a9a #a "$$e'-e $'"-(cc$'. 1

Upload: juan-fer-cahuenas

Post on 06-Jul-2018

229 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Sistemas Microprocesados, GLCD

8/17/2019 Sistemas Microprocesados, GLCD

http://slidepdf.com/reader/full/sistemas-microprocesados-glcd 1/6

UNIVERSIDAD POLITÉCNICA SALESIANA LABORATORIO DE SISTEMAS MICROPROCESADOS II

Fecha: 27/04/2016

INFORME PRÁCTICA Nº2MANEJO DEL MÓDULO GLCD

Cahe!a" Ma#$%a &a' Fe('a')* +cahe'a",e"-.".e).ec

Pa(e)e" R*)(e% Sa'-$a* A')(""a(e)e"(1,e"-.".e).ec

V$##a((*e# De#a)* S-a#$' Pa-($c$*"3$##a((*e#,e"-.".e).ec

RESUMEN: En la presente práctica se realizó la

 programación, simulación y posteriormente se armó un

circuito para el manejo del módulo GLCD y con base en

los conocimientos adquiridos previamente acerca de

P! e interrupciones, se pudo desarrollar un código

que cumpla con los enunciados requeridos

KEYS WORDS: PIC 1F4550 LCD I'-e((c$*'e"P8M.

1. OBJETIVOS

1.1 OBJETIVO GENERAL

• I9#e9e'-a( ' "$"-e9a e'e(a)*( )e "e!a#e"

-$#$%a)a" e' e# ca9* )e #a E#ec-('$ca ;3$"a#$%a(#a" "*<(e 'a a'-a##a (=>$ca c*' #a>$'a#$)a) )e -$#$%a( #a 9a;*( ca'-$)a) )e

(ec("*" ; >'c$*'e" )$"*'$<#e" e' #a"#$<(e(a" )e# c*9$#a)*( C.

1.2 OBJETIVOS ESPECIFICOS

• I'3e"-$a( #a >*(9a )e *e(ac$' )e# LCDa(a (a>$ca( >'c$*'e".

• I)e'-$>$ca( #*" (e$"-(*" 'ece"a($*" )e#9$c(*c*'-(*#a)*( PIC1F4550 a(a )a( ($*($)a) )e acc$' a e3e'-*" e?-e('*" a#9$c(*c*'-(*#a)*(.

• E"-)$a( #a c*'>$(ac$' )e (e$"-(*" )e#

9$c(*c*'-(*#a)*( PIC1F4550 a(a *<-e'e( 'a "e!a# )e 3*#-a+e DC 3a($a'-e e' e# -$e9*.

2. MARCO TEÓRICO

2.1. PIC18F4!

E# PIC1F4550 e" '* )e #*" 9a" *#a(e"9$c(*c*'-(*#a)*(e" ca')* )e c*'ec-$3$)a) USB "e-(a-a -a9<$' ce'-a c*' 9e9*($a RAM EEPROM ;

FLAS@ "e($*( a #a )e #*" PIC )e #a >a9$#$a 16F. I)ea#a(a ee!a" *-e'c$a" 'a'*3a-$* ; a#$cac$*'e" )ec*'ec-$3$)a) e <e'e>$c$a' )e #a )$"*'$<$#$)a) )e #*"-(e" e(-*" "e($a#e": FSUSB 12 M<$-/" IC ; SPIha"-a 10 M<$-/". S (a' caac$)a) )e 9e9*($a RAMa(a a#9ace'a9$e'-* -e9*(a# ; " 9e9*($a FLAS@)e (*(a9a 9e+*(a)a" hace' e "ea $)ea# a(a e#

c*'-(*# $'-e(a)* ; a#$cac$*'e" )e 9*'$-*(e* e(e$e(e' c*'e?$' e($)$ca c*' ' *()e'a)*( e("*'a# a -(a3" )e USB a(a #a ca(a / )e"ca(a )e)a-*" ; / * ac-a#$%ac$*'e" )e > $(9a(e.

E'-(e "" ($'c$a#e" ca(ac-e("-$ca" e"-=':

G Pe(-* USB V2.0 e e)e -(a<a+a( e' 9*)*#* "ee) 1.5 M</" ; F## "ee)12 M</".

G RAM 1H<;-e acce"$<#e *( USB.G Re#*+ e?-e('*" ha"-a )e 4 M@%.G O"c$#a)*( $'-e('* )e 1 H@%J M@% c*'>$(a<#e

*( "*>-a(e .G P$'e" c*' "a#$)a )e a#-a c*(($e'-e )e ha"-a 25

9A.G 4 TIMERS )e #*" ca#e": ha"-a 2 e)e' "e( 

-$#$%a)*" c*9* 9*)#*" )eca-(a/c*9a(ac$'/P8M.

G Pe(-* USART c*' "**(-e a(a c*9'$cac$*'e" MSSP SPI e IC.

G @a"-a 1 ca'a#e" ADC )e 10 <$-".G Me9*($a FLAS@ c*' 100000 c$c#*" )e #ec-(a"

e"c($-(a -$c*".G Me9*($a EEPROM c*' 1000000 c$c#*" )e

#ec-(a e"c($-(a -$c*" ; (e-e'c$' )e )a-*" )e

ha"-a 40 a!*".G P(*(a9ac$' c*' c*)$* )e (*-ecc$'.G P(*(a9ac$' ICSP 3$a )*" $'e".

 E# PIC 1F4550 *"ee 'a a($-ec-(a -$* @a()3a();a e )$"*'e )e )$>e(e'-e" <"e" a(a acce)e( a #a9e9*($a )e (*(a9a * a #a 9e9*($a )e )a-*". E"-*'*" )a #a *c$' )e acce)e( a #a 9e9*($a )e )a-*"a(a e+ec-a( 'a $'"-(cc$' 9$e'-(a" "e #ee )e #a9e9*($a )e (*(a9a #a "$$e'-e $'"-(cc$'.

1

Page 2: Sistemas Microprocesados, GLCD

8/17/2019 Sistemas Microprocesados, GLCD

http://slidepdf.com/reader/full/sistemas-microprocesados-glcd 2/6

UNIVERSIDAD POLITÉCNICA SALESIANA LABORATORIO DE SISTEMAS MICROPROCESADOS II

Fecha: 27/04/2016

E" )ec$( *)e9*" acce)e( )e >*(9a "$9#-='ea aa9<a" 9e9*($a".

E# B" )e 9e9*($a )e (*(a9a: E"-= >*(9a)* *( 21#'ea" )e )$(ecc$' 16 #$'ea" a(a $'"-(cc$*'e" ; #$'ea" a(a )a-*".

E# B" )e 9e9*($a )e )a-*": C*9e"-* *( 12 #'ea")e )$(ecc$' ; #'ea" )e )a-*" K1.

F"#$%& 1. E'(&)*$+&,- ,+ PIC 18F4!

2.2. GLCD U' LCD (=>$c* LCD e" 'a a'-a##a )e c($"-a##$)* c;a (e"*#c$' e" 9e)$)a e' $?e#e" ; #a ca#(**(c$*'a ' 9-*)* a3a'%a)* a(a 3$"a#$%a( 9e'"a+e". M$e'-(a" e ' LCD )e ca(ac-e(e" e)e3$"a#$%a( "#* ca(ac-e(e" a#>a'9($c*" e# LCD (=>$c*

e)e 3$"a#$%a( #*" 9e'"a+e" e' >*(9a )e )$<+*" ;9aa" )e <$-". L*" LCD (=>$c*" e(9$-e' $9($9$( >(a9e'-*" )e #'ea" h*($%*'-a#e" * 3e(-$ca#e"(ec-='#*" <a((a" c(c#*" ; -e?-*" #*" ca#e" a(9a'e' c*'+'-* 'a $9ae' -*-a#.

L*" LCD" "*' c*9'9e'-e -$#$%a)*" e' 9cha"a#$cac$*'e" c$e'->$ca" e' #a" e "e )e"ea 3$"a#$%a( )a-*" (=>$c*" c*9* ' (=>$c* )e <a((a" * ' (=>$c*)e #'ea" ?; *( e+e9#* ' (=>$c* e 9e"-(e e#ca9<$* )e -e9e(a-(a c*' e# -$e9* ; a""ce"$3a9e'-e. L*" LCD" -a9<$' "e -$#$%a' e'9cha" a#$cac$*'e" )e c*'"9* -a#e" c*9* -e#>*'*"

93$#e" (e(*)c-*(e" MP "$"-e9a" PS +e*" +e-e" e)ca-$3*" e'-(e *-(a". O-(a =(ea )ea#$cac$' $9*(-a'-e )e #*" LCD" e"-= e' #aa-*9a-$%ac$' ; c*'-(*# $')"-($a# )*')e 3a($a"ca(ac-e("-$ca" )e #a #a'-a e)e' "e( >=c$#9e'-e9*'$-*($%a)a" * ca9<$a)a".

  Ac-a#9e'-e e' e# 9e(ca)* e?$"-e' 3a($a" a'-a##a"LCD a" c*9* #*" c*'-(*#a)*(e" e )e-e(9$'a' ">'c$*'a9$e'-*. Pa(a a#$cac$*'e" ee!a" '* )e#*" 9=" c*9'9e'-e -$#$%a)*" e" e# LCD

9*'*c(*9=-$c* <#a'c* ; 'e(* c*' ' -a9a!* )e1264 $?e#e" ; c*' ' c*'-(*#a)*( HS010.

E# *($e' )e #a a'-a##a )e# LCD e" #a e"$'a "e($*( $%$e()a "e' "e 9e"-(a e' #a "$$e'-e >$(a. La)$(ecc$' "e e?-$e')e hac$a #a )e(echa ; #a )$(ecc$' "e e?-$e')e hac$a #a a(-e $'>e($*( )e #a a'-a##a. E' #a)$(ecc$' #*" ?e#e" 3a' )e 0 a 127 9$e'-(a" e e'#a )$(ecc$' #*" ?e#e" 3a' )e 0 a 6. La c**()e'a)a127 6 (e(e"e'-a #a e"$'a $'>e($*( )e(echa )e #aa'-a##a.

F"#$%& 2. R)%*'/&("0' ,+ GLCD

E' #a "$$e'-e -a<#a "e 9e"-(a' #a" )e"c($c$*'e" )e#*" 20 $'e" )$"*'$<#e" e' e# 9)#* LCD A1264C e "e -*9a(= c*9* (e>e(e'c$a e' e"-a(=c-$ca. L*" )*" ($9e(*" $'e" 1 ; 2 "*' #*" $'e")e "e#ecc$' )e ch$ a(a e# #a)* $%$e()* ; )e(ech*

)e# c*'-(*#a)*( )e #a a'-a##a. E"-*" $'e" "*'ac-$3a)*" e' <a+* e' e# 9)#* A1264C e(**)(a' "e( ac-$3a)*" e' a#-* e' a#'*" *-(*" 9*)e#*"*( e"* e" $9*(-a'-e (e3$"a( #a h*+a )e )a-*" )e#>a<($ca'-e.

T&+& 1. D*(%")("0' , +-* )"'* ,+ GLCD

E# 9)#* A1264C >'c$*'a c*' 'a >e'-e )ea#$9e'-ac$' )e 50 V. E# $' '9e(* 6 D/I e" )e

2

Page 3: Sistemas Microprocesados, GLCD

8/17/2019 Sistemas Microprocesados, GLCD

http://slidepdf.com/reader/full/sistemas-microprocesados-glcd 3/6

UNIVERSIDAD POLITÉCNICA SALESIANA LABORATORIO DE SISTEMAS MICROPROCESADOS II

Fecha: 27/04/2016

Da-a/I'"-(c-$*' -a9<$' ##a9a)* Re$"-e( Se#ec- RS.L*" )a-*" )e <$-" a#$9e'-a)*" a #*" $'e" D0D7 )e#LCD "*' (ec$<$)*" *( e# ch$ c*'-(*#a)*( )e# LCDc*9* ' c*9a')* "$ D/I 0 ; c*9* )a-*" "$ D/I e" 1.L*" $'e" R/8 ; E -$e'e' "$9$#a(e" >'c$*'e" c*9* e'' 9)#* LCD <a"a)* e' ' c*'-(*#a)*( @D4470.U'a (e"$"-e'c$a >$+a )e<e "e( c*'ec-a)a e' "e($e c*' e#LED )e #% )e >*')* $' 1Q a(a #$9$-a( #a c*(($e'-e.U' *-e'c$9e-(* e?-e('* '*(9a#9e'-e 10 H e"-=c*'ec-a)* e'-(e #*" $'e" VDD ; VEE a(a a+"-a( e#3*#-a+e )e >'c$*'a9$e'-* )e# LCD c*'-(a"-e e' e# $'V* K1

2.. INTERRUPCIONES

I'-e((9e' #a e+ecc$' )e ' (*(a9a ; a"a' a #a(-$'a )e "e(3$c$* )e# e3e'-* e (*3*ca #a$'-e((c$'.

E# 3ec-*( )e $'-e((c$' "e e'ce'-(a e' #a )$(ecc$'04@ )e #a 9e9*($a )e (*(a9a.

 A# -e(9$'a( #a (-$'a )e "e(3$c$* RETFIE "e (e-*9a e#(*(a9a e' e# '-* )e (-(a.

Fe'-e" )e $'-e((c$':

• P$' INT ca9<$* e' #*" -e(9$'a#e" )e# e(-* B

RB7:RB4.

• O3e(>#* e' #*" -e9*($%a)*(e".

• Ca9<$* e' e# c*9a(a)*(.

• F$' )e e"c($-(a e' #a 9e9*($a EEPROM )e

)a-*".• Re#ac$*'a)a" c*' e# Pe(-* Pa(a#e#* E"c#a3*

c*' #a USART c*' e# >$' )e c*'3e("$' A/D ;e' e'e(a# c*' #*" 9)#*" e($>($c*" c*'e e)e c*'-a( 'a MCU.

• e"-$' )e $'-e((c$*'e" 9e)$a'-e (e$"-(*":

• INTCON: (e$"-(* e'e(a# )e c*'-(*# ; e"-a)*.

• E' >'c$' )e #*" e($>($c*" )$"*'$<#e" *( 

'a MCU:

• PIE1 PIE2 Pe($hea# I'-e((- E'a<#e

ha<$#$-a' #a" $'-e((c$*'e" )e ca)a e($>($c*.

• PIR1 ; PIR2 Pe($hea# I'-e((- F#a

Re$"-e(" $)e'-$>$ca' e# e($>($c* e$'-e((9e.

REGISTRO INTCON

F"#$%& . REGISTRO INTCON

B$- 7 IE: #*<a# I'-e((- E'a<#e <$- )e ha<$#$-ac$'#*<a# )e $'-e((c$*'e" 1 @a<$#$-a #a" $'-e((c$*'e".

0 I'h$<e -*)a" #a" $'-e((c$*'e". Se *'e a ce(*ca')* "e (ec*'*ce 'a $'-e((c$' a(a e3$-a( $'-e((c$*'e" a'$)a)a" ; a 1 ca')* "e 3e#3e )e "(-$'a )e "e(3$c$*. B$- 6 PEIE: Pe($he(a# I'-e((-E'a<#e <$- )e ha<$#$-ac$' )e $'-e((c$*'e" )ee($>($c*". 1 @a<$#$-a #a" $'-e((c$*'e" )e")e #*"e($>($c*". 0 I'h$<e #a" $'-e((c$*'e" )e")e #*"e($>($c*". E' MCU c*' ' "*#* e($>($c* e)e"e( EEIE * ADIE B$- 5 T0IE: T$9e( 0 O3e(>#* I'-e((-E'a<#e <$- )e ha<$#$-ac$' )e# T$9e( 0. 1 @a<$#$-a #a$'-e((c$' c*' e# )e"<*()a9$e'-* )e# T$9e( 0. 0 I'h$<e #a $'-e((c$' c*' e# )e"<*()a9$e'-* )e# T$9e( 0. B$- 4 INTE: INT E?-e('a# I'-e((- E'a<#e <$- )eha<$#$-ac$' )e $'-e((c$' )e")e e# $' )e e'-(a)a INT.1 @a<$#$-a #a $'-e((c$'. 0 I'h$<e #a $'-e((c$'. 2

 A#'a" MCU '* )$"*'e' )e e"-a ca(ac-e("-$ca

B$- RBIE: RB P*(- Cha'e I'-e((- E'a<#e <$- )eha<$#$-ac$' )e $'-e((c$' c*' e# ca9<$* )e RB7:RB4

1 @a<$#$-a #a $'-e((c$'. 0 I'h$<e #a" $'-e((c$'.2 A#'a" MCU '* )$"*'e' )e e"-a ca(ac-e("-$ca 1Ta9<$' "e e)e e'c*'-(a( c*' e# '*9<(e PIE. B$- 2T0IF: T$9e( 0 O3e(>#* I'-e((- F#a. 1 I'-e((c$'(*)c$)a *( e# )e"<*()a9$e'-* )e# T$9e( 0 )e<e "e( e"-* a ce(* *( S8. 0 E# T$9e( 0 '* "e ha)e"<*()a)*. B$- 1 INTF: INT E?-e('a# I'-e((- F#a. 1 I'-e((c$' (*)c$)a *( INT )e<e "e( e"-* ace(* *( S8. 0 INT '* ha $'-e((9$)* #a MCU. 2

 A#'a" MCU '* )$"*'e' )e e"-a ca(ac-e("-$ca B$- 0RBIF: RB P*(- Cha'e I'-e((- F#a. 1 I'-e((c$'(*)c$)a *( e# ca9<$* e' a#'* )e #*" <$-" RB7:RB4)e<e "e( e"-* a ce(* *( S8. 0 RB7:RB4 '* ha'

$'-e((9$)* #a MCU. 2 A#'a" MCU '* )$"*'e' )ee"-a ca(ac-e("-$ca 1 Ta9<$' "e e)e e'c*'-(a( c*'e# '*9<(e PIF.

2.4. MÓDULO PWM

E# M*)#* P8M )e# PIC 16F77 -$e'e 'a (e"*#c$'9=?$9a )e 10 <$- ; " "a#$)a "e -*9a )e# $' RC2 *( #* ca# )e<e e"-a( c*'>$(a)* c*9* "a#$)a e' e#(e$"-(* T($" C.

F"#$%& 4. P"'* , (-'3"0' )&%& + PWM ,+"(%-(-'/%-+&,-%

2.4.1. P%"-,- PWM

3

Page 4: Sistemas Microprocesados, GLCD

8/17/2019 Sistemas Microprocesados, GLCD

http://slidepdf.com/reader/full/sistemas-microprocesados-glcd 4/6

UNIVERSIDAD POLITÉCNICA SALESIANA LABORATORIO DE SISTEMAS MICROPROCESADOS II

Fecha: 27/04/2016

E# Pe($*)* )e# M*)#* P8M e" c*'>$(a)* )a')* '3a#*( a# (e$"-(* PR2. E"-e e($*)* e)e "e( ca#c#a)*"a')* #a "$$e-e >*(9#a:

P8M Pe($*) KPR2 1 G 4 G TOSC G P(e"ca#e )e#T$9e( 2

C*9* ;a e" "a<$)* #a >(ece'c$a e"-a )e-e(9$'a)a *( 1/Pe($*)* P8M ca')* e# 3a#*( )e# T$9e( 2 a#ca'%a e#3a#*( )e PR2 #*" "$$e'-e" e3e'-*" *c((e' e' e#"$$e'-e c$c#* )e -$e9*:

TMR2 e" #$9$a)*.

E# $' CCP1 e" e"-* a 1.

E# Pe($*)* )e -(a<a+* )e# P8M e" ca9<$a)*

)e CCPR1@ a CCPR1L K2.

2.4.2. CICLO DE TRABAJO DEL PWM

E# c$c#* )e -(a<a+* )e# P8M e" )e-e(9$'a)* e"c($<$e')*a# (e$"-(* CCPR1L ; CCP1CON5:4W 10 <$-" )e(e"*#c$'. E# c$c#* )e -(a<a+* "e *<-$e'e "a')* #a"$$e'-e >*(9#a:

T$e9* )e T(a<a+* P8M CCPR1L:CCP1CON5:4WGTOSC G TMR2 P(e"ca#e Va#e

Pa(a 9*)$>$ca( e# c$c#* )e -(a<a+* e' ca#$e( 9*9e'-* "e e)e e"c($<$( a CCPR1L ;CCP1CON5:4W e(* e"-*" 3a#*(e" '* "e(=' -*9a)*"e' c*'"$)e(ac$' ha"-a e e# T$9e( a#ca'ce a# PR2 ;(e$'$c$e " *e(ac$' -*9a')* e' ce'-a #*" 'e3*"

3a#*(e".

T$e9* )e -(a<a+* X C$c#* )e -(a<a+* / F9

. MATERIALES Y E5UIPO

• PIC 1F4550

• LCD

• Fe'-e )e 5VDC

• Ca<#e" )e c*'e?$'

• P(*-*<*a()

• Re"$"-e'c$a" )e 3a($*" 3a#*(e"

• Caac$-*(e" )e 22F• C($"-a# 4M@%

• P#"a)*(e"

• P'-a )e *"c$#*"c*$*

• Ta(+e-a (*(a9a)*(a a(a 9$c(*c*'-(*#a)*(e"

4. DESARROLLO Y PROCEDIMIENTO

C*9* ($9e( a"* "e (ea#$%a #a (*(a9ac$' )e ca)a'* )e #*" e''c$a)*" (*e"-*" e' e# "*>-a(eM$Y(*C #a (=c-$ca ce'-a c*' #$-e(a#e" 9=" e# #$-e(a#a)$c$*'a# (*e"-* *( e# a;)a'-e )e #a<*(a-*($* #*"

ca#e" (e<a' #*" c*'*c$9$e'-*" a)$($)*"(e3$a9e'-e.

Se "$9#a e# >'c$*'a9$e'-* e' e# "$9#a)*( P(*-e"a(9a')* e# c$(c$-* ; (a<a')* e# (*(a9a e' e# PIC1F4550 e e"-= e' e# "*>-a(e 9e'c$*'a)*. De"")e *<-e'e( e# >'c$*'a9$e'-* )e"ea)* "e (*ce)e a(a<a( e# (*(a9a e' e# 9$c(*c*'-(*#a)*( >"$c*9e)$a'-e ' (a<a)*( )e PIC" ; e# "*>-a(e PICY$- 2 ;c*#*ca(#* e' e# (*-*<*a() a(a *'e(#* e'>'c$*'a9$e'-*.

. ANÁLISIS DE RESULTADOS

.1. ENUNCIADO 1

Me)$a'-e e# "* )e ' #"a)*( c*'ec-a)* e' e#-e(9$'a# RB0 INT0 ha<$#$-e #a e'e(ac$' )e 'a "e!a#-$* "e'*$)a# )e a9#$-) 5V #a 9$"9a e "e3$"a#$%a(= e' e# LCD ; e' #a a'-a##a )e '*"c$#*"c*$*.

Se a'a#$% e' e"-e e''c$a)* #a $9*(-a'c$a )e #a-$#$%ac$' )e #*" #"a)*(e" ; #a c*((ec-a -$#$%ac$' )eVec-*(e" a(a #a (e"ec-$3a 3$"a#$%ac$' )e #a "e!a#

Se'*$)a# e' #a LCD -e'$e')* e' ce'-a e a(a e"e e+ec-e e# (*ce"* (e"ec-$3* "e " 'a >'c$' )eI'-e(( e' e"-e ca"* INTCON.

S$' *#3$)a("e e #a e'e(ac$' )e #a *')a "e'*$)a# e"c(ea)a 9e)$a'-e )*" 3ec-*(e" e (ea#$%a' <a(($)*c*'"-a'-e e' )*" )$9e'"$*'e" a(a e'e(a( 'a "e!a#9e"-(ea)a #a 9$"9a e a# "e( +'-a)a (a>$ca #a "e!a#"e'*$)a#.

F"#$%& . G'%&("0' , +& *6&+ *'-",&+

4

Page 5: Sistemas Microprocesados, GLCD

8/17/2019 Sistemas Microprocesados, GLCD

http://slidepdf.com/reader/full/sistemas-microprocesados-glcd 5/6

UNIVERSIDAD POLITÉCNICA SALESIANA LABORATORIO DE SISTEMAS MICROPROCESADOS II

Fecha: 27/04/2016

.2. ENUNCIADO 2

Me)$a'-e e# "* )e ' #"a)*( c*'ec-a)* e' e#-e(9$'a# RB1 INT1 ha<$#$-e #a e'e(ac$' )e 'a "e!a#-$* )$e'-e )e "$e((a )e a9#$-) 5V #a 9$"9a e "e3$"a#$%a(= e' e# LCD ; e' #a a'-a##a )e '*"c$#*"c*$*. 

 A# $a# e a(a #a e'e(ac$' )e #a *')a "e'*$)a# "e"a )*" 3ec-*(e" e hace' " (e"ec-$3* <a(($)*-e'$e')* 9; e' ce'-a e "e )e<e hace( "* )e#9 a(a " e'e(ac$' ; '* *#3$)a("e e "e(*(a9a 'a (ec-a ca)a 9e)$* c$c#* #a 9$"9a e)e<e $'c#$'a("e a 45Z a(a " c*((ec-a (a>$cac$'.

C*9* e' e# ca"* a'-e($*( a(a acce)e( a e"-ee''c$a)* "e c(ea (e"ec-$3a9e'-e 'a >'c$' )e$'-e((c$' $'-e(( #a 9$"9a e ac-$3a e"-e (*ce"* ;c$e((a e# a'-e($*(.

F"#$%& 7. G'%&("0' , +& *6&+ ,"'/ , *"%%&

. ENUNCIADO

Me)$a'-e e# "* )e ' #"a)*( c*'ec-a)* e' e#-e(9$'a# RB2 INT2 ha<$#$-e #a e'e(ac$' )e 'a "e!a#-$* ca)(a)a )e a9#$-) 5V #a 9$"9a e "e3$"a#$%a(= e' e# LCD ; e' #a a'-a##a )e '*"c$#*"c*$*.

E"-e ca"* e" e# 9$"9* e #*" )*" a'-e($*(e" "a')*'a $'-e((c$' a(a $'(e"a( a# (*<#e9a #a'-ea)*[a(a e"-e -$* )e *')a "$9#e9e'-e "e " e# P8Me'e(a)* c*' 'a a9#$-) )e 53 ; a(a " (e"ec-$3a(a>$ca e' #a LCD "e e"ca# #a (=>$ca c*9* e' -*)*"#*" a'-e($*(e" ca"*".

F"#$%&. G'%&("0' , +& *6&+ ($&,%&,&

.4 ENUNCIADO ADICIONAL

E' e"-a a(-e )e #a (=c-$ca "e #a'-e ' (*<#e9a e#ca# "e )e<a 9*"-(a( )*" )$>e(e'-e" $9=e'e" a#(e"$*'a( )$"-$'-*" #"a)*(e"[ e' e"-a a(-e "e e)e

a'a#$%a( e a(a #a e'e(ac$' )e 'a $9ae' ($9e(*ha; e c*'3e(-$(#a e' e# "*>-a(e )e Pa$'- #a $9ae')e"ea)a a 'a e"ca#a c*((ec-a #a 9$"9a e a#ca'%a(ae' 'e"-(a LCD e' 'e"-(* ca"* 12 ? 64 ?e#e"e' ' >*(9a-* <9 e' 9aa )e <$-" 9*'*c(*9=-$c*)e<$)* a e 'e"-(a LCD e" )e e"a" ca(ac-e("-$ca".

F$'a#9e'-e "e -(a'">*(9a e"-a $9ae' e' c)$* )e(*(a9ac$' a(a M$Y(*C #a 9$"9a e (e"#-a )e9cha -$#$)a) e"-a a#$cac$' e' e# 9*9e'-* )e "a( 'a a'-a##a a(a a'$9a( ' (*ce"*.

E' e'e(a#[ e' -*)*" #*" ca"*" "e )* a'a#$%a( e e"

'ece"a($* e# "* )e )*" a9#$>$ca)*(e" *e(ac$*'a#e"a(a #a (e"ec-$3a a#$cac$' )e #a" "e!a#e" e'e(a)a"*( e# 9$c(* ; (e"ec-$3a9e'-e 3$"a#$%a)a" e' e#*"c$#*"c*$*.

F"#$%& 8. I9#'* (&%#&,&* ' +& GLCD

7. CONCLUSIONES

• E' <a"e a #*" c*'*c$9$e'-*" ace(ca )e# "* )e

P8M ; #a -$#$%ac$' )e #a #$<(e(a e' e#"*>-a(e c*' e# 9$"9* '*9<(e[ "e $9#e9e'-' c)$* a(a e'e(a( >*(9a" )e *')a e' DC*(e )e e"-a 9a'e(a ; c*' e# "* )eha()a(e e?-e('* "e e)e *<-e'e( >*(9a" )e*')a e e)e' "e( a(ec$a)a" -a'-* e' e#LCD c*9* e' e# *"c$#*"c*$*.

• a e #a #$<(e(a LCD e' e# "*>-a(e M$Y(*C

P(* c*'-$e'e )$3e("a" >'c$*'e" e >ac$#$-a' e#"* )e #a a'-a##a (=>$ca "e $'3e"-$ c=#e""*' #a" >'c$*'e" <="$ca" )e #a 9$"9a *(e

5

Page 6: Sistemas Microprocesados, GLCD

8/17/2019 Sistemas Microprocesados, GLCD

http://slidepdf.com/reader/full/sistemas-microprocesados-glcd 6/6

UNIVERSIDAD POLITÉCNICA SALESIANA LABORATORIO DE SISTEMAS MICROPROCESADOS II

Fecha: 27/04/2016

a" "e >ac$#$-a e# "* )e# LCD ; "e *-$9$%a'#'ea" )e (*(a9ac$' e' e# 9$c(*c*'-(*#a)*(.

• De<$)* a e #a" c*'>$(ac$*'e" $'$c$a#e" e'

e# PIC 1F4550 "*' )$"-$'-a" a #a )e# PIC16F77A "e $'3e"-$ c=#e" "*' #a")$(ec-$3a" e haca' *"$<#e #a c*'>$(ac$'

)e# 9$"9* c*9* #a $'$c$a#$%ac$' )e #*"(e$"-(*" ADCON ; ADCON0 *(e a" "ee)e "a( e# e(-* B c*9* e'-(a)a" )$$-a#e"* a'a#$ca".

. RECOMENDACIONES

• @a; e ##e3a( #*" e#e9e'-*" 'ece"a($*" a##a<*(a-*($* a(a c9#$( c*' #*" e''c$a)*"e"-a<#ec$)*".

• E" $9*(-a'-e '* "*<(e"c($<$( )e c)$* #a

>'c$' I'-e((- a(a *-$9$%a( " e+ecc$'.

• Se )e<e' (e3$"a( #a" c*'e?$*'e" )e# LCD ;a

e "-a" "*' '9e(*"a" ; e)e ha<e( '

c*(-*c$(c$-* e a>ec-e a #a 9$"9a * a#9$c(*c*'-(*#a)*(.

8. BIBLIOGRAF:A

K1 h--://-*)*e#ec-(*)*.<#*"*-.c*9/201/02/$c1>4550.h-9#

K2 P(=c-$ca" )e La<*(a-*($* )e S$"-e9a"M$c(*(*ce"a)*" II.

6