recent advances in silicon-based passive and active ... · recent advances in silicon-based passive...

24
Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman, 1,* Xiaochuan Xu, 1,2 Amir Hosseini, 1,2 Xingyu Zhang, 2 Yang Zhang, 2 David Kwong, 2 and Ray T. Chen 2 1 Omega Optics, Inc, 8500 Shoal Creek Boulevard, Building 4, Suite 200, Austin, TX 78757, USA 2 Department of Electrical and Computer Engineering, The University of Texas at Austin, 10100 Burnet Road, PRC/MER 160, Austin, TX 78758, USA * [email protected] Abstract: Silicon photonics has experienced phenomenal transformations over the last decade. In this paper, we present some of the notable advances in silicon-based passive and active optical interconnect components, and highlight some of our key contributions. Light is also cast on few other parallel technologies that are working in tandem with silicon-based structures, and providing unique functions not achievable with any single system acting alone. With an increasing utilization of CMOS foundries for silicon photonics fabrication, a viable path for realizing extremely low-cost integrated optoelectronics has been paved. These advances are expected to benefit several application domains in the years to come, including communication networks, sensing, and nonlinear systems. ©2015 Optical Society of America OCIS codes: (200.4650) Optical interconnects; (130.0250) Optoelectronics; (250.5300) Photonic integrated circuits; (250.5403) Plasmonics; (250.4110) Modulators; (230.5160) Photodetectors; (130.4815) Optical switching devices; (130.5296) Photonic crystal waveguides; (130.7408) Wavelength filtering devices; (230.5750) Resonators. References and links 1. B. Jalali and S. Fathpour, “Silicon photonics,” J. Lightwave Technol. 24(12), 4600–4615 (2006). 2. M. Lipson, “Guiding, Modulating, and Emitting Light on Silicon–Challenges and Opportunities,” IEEE J. Lightwav. Technol. 23(12), 4222–4238 (2005). 3. R. A. Soref and J. P. Lorenzo, “Single-crystal silicon-A new material for 1.3 and 1.6 um integrated-optical components,” Electron. Lett. 21(21), 953–955 (1985). 4. R. A. Soref and B. R. Bennett, “Electrooptical Effects in Silicon,” IEEE J. Quantum Electron. 23(1), 123–129 (1987). 5. R. A. Soref and B. R. Bennett, “Kramers–Kronig analysis of electro-optical switching in silicon,” Proc. SPIE Integrated Optical Circuit Engineering IV704, 32–37 (1987). 6. M. Hochberg and T. Baehr-Jones, “Towards fabless silicon photonics,” Nat. Photonics 4(8), 492–494 (2010). 7. K. Pei Yap, A. Delge, J. Lapointe, B. Lamontagne, J. H. Schmid, P. Waldron, B. A. Syrett, and S. Janz, “Correlation of Scattering Loss, Sidewall Roughness and Waveguide Width in Silicon-on-Insulator (SOI) Ridge Waveguides,” IEEE J. Lightwav. Technol. 27(18), 3999–4008 (2009). 8. A. Samarelli, M. Gnan, R. M. De la Rue, and M. Sorel, “Low propagation loss photonic wire and ring resonator devices in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist,” Proc. of 14th European Conference on Integrated Optics (ECIO), ThD1, 309–312 (2008). 9. T. Shoji, T. Tsuchizawa, T. Wanatabe, K. Tamada, and H. Morita, “Low loss mode size converter from 0.3 μm square Si wire waveguides to singlemode fibers,” Electron. Lett. 38(25), 1669–1670 (2002). 10. V. R. Almeida, R. R. Panepucci, and M. Lipson, “Nanotaper for compact mode conversion,” Opt. Lett. 28(15), 1302–1304 (2003). 11. T. Tsuchizawa, K. Yamada, H. Fukuda, T. Watanabe, J.-i. Takahashi, T. Shoji, E. Tamechika, S. Itabashi, and H. Morita, “Microphotonics devices based on silicon microfabrication technology,” IEEE J. Sel. Top. Quantum Electron 11(1), 232–240 (2005). 12. H. Park, S. Kim, J. Park, J. Joo, and G. Kim, “A fiber-to-chip coupler based on Si/SiON cascaded tapers for Si photonic chips,” Opt. Express 21(24), 29313–29319 (2013). 13. K.-N. Ku and M.-C. M. Lee, “Wide-Band Optical Mode Converters for Coupling Between Fibers and Silicon Photonic Wires With Large Misalignment Tolerance,” J. Lightwave Technol. 31(10), 1616–1620 (2013). 14. L. Chen, C. R. Doerr, Y. K. Chen, and T. Y. Liow, “Low-loss and broadband cantilever couplers between standard cleaved fibers and high index-contrast Si3N4 or Si waveguides,” IEEE Photon. Technol. Lett. 22(23), 1744–1746 (2010). #226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2487

Upload: others

Post on 23-Jun-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

Recent advances in silicon-based passive and active optical interconnects

Harish Subbaraman,1,* Xiaochuan Xu,1,2 Amir Hosseini,1,2 Xingyu Zhang,2 Yang Zhang,2 David Kwong,2 and Ray T. Chen2

1Omega Optics, Inc, 8500 Shoal Creek Boulevard, Building 4, Suite 200, Austin, TX 78757, USA 2 Department of Electrical and Computer Engineering, The University of Texas at Austin, 10100 Burnet Road,

PRC/MER 160, Austin, TX 78758, USA *[email protected]

Abstract: Silicon photonics has experienced phenomenal transformations over the last decade. In this paper, we present some of the notable advances in silicon-based passive and active optical interconnect components, and highlight some of our key contributions. Light is also cast on few other parallel technologies that are working in tandem with silicon-based structures, and providing unique functions not achievable with any single system acting alone. With an increasing utilization of CMOS foundries for silicon photonics fabrication, a viable path for realizing extremely low-cost integrated optoelectronics has been paved. These advances are expected to benefit several application domains in the years to come, including communication networks, sensing, and nonlinear systems.

©2015 Optical Society of America

OCIS codes: (200.4650) Optical interconnects; (130.0250) Optoelectronics; (250.5300) Photonic integrated circuits; (250.5403) Plasmonics; (250.4110) Modulators; (230.5160) Photodetectors; (130.4815) Optical switching devices; (130.5296) Photonic crystal waveguides; (130.7408) Wavelength filtering devices; (230.5750) Resonators.

References and links

1. B. Jalali and S. Fathpour, “Silicon photonics,” J. Lightwave Technol. 24(12), 4600–4615 (2006). 2. M. Lipson, “Guiding, Modulating, and Emitting Light on Silicon–Challenges and Opportunities,” IEEE J.

Lightwav. Technol. 23(12), 4222–4238 (2005). 3. R. A. Soref and J. P. Lorenzo, “Single-crystal silicon-A new material for 1.3 and 1.6 um integrated-optical

components,” Electron. Lett. 21(21), 953–955 (1985). 4. R. A. Soref and B. R. Bennett, “Electrooptical Effects in Silicon,” IEEE J. Quantum Electron. 23(1), 123–129

(1987). 5. R. A. Soref and B. R. Bennett, “Kramers–Kronig analysis of electro-optical switching in silicon,” Proc. SPIE

Integrated Optical Circuit Engineering IV704, 32–37 (1987). 6. M. Hochberg and T. Baehr-Jones, “Towards fabless silicon photonics,” Nat. Photonics 4(8), 492–494 (2010). 7. K. Pei Yap, A. Delge, J. Lapointe, B. Lamontagne, J. H. Schmid, P. Waldron, B. A. Syrett, and S. Janz,

“Correlation of Scattering Loss, Sidewall Roughness and Waveguide Width in Silicon-on-Insulator (SOI) Ridge Waveguides,” IEEE J. Lightwav. Technol. 27(18), 3999–4008 (2009).

8. A. Samarelli, M. Gnan, R. M. De la Rue, and M. Sorel, “Low propagation loss photonic wire and ring resonator devices in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist,” Proc. of 14th European Conference on Integrated Optics (ECIO), ThD1, 309–312 (2008).

9. T. Shoji, T. Tsuchizawa, T. Wanatabe, K. Tamada, and H. Morita, “Low loss mode size converter from 0.3 μm square Si wire waveguides to singlemode fibers,” Electron. Lett. 38(25), 1669–1670 (2002).

10. V. R. Almeida, R. R. Panepucci, and M. Lipson, “Nanotaper for compact mode conversion,” Opt. Lett. 28(15), 1302–1304 (2003).

11. T. Tsuchizawa, K. Yamada, H. Fukuda, T. Watanabe, J.-i. Takahashi, T. Shoji, E. Tamechika, S. Itabashi, and H. Morita, “Microphotonics devices based on silicon microfabrication technology,” IEEE J. Sel. Top. Quantum Electron 11(1), 232–240 (2005).

12. H. Park, S. Kim, J. Park, J. Joo, and G. Kim, “A fiber-to-chip coupler based on Si/SiON cascaded tapers for Si photonic chips,” Opt. Express 21(24), 29313–29319 (2013).

13. K.-N. Ku and M.-C. M. Lee, “Wide-Band Optical Mode Converters for Coupling Between Fibers and Silicon Photonic Wires With Large Misalignment Tolerance,” J. Lightwave Technol. 31(10), 1616–1620 (2013).

14. L. Chen, C. R. Doerr, Y. K. Chen, and T. Y. Liow, “Low-loss and broadband cantilever couplers between standard cleaved fibers and high index-contrast Si3N4 or Si waveguides,” IEEE Photon. Technol. Lett. 22(23), 1744–1746 (2010).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2487

Page 2: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

15. M. Wood, P. Sun, and R. M. Reano, “Compact cantilever couplers for low-loss fiber coupling to silicon photonic integrated circuits,” Opt. Express 20(1), 164–172 (2012).

16. A. Yariv and M. Nakamura, “Periodic structures for integrated optics,” IEEE J. Quantum Electron. 13(4), 233–253 (1977).

17. F. Van Laere, G. Roelkens, J. Schrauwen, D. Taillaert, P. Dumon, W. Bogaerts, D. Van Thourhout, and R. Baets, “Compact Grating Couplers Between Optical Fibers and Silicon-on-Insulator Photonic Wire Waveguides with 69% Coupling Efficiency,” Proc. of Optical Fiber Communication Conference and Exposition and The National Fiber Optic Engineers Conference, Technical Digest, p. PDP15, 2006.

18. B. Schmid, A. Petrov, and M. Eich, “Optimized grating coupler with fully etched slots,” Opt. Express 17(13), 11066–11076 (2009).

19. D. Taillaert, P. Bienstman, and R. Baets, “Compact efficient broadband grating coupler for silicon-on-insulator waveguides,” Opt. Lett. 29(23), 2749–2751 (2004).

20. G. Roelkens, D. Van Thourhout, and R. Baets, “High efficiency Silicon-on-Insulator grating coupler based on a poly-Silicon overlay,” Opt. Express 14(24), 11622–11630 (2006).

21. W. S. Zaoui, A. Kunze, W. Vogel, M. Berroth, J. Butschke, F. Letzkus, and J. Burghartz, “Bridging the gap between optical fibers and silicon photonic integrated circuits,” Opt. Express 22(2), 1277–1286 (2014).

22. P. Cheben, D.-X. Xu, S. Janz, and A. Densmore, “Subwavelength waveguide grating for mode conversion and light coupling in integrated optics,” Opt. Express 14(11), 4695–4702 (2006).

23. R. Halir, P. Cheben, S. Janz, D. X. Xu, I. Molina-Fernández, and J. G. Wangüemert-Pérez, “Waveguide grating coupler with subwavelength microstructures,” Opt. Lett. 34(9), 1408–1410 (2009).

24. X. Chen and H. K. Tsang, “Nanoholes Grating Couplers for Coupling Between Silicon-on-Insulator Waveguides and Optical Fibers,” IEEE. Photonics Journal. 1(3), 184–190 (2009).

25. X. Xu, H. Subbaraman, J. Covey, D. Kwong, A. Hosseini, and R. T. Chen, “Complementary metal–oxide–semiconductor compatible high efficiency subwavelength grating couplers for silicon integrated photonics,” Appl. Phys. Lett. 101(3), 031109 (2012).

26. W. S. Zaoui, M. F. Rosa, W. Vogel, M. Berroth, J. Butschke, and F. Letzkus, “Cost-effective CMOS-compatible grating couplers with backside metal mirror and 69% coupling efficiency,” Opt. Express 20(26), B238–B243 (2012).

27. Y. Ding, H. Ou, and C. Peucheret, “Ultrahigh-efficiency apodized grating coupler using fully etched photonic crystals,” Opt. Lett. 38(15), 2732–2734 (2013).

28. S. M. Rytov, “Electromagnetic properties of finely stratified medium,” Sov. Phys. JETP 2, 10 (1956). 29. http://camfr.sourceforge.net/ 30. D. Taillaert, H. Chong, P. Borel, L. Frandsen, R. De La Rue, and R. Baets, “A compact two-dimensional grating

coupler used as a polarization splitter,” IEEE Photon. Technol. Lett. 15(9), 1249–1251 (2003). 31. M. Streshinsky, R. Shi, A. Novack, R. T. Cher, A. E. Lim, P. G. Lo, T. Baehr-Jones, and M. Hochberg, “A

compact bi-wavelength polarization splitting grating coupler fabricated in a 220 nm SOI platform,” Opt. Express 21(25), 31019–31028 (2013).

32. F. Zhou, J. Dai, M. Zhang, and D. Liu, “High-performance and compact polarization-independent grating coupler,” in International Photonics and OptoElectronics Meetings, OSA Technical Digest (online) (Optical Society of America, 2014), paper OF4A.4.

33. Z. Cheng and H. K. Tsang, “Experimental demonstration of polarization-insensitive air-cladding grating couplers for silicon-on-insulator waveguides,” Opt. Lett. 39(7), 2206–2209 (2014).

34. X. Chen, K. Xu, Z. Cheng, C. K. Y. Fung, and H. K. Tsang, “Wideband subwavelength gratings for coupling between silicon-on-insulator waveguides and optical fibers,” Opt. Lett. 37(17), 3483–3485 (2012).

35. X. Xu, H. Subbaraman, J. Covey, D. Kwong, A. Hosseini, and R. T. Chen, “Colorless grating couplers realized by interleaving dispersion engineered subwavelength structures,” Opt. Lett. 38(18), 3588–3591 (2013).

36. X. Chen, K. Xu, Z. Cheng, C. K. Fung, and H. K. Tsang, “Wideband subwavelength gratings for coupling between silicon-on-insulator waveguides and optical fibers,” Opt. Lett. 37(17), 3483–3485 (2012).

37. Q. Zhong, W. Shi, Y. Wang, L. Chrostowski, and D. V. Plant, “An ultra-broadband fiber grating coupler with focusing curved subwavelength structures,” Optical Fiber Communications Conference and Exhibition 1, 9–13 (2014) (OFC).

38. J. Gamet and G. Pandraud, “Ultralow-loss 1 x 8 splitter based on field matching Y junction,” IEEE Photon. Technol. Lett. 16, 2060–2062 (2004).

39. K. K. Chung, H. P. Chan, and P. L. Chu, “A 1 × 4 polarization and wavelength independent optical power splitter based on a novel wide-angle low-loss Y-junction,” Opt. Commun. 267(2), 367–372 (2006).

40. S. H. Tao, Q. Fang, J. F. Song, M. B. Yu, G. Q. Lo, and D. L. Kwong, “Cascade wide-angle Y-junction 1 x 16 optical power splitter based on silicon wire waveguides on silicon-on-insulator,” Opt. Express 16(26), 21456–21461 (2008).

41. P. D. Trinh, S. Yegnanarayanan, and B. Jalali, “Integrated optical directional couplers on silicon on insulator,” Electron. Lett. 31(24), 2097–2098 (1995).

42. L. Cao, A. Elshaari, A. Aboketaf, and S. Preble, “Adiabatic couplers in SOI waveguides,” Conference on Lasers and Electro-Optics CThAA (2010).

43. S. J. Spector, M. W. Geis, G.-R. Zhou, M. E. Grein, F. Gan, M. A. Popovic, J. U. Yoon, D. M. Lennon, E. P. Ippen, F. Z. Kärtner, and T. M. Lyszczarz, “CMOS-compatible dual-output silicon modulator for analog signal processing,” Opt. Express 16(15), 11027–11031 (2008).

44. M. R. Watts, J. Sun, C. DeRose, D. C. Trotter, R. W. Young, and G. N. Nielson, “Adiabatic thermo-optic Mach-Zehnder switch,” Opt. Lett. 38(5), 733–735 (2013).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2488

Page 3: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

45. J. Z. Huang, R. Scarmozzino, and R. M. Osgood, Jr., “A new design approach to large input/output number multimode interference couplers and its application to low-crosstalk WDM routers,” IEEE Photon. Technol. Lett. 10(9), 1292–1294 (1998).

46. D. T. Spencer, D. Dai, Y. Tang, M. J. R. Heck, and J. E. Bowers, “Realization of a Novel 1×N Power Splitter With Uniformly Excited Ports,” IEEE Photon. Technol. Lett. 25(1), 36–39 (2013).

47. X. Li, H. Xu, X. Xiao, Z. Li, J. Yu, and Y. Yu, “Compact and low-loss silicon power splitter based on inverse tapers,” Opt. Lett. 38(20), 4220–4223 (2013).

48. K. Solehmainen, M. Kapulainen, M. Harjanne, and T. Aalto, “Adiabatic and Multimode Interference Couplers on Silicon-on-Insulator,” IEEE Photon. Technol. Lett. 18(21), 2287–2289 (2006).

49. U. Karthik and B. K. Das, “Polarization-independent and dispersion-free integrated optical MZI in SOI substrate for DWDM applications,” Proc. SPIE 8629, Silicon Photonics VIII, 862910 (2013).

50. J. Xing, Z. Li, Y. Yu, and J. Yu, “Design of polarization-independent adiabatic splitters fabricated on silicon-on-insulator substrates,” Opt. Express 21(22), 26729–26734 (2013).

51. R. Ulrich and T. Kamiya, “Resolution of self-images in planar optical waveguides,” J. Opt. Soc. Am. 68(5), 583–592 (1978).

52. L. Soldano and E. Pennings, “Optical multi-mode interference devices based on self-imaging: principles and applications,” J. Lightwave Technol. 13(4), 615–627 (1995).

53. A. Hosseini, D. N. Kwong, Y. Zhang, H. Subbaraman, X. Xu, and R. T. Chen, “1× N Multimode Interference Beam Splitter Design Techniques for On-Chip Optical Interconnections,” IEEE J. Sel. Top. Quantum Electron. 17(3), 510–515 (2011).

54. Q. Lai, M. Bachmann, W. Hunziker, P. A. Besse, and H. Melchior, “Arbitrary ratio power splitters using angled silica on silicon multimode interference couplers,” Electron. Lett. 32(17), 1576–1577 (1996).

55. T. Saida, A. Himeno, M. Okuno, A. Sugita, and K. Okamoto, “Silica-based 2x2 multimode interference coupler with arbitrary power splitting ratio,” Electron. Lett. 35(23), 2031–2033 (1999).

56. D. J. Y. Feng, T. S. Lay, and T. Y. Chang, “Waveguide couplers with new power splitting ratios made possible by cascading of short multimode interference sections,” Opt. Express 15(4), 1588–1593 (2007).

57. T. T. Le and L. W. Cahill, “The design of multimode interference couplers with arbitrary power splitting ratios on an SOI platform,” Conference: IEEE Lasers and Electro-Optics Society, 2008. LEOS 2008. 21st Annual Meeting of the (2008).

58. K. Suzuki, G. Cong, K. Tanizawa, S. Kim, S. Namiki, and H. Kawashima, "50-dB Extinction-Ratio in 2X2 Silicon Optical Switch with Variable Splitter," in CLEO: 2014, OSA Technical Digest (online) (Optical Society of America, 2014), paper SM4G.2.

59. S. Corzine, P. Evans, M. Fisher, J. Gheorma, M. Kato, V. Dominic, P. Samra, A. Nilsson, J. Rahn, I. Lyubomirsky, A. Dentai, P. Studenkov, M. Missey, D. Lambert, A. Spannagel, R. Muthiah, R. Salvatore, S. Murthy, E. Strzelecka, J. Pleumeekers, A. Chen, R. Schneider, R. Nagarajan, M. Ziari, J. Stewart, C. Joyner, F. Kish, and D. Welch, “Large-scale InP transmitter PICs for PM-DQPSK fiber transmission systems,” IEEE Photon. Technol. Lett. 22(14), 1015–1017 (2010).

60. W. S. Zaoui, A. Kunze, W. Vogel, and M. Berroth, “CMOS-Compatible Polarization Splitting Grating Couplers With a Backside Metal Mirror,” IEEE Photon. Technol. Lett. 25(14), 1395–1397 (2013).

61. Y. Tang, D. Dai, and S. He, “Proposal for a Grating Waveguide Serving as Both a Polarization Splitter and an Efficient Coupler for Silicon-on-Insulator Nanophotonic Circuits,” IEEE Photon. Technol. Lett. 21(4), 242–244 (2009).

62. J. Yang, Z. Shao, K. Zhou, S. Xu, and J. Xu, “High performance and compact polarization beam splitter based on silicon-on-insulator subwavelength grating coupler,” Proc. SPIE 9047, 2013 International Conference on Optical Instruments and Technology: Micro/Nano Photonics and Fabrication, 90470D (2013).

63. L. M. Augustin, J. J. G. M. van der Tol, R. Hanfoug, W. J. M. de Laat, M. J. E. van de Moosdijk, P. W. L. van Dijk, Y.-S. Oei, and M. K. Smit, “A Single Etch-Step Fabrication-Tolerant Polarization Splitter,” J. Lightwave Technol. 25(3), 740–746 (2007).

64. I. Kiyat, A. Aydinli, and N. Dagli, “A Compact Silicon-on-Insulator Polarization Splitter,” IEEE Photon. Technol. Lett. 17(1), 100–102 (2005).

65. J. M. Hong, H. H. Ryu, S. R. Park, J. W. Jeong, S. G. Lee, E.-H. Lee, S.-G. Park, D. W. Woo, S. Kim, and B.-H. O, “Design and fabrication of a significantly shortened multimode interference coupler for polarization splitter application,” IEEE Photon. Technol. Lett. 15, 72–74 (2003).

66. B.-K. Yang, S.-Y. Shin, and D. Zhang, “Ultrashort polarization splitter using two-mode interference in silicon photonic wires,” IEEE Photon. Technol. Lett. 21(7), 432–434 (2009).

67. A. Hosseini, S. Rahimi, X. Xu, D. Kwong, J. Covey, and R. T. Chen, “Ultracompact and Fabrication-Tolerant Integrated Polarization Splitter,” Opt. Lett. 36(20), 4047–4049 (2011).

68. Y. Huang, Z. Tu, H. Yi, Y. Li, X. Wang, and W. Hu, “High extinction ratio polarization beam splitter with multimode interference coupler on SOI,” Opt. Commun. 307, 46–49 (2013).

69. Y. Xiong, D.-X. Xu, J. H. Schmid, P. Cheben, S. Janz, and W. N. Ye, “Fabrication tolerant and broadband polarization splitter and rotator based on a taper-etched directional coupler,” Opt. Express 22(14), 17458–17465 (2014).

70. P. J. Bock, P. Cheben, J. H. Schmid, J. Lapointe, A. Delâge, D. X. Xu, S. Janz, A. Densmore, and T. J. Hall, “Subwavelength grating crossings for silicon wire waveguides,” Opt. Express 18(15), 16146–16155 (2010).

71. H. Chen and A. W. Poon, “Low-Loss Multimode-Interference-Based Crossings for Silicon Wire Waveguides,” IEEE Photon. Technol. Lett. 18(21), 2260–2262 (2006).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2489

Page 4: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

72. W. Bogaerts, P. Dumon, D. Van Thourhout, and R. Baets, “Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides,” Opt. Lett. 32(19), 2801–2803 (2007).

73. A. V. Tsarev, “Efficient silicon wire waveguide crossing with negligible loss and crosstalk,” Opt. Express 19(15), 13732–13737 (2011).

74. A. M. Jones, C. T. DeRose, A. L. Lentine, D. C. Trotter, A. L. Starbuck, and R. A. Norwood, “Ultra-lowcrosstalk, CMOS compatible waveguide crossings for densely integrated photonic interconnection networks,” Opt. Express 21(10), 12002–12013 (2013).

75. H. Liu, H. Tam, P. K. A. Wai, and E. Pun, “Low-loss waveguide crossing using a MMI structure,” Opt. Commun. 241, 99–104 (2004).

76. C.-H. Chen and C.-H. Chiu, “Taper-Integrated Multimode-Interference Based Waveguide Crossing Design,” IEEE J. Quantum Electron. 46(11), 1656–1661 (2010).

77. S.-H. Kim, G. Cong, H. Kawashima, T. Hasama, and H. Ishikawa, “Tilted MMI crossings based on silicon wire waveguide,” Opt. Express 22(3), 2545–2552 (2014).

78. M. Popovic, “Low-loss Bloch waves in open structures and highly compact, efficient Si waveguide-crossing arrays,” Lasers Electro-Optics Soc. 20th Annu. Meet. IEEE 56–57 (2007).

79. Y. Zhang, A. Hosseini, X. Xu, D. Kwong, and R. T. Chen, “Ultralow-loss silicon waveguide crossing using Bloch modes in index-engineered cascaded multimode-interference couplers,” Opt. Lett. 38(18), 3608–3611(2013).

80. Y. Ma, Y. Zhang, S. Yang, A. Novack, R. Ding, A. E. Lim, G.-Q. Lo, T. Baehr-Jones, and M. Hochberg,“Ultralow loss single layer submicron silicon waveguide crossing for SOI optical interconnect,” Opt. Express 21(24), 29374–29382 (2013).

81. P. Sanchis, P. Villalba, F. Cuesta, A. Håkansson, A. Griol, J. V. Galán, A. Brimont, and J. Martí, “Highly efficient crossing structure for silicon-on-insulator waveguides,” Opt. Lett. 34(18), 2760–2762 (2009).

82. P. Dumon, W. Bogaerts, D. Van Thourhout, G. Morthier, and R. Baets, “Compact arrayed waveguide gratingdevices in Silicon-on-insulator, ” Proceedings Symposium IEEE/LEOS Benelux Chapter, 2005, Mons, 105–108 (2005).

83. W. Bogaerts, P. Dumon, D. V. Thourhout, D. Taillaert, P. Jaenen, J. Wouters, S. Beckx, V. Wiaux, and R. G. Baets, “Compact Wavelength-Selective Functions in Silicon-on-Insulator Photonic Wires,” IEEE J. Sel. Top. Quantum Electron. 12(6), 1394–1401 (2006).

84. L. Chen, C. R. Doerr, P. Dong, and Y. K. Chen, “Monolithic silicon chip with 10 modulator channels at 25 Gbps and 100-GHz spacing,” Opt. Express 19(26), B946–B951 (2011).

85. H. Li, Y. Bai, X. Dong, E. Li, Y. Li, Y. Liu, and W. Zhou, “Optimal Design of an Ultrasmall SOI-Based 1 × 8 Flat-Top AWG by Using an MMI,” ScientificWorldJournal 2013, 636912 (2013).

86. S. Cheung, T. Su, K. Okamoto, and S. J. B. Yoo, “Ultra-compact Silicon Photonic 512x512 25-GHz Arrayed Waveguide Grating Router,” IEEE J. Sel. Top. Quantum Electron. 20(4), 8202207 (2014).

87. M. Iodice, G. Cocorullo, F. G. Della Corte, and I. Rendina, “Silicon Fabry–Perot filter for WDM systemschannels monitoring,” Opt. Commun. 183(5-6), 415–418 (2000).

88. Y. Painchaud, M. Poulin, C. Latrasse, and M. Picard, “Bragg grating based Fabry-Perot filters for characterizing silicon-on-insulator waveguides,” Group IV Photonics (GFP), 2012 IEEE 9th International Conference on, 180,182 (2012).

89. X. Sun, L. Zhou, J. Xie, Z. Zou, L. Lu, H. Zhu, X. Li, and J. Chen, “Tunable silicon Fabry-Perot comb filters formed by Sagnac loop mirrors,” Opt. Lett. 38(4), 567–569 (2013).

90. M. Geng, L. Jia, L. Zhang, P. Chen, and Y. Liu, “Compact four-channel reconfigurable optical add-drop multi-channeler using silicon photonic wire,” Opt. Commun. 282(17), 3477–3480 (2009).

91. R. D. Mansoor, H. Sasse, M. Al Asadi, S. J. Ison, and A. P. Duffy, “Over Coupled Ring Resonator-Based Add/Drop Filters,” IEEE J. Quantum Electron. 50(8), 598–604 (2004).

92. S. Xiao, M. H. Khan, H. Shen, and M. Qi, “Silicon-on-insulator microring add-drop filters with free spectralranges over 30 nm,” J. Lightwave Technol. 26(2), 228–236 (2008).

93. A. Vorckel, M. Monster, W. Henschel, P. H. Bolivar, and H. Kurz, “Asymmetrically coupled silicon-on-insulator microring resonators for compact add-drop multiplexers,” IEEE Photon. Technol. Lett. 15(7), 921–923 (2003).

94. S. Xiao, M. H. Khan, H. Shen, and M. Qi, “A highly compact third-order silicon microring add-drop filter with a very large free spectral range, a flat passband and a low delay dispersion,” Opt. Express 15(22), 14765–14771(2007).

95. Q. Xu, B. Schmidt, J. Shakya, and M. Lipson, “Cascaded silicon micro-ring modulators for WDM opticalinterconnection,” Opt. Express 14(20), 9431–9435 (2006).

96. F. Xia, L. Sekaric, and Y. Vlasov, “Ultracompact optical buffers on a silicon chip,” Nat. Photonics 1(1), 65–71(2007).

97. B. A. Small, B. G. Lee, K. Bergman, Q. Xu, and M. Lipson, “Multiplewavelength integrated photonic networks based on microring resonator devices,” J. Opt. Netw. 6(2), 112–120 (2007).

98. D. H. Geuzebroek, E. J. Klein, H. Kelderman, C. Bornholdt, and A. Driessen, “40 Gbit/s reconfigurable optical add-drop multiplexer based on microring resonators,” in Proc. ECOC 2005, Glasgow, U.K., Sep., pp. 983–986.

99. L. Yangyang, T. Yonghui, and Y. Lin, “Integrated reconfigurable optical add-drop multiplexers based on cascaded microring resonators,” J. Semicond. 34(9), 094012 (2013).

100. Z. Zhang and M. Qiu, “Small-volume waveguide-section high Q microcavities in 2D photonic crystal slabs,” Opt. Express 12(17), 3988–3995 (2004).

101. P. Velha, E. Picard, T. Charvolin, E. Hadji, J. C. Rodier, P. Lalanne, and D. Peyrade, “Ultra-High Q/V Fabry-Perot microcavity on SOI substrate,” Opt. Express 15(24), 16090–16096 (2007).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2490

Page 5: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

102. X. Shi, W. Ding, and D. Allsopp, “SOI Ridge Waveguide Incorporating a Photonic Crystal Microcavity Multi-Channel Filter,” in Conference on Lasers and Electro-Optics/Quantum Electronics and Laser Science Conference and Photonic Applications Systems Technologies, OSA Technical Digest Series (CD) (Optical Society of America, 2007), paper JThD103.

103. T.-T. Le and L. W. Cahill, “The Design of 4×4 Multimode Interference Coupler Based Microring Resonators on an SOI Platform,” J. Telecom. Information. Technology. 2, 98–102 (2010).

104. W. Yang, T. Li, Z. Tu, Y. Li, X. Wang, and Z. Wang, “A novel polarization converter and filter based on MMI couplers in silicon-on-insulator,” in CLEO: 2014, OSA Technical Digest (online) (Optical Society of America, 2014), paper JW2A.49.

105. K. K. Mehta, J. S. Orcutt, O. Tehar-Zahav, Z. Sternberg, R. Bafrali, R. Meade, and R. J. Ram, “High-Q CMOS-integrated photonic crystal microcavity devices,” Sci Rep 4, 4077 (2014).

106. P. Dong, S. Liao, H. Liang, R. Shafiiha, D. Feng, G. Li, X. Zheng, A. V. Krishnamoorthy, and M. Asghari, “Submilliwatt, ultrafast and broadband electro-optic silicon switches,” Opt. Express 18(24), 25225–25231 (2010).

107. M. Yang, W. M. Green, S. Assefa, J. Van Campenhout, B. G. Lee, C. V. Jahnes, F. E. Doany, C. L. Schow, J. A. Kash, and Y. A. Vlasov, “Non-Blocking 4x4 Electro-Optic Silicon Switch for On-Chip Photonic Networks,” Opt. Express 19(1), 47–54 (2011).

108. J. Van Campenhout, W. M. J. Green, S. Assefa, and Y. A. Vlasov, “Low-power, 2 x 2 silicon electro-optic switch with 110-nm bandwidth for broadband reconfigurable optical networks,” Opt. Express 17(26), 24020–24029 (2009).

109. B. J. Frey, D. B. Leviton, and T. J. Madison, e-print arXiv:physics/0606168v1. 110. W. S. Fegadolli, G. Vargas, X. Wang, F. Valini, L. A. M. Barea, J. E. B. Oliveira, N. Frateschi, A. Scherer, V. R.

Almeida, and R. R. Panepucci, “Reconfigurable Silicon Thermo-Optical Ring Resonator Switch Based on Vernier Effect Control,” Opt. Express 20(13), 14722–14733 (2012).

111. X. Wang, J. A. Martinez, M. S. Nawrocka, and R. R. Panepucci, “Compact Thermally Tunable Silicon Wavelength Switch: Modeling and Characterization,” IEEE Photon. Technol. Lett. 20(11), 936–938 (2008).

112. Y. Vlasov, W. M. J. Green, and F. Xia, “High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks,” Nat. Photonics 2(4), 242–246 (2008).

113. K. Suzuki, G. Cong, K. Tanizawa, S. Kim, S. Namiki, and H. Kawashima, "50-dB Extinction-Ratio in 2x2 Silicon Optical Switch with Variable Splitter," in CLEO: 2014, OSA Technical Digest (online) (Optical Society of America, 2014), paper SM4G.2.

114. Y. Li, J. Yu, S. Chen, Y. Li, and Y. Chen, “Submicrosecond rearrangeable nonblocking silicon-on-insulator thermo-optic 4x4 switch matrix,” Opt. Lett. 32(6), 603–604 (2007).

115. T. Goh, A. Himeno, M. Okuno, H. Takahashi, and K. Hattori, “High-extinction ratio and low-loss silica-based 8 × 8 strictly nonblocking thermooptic matrix switch,” J. Lightwave Technol. 17(7), 1192–1199 (1999).

116. C. DeRose, M. Watts, R. Young, D. Trotter, G. Nielson, W. Zortman, and R. Kekatpure, “Low Power and Broadband 2 X 2 Silicon Thermo-Optic Switch,” in Optical Fiber Communication Conference/National Fiber Optic Engineers Conference 2011, OSA Technical Digest (CD) (Optical Society of America, 2011), paper OThM3.

117. J. Van Campenhout, W. M. Green, S. Assefa, and Y. A. Vlasov, “Integrated NiSi waveguide heaters for CMOS-compatible silicon thermo-optic devices,” Opt. Lett. 35(7), 1013–1015 (2010).

118. N. C. Harris, Y. Ma, J. Mower, T. Baehr-Jones, D. Englund, M. Hochberg, and C. Galland, “Efficient, compact and low loss thermo-optic phase shifter in silicon,” Opt. Express 22(9), 10487–10493 (2014).

119. J. D. Joannopoulos, P. R. Villeneuve, and S. Fan, “Photonic crystals: putting a new twist on light,” Nature 386(6621), 143–149 (1997).

120. S. G. Johnson, P. R. Villeneuve, S. Fan, and J. D. Joannopoulos, “Linear waveguides in photonic-crystal slabs,” Phys. Rev. B 62(12), 8212–8222 (2000).

121. T. Baba, D. Mori, K. Inoshita, and Y. Kuroki, “Light localizations in photonic crystal line defect waveguides,” IEEE J. Sel. Top. Quantum Electron. 10(3), 484–491 (2004).

122. D. M. Beggs, T. P. White, L. O’Faolain, and T. F. Krauss, “Ultracompact and low-power optical switch based on silicon photonic crystals,” Opt. Lett. 33(2), 147–149 (2008).

123. D. M. Beggs, T. P. White, L. Cairns, L. O’Faolain, and T. F. Krauss, “Ultrashort Photonic Crystal Optical Switch Actuated by a Microheater,” IEEE Photon. Technol. Lett. 21(1), 24–26 (2009).

124. Q. Zhao, K. Cui, Z. Huang, X. Feng, D. Zhang, F. Liu, W. Zhang, and Y. Huang, “Compact Thermo-Optic Switch Based on Tapered W1 Photonic Crystal Waveguide,” IEEE Photonics Journal. 5(2), 2200606 (2013).

125. L. Gu, W. Jiang, X. Chen, and R. T. Chen, “Thermo-optically tuned photonic crystal waveguide silicon-on-insulator Mach-Zehnder Interferometers,” IEEE Photon. Technol. Lett. 19(5), 342–344 (2007).

126. K. Cui, X. Feng, Y. Huang, Q. Zhao, Z. Huang, and W. Zhang, “Broadband thermo-optic switch based on a W2 photonic crystal waveguide,” Proc. SPIE 8630, Optoelectronic Interconnects XIII, 863018 (2013).

127. L. O’Faolain, D. M. Beggs, T. P. White, T. Kampfrath, K. Kuipers, and T. F. Krauss, “Compact Optical Switches and Modulators Based on Dispersion Engineered Photonic Crystals,” IEEE Photonics Journal 2(3), 404–414 (2010).

128. E. A. Camargo, H. M. H. Chong, and R. M. De La Rue, “2D Photonic crystal thermo-optic switch based on AlGaAs/GaAs epitaxial structure,” Opt. Express 12(4), 588–592 (2004).

129. E. A. Camargo, H. M. H. Chong, and R. M. De La Rue, “Highly compact asymmetric Mach-Zehnder device based on channel guides in a two-dimensional photonic crystal,” Appl. Opt. 45(25), 6507–6510 (2006).

130. Y. A. Vlasov, M. O’Boyle, H. F. Hamann, and S. J. McNab, “Active control of slow light on a chip with photonic crystal waveguides,” Nature 438(7064), 65–69 (2005).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2491

Page 6: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

131. M. T. Tinker and J.-B. Lee, “Thermo-optic photonic crystal light modulator,” Appl. Phys. Lett. 86(22), 221111 (2005).

132. T. Baba, “Slow light in photonic crystals,” Nat. Photonics 2(8), 465–473 (2008). 133. Y. Cui, K. Liu, D. L. MacFarlane, and J.-B. Lee, “Thermo-optically tunable silicon photonic crystal light

modulator,” Opt. Lett. 35(21), 3613–3615 (2010). 134. G. T. Reed, G. Mashanovich, F. Y. Gardes, and D. J. Thomson, “Silicon optical modulators,” Nat. Photonics

4(8), 518–526 (2010). 135. C. K. Tang and G. T. Reed, “Highly efficient optical phase modulator in SOI waveguides,” Electron. Lett. 31(6),

451–452 (1995). 136. A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R. Nicolaescu, and M. Paniccia, “A high-speed

silicon optical modulator based on a metal-oxide-semiconductor capacitor,” Nature 427(6975), 615–618 (2004). 137. L. Liao, D. Samara-Rubio, M. Morse, A. Liu, D. Hodge, D. Rubin, U. Keil, and T. Franck, “High speed silicon

Mach-Zehnder modulator,” Opt. Express 13(8), 3129–3135 (2005). 138. Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, “Micrometre-scale silicon electro-optic modulator,” Nature

435(7040), 325–327 (2005). 139. Y. Jiang, W. Jiang, L. Gu, X. Chen, and R. T. Chen, “80-micron interaction length silicon photonic crystal

waveguide modulator,” Appl. Phys. Lett. 87(22), 221105 (2005). 140. J. Muller, “Thin silicon film p-i-n photodiodes with internal reflection,” IEEE J. Solid-State Circuits 13(1), 173–

179 (1978). 141. W. M. J. Green, M. J. Rooks, L. Sekaric, and Y. A. Vlasov, “Ultra-compact, low RF power, 10 Gb/s silicon

Mach-Zehnder modulator,” Opt. Express 15(25), 17106–17113 (2007). 142. S. Akiyama, T. Baba, M. Imai, T. Akagawa, M. Takahashi, N. Hirayama, H. Takahashi, Y. Noguchi, H.

Okayama, T. Horikawa, and T. Usuki, “12.5-Gb/s operation with 0.29-V·cm V(π)L using silicon Mach-Zehnder modulator based-on forward-biased pin diode,” Opt. Express 20(3), 2911–2923 (2012).

143. S. Akiyama, T. Baba, M. Imai, T. Akagawa, M. Noguchi, E. Saito, Y. Noguchi, N. Hirayama, T. Horikawa, and T. Usuki, “50-Gb/s silicon modulator using 250-μm-long phase shifter based-on forward-biased pin diodes,” Proc. IEEE Conf. on Group IV Photonics, Paper ThC2 (2012).

144. T. Baba and H. C. Nguyen, “Compact optical modulators in Si photonic crystals”, SPIE Photonics West, San Francisco, no. 8630–11 (2013).

145. D. Marris, E. Cassan, and L. Vivien, “Time response analysis of SiGe/Si modulation-doped multiple quantum well structures for optical modulation,” J. Appl. Phys. 96(11), 6109–6112 (2004).

146. D. Marris-Morini, X. Le Roux, L. Vivien, E. Cassan, D. Pascal, M. Halbwax, S. Maine, S. Laval, J. M. Fédéli, and J. F. Damlencourt, “Optical modulation by carrier depletion in a silicon PIN diode,” Opt. Express 14(22), 10838–10843 (2006).

147. F. Y. Gardes, A. Brimont, P. Sanchis, G. Rasigade, D. Marris-Morini, L. O’Faolain, F. Dong, J.-M. Fedeli, P. Dumon, L. Vivien, T. F. Krauss, G. T. Reed, and J. Martí, “High-speed modulation of a compact silicon ring resonator based on a reverse-biased pn diode,” Opt. Express 17(24), 21986–21991 (2009).

148. J.-B. You, M. Park, J.-W. Park, and G. Kim, “12.5 Gbps optical modulation of silicon racetrack resonator based on carrier-depletion in asymmetric p-n diode,” Opt. Express 16(22), 18340–18344 (2008).

149. D. J. Thomson, F. Y. Gardes, Y. Hu, G. Mashanovich, M. Fournier, P. Grosse, J.-M. Fedeli, and G. T. Reed, “High contrast 40Gbit/s optical modulation in Silicon,” Opt. Express 19(12), 11507–11516 (2011).

150. A. Liu, L. Liao, D. Rubin, H. Nguyen, B. Ciftcioglu, Y. Chetrit, N. Izhaky, and M. Paniccia, “High-speed optical modulation based on carrier depletion in a silicon waveguide,” Opt. Express 15(2), 660–668 (2007).

151. P. Dong, L. Chen, and Y.-K. Chen, “High-speed low-voltage single-drive push-pull silicon Mach-Zehnder modulators,” Opt. Express 20(6), 6163–6169 (2012).

152. D. J. Thomson, F. Y. Gardes, J.-M. Fedeli, S. Zlatanovic, Y. Hu, B. P.-P. Kuo, E. Myslivets, N. Alic, S. Radic, G. Z. Mashanovich, and G. T. Reed, “50Gb/s silicon optical modulator,” IEEE Photon. Technol. Lett. 24(4), 234–236 (2012).

153. S. Manipatruni, L. Chen, and M. Lipson, “50 Gbit/s wavelength division multiplexing using silicon microring modulators,” [Group IV Photonics, 2009. GFP '09. 6th IEEE International Conference on]: 244–246 (2009).

154. X. Xiao, H. Xu, X. Li, Z. Li, T. Chu, Y. Yu, and J. Yu, “High-speed, low-loss silicon Mach-Zehnder modulators with doping optimization,” Opt. Express 21(4), 4116–4125 (2013).

155. G. T. Reed, G. Z. Mashanovich, F. Y. Gardes, M. Nedeljkovic, Y. Hu, D. J. Thomson, K. Li, P. R. Wilson, S.-W. Chen, and S. S. Hsu, “Recent breakthroughs in carrier depletion based silicon optical modulators,” Nanophotonics 3(4-5), 229–245 (2014).

156. L. Gu, W. Jiang, X. Chen, L. Wang, and R. T. Chen, “High speed silicon photonic crystal waveguide modulator for low voltage application,” Appl. Phys. Lett. 90(7), 071105 (2007).

157. A. Hosseini, X. Xu, H. Subbaraman, C.-Y. Lin, S. Rahimi, and R. T. Chen, “Large optical spectral range dispersion engineered silicon-based photonic crystal waveguide modulator,” Opt. Express 20(11), 12318–12325 (2012).

158. H. C. Nguyen, S. Hashimoto, M. Shinkawa, and T. Baba, “Compact and fast photonic crystal silicon optical modulators,” Opt. Express 20(20), 22465–22474 (2012).

159. T. Baba, H. C. Nguyen, N. Yazawa, Y. Terada, Hashimoto, and T. Watanabe, “Optical modulators based on Si photonic crystals,” Sci. Technol. Adv. Mater. 15, 024602 (2014).

160. Y. Terada, H. Ito, H. C. Nguyen and T. Baba, “Theoretical and experimental investigation of low-voltage and low-loss 25-Gbps Si photonic crystal slow light Mach-Zehnder modulators with interleaved p/n junction “, Front. Phys., 2014 (online)

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2492

Page 7: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

161. A. Opheij, N. Rotenberg, D. M. Beggs, I. H. Rey, T. F. Krauss, and L. Kuipers, “Ultracompact (3 μm) silicon slow-light optical modulator,” Sci Rep 3, 3546 (2013).

162. R. S. Jacobsen, K. N. Andersen, P. I. Borel, J. Fage-Pedersen, L. H. Frandsen, O. Hansen, M. Kristensen, A. V. Lavrinenko, G. Moulin, H. Ou, C. Peucheret, B. Zsigri, and A. Bjarklev, “Strained silicon as a new electro-optic material,” Nature 441(7090), 199–202 (2006).

163. J. Fage-Pedersen, L. H. Frandsen, A. V. Lavrinenko, and P. I. Borel, “A linear electro-optic effect in silicon, induced by use of strain,” in 3rd IEEE International Conference on Group IV Photonics, 37–39 (2006).

164. B. Chmielak, M. Waldow, C. Matheisen, C. Ripperda, J. Bolten, T. Wahlbrink, M. Nagel, F. Merget, and H. Kurz, “Pockels effect based fully integrated, strained silicon electro-optic modulator,” Opt. Express 19(18), 17212–17219 (2011).

165. P. Damas, X. Le Roux, D. Le Bourdais, E. Cassan, D. Marris-Morini, N. Izard, T. Maroutian, P. Lecoeur, and L. Vivien, “Wavelength dependence of Pockels effect in strained silicon waveguides,” Opt. Express 22(18), 22095–22100 (2014).

166. J. F. Liu, M. Beals, A. Pomerene, S. Bernardis, R. Sun, J. Cheng, L. C. Kimerling, and J. Michel, “Waveguide integrated, ultralow-energy GeSi electro-absorption modulators,” Nat. Photonics 2(7), 433–437 (2008).

167. A. V. Krishnamoorthy, X. Zheng, D. Feng, J. Lexau, J. F. Buckwalter, H. D. Thacker, F. Liu, Y. Luo, E. Chang, P. Amberg, I. Shubin, S. S. Djordjevic, J. H. Lee, S. Lin, H. Liang, A. Abed, R. Shafiiha, K. Raj, R. Ho, M. Asghari, and J. E. Cunningham, “A low-power, high-speed, 9-channel germanium-silicon electro-absorption modulator array integrated with digital CMOS driver and wavelength multiplexer,” Opt. Express 22(10), 12289–12295 (2014).

168. A. E.-J. Lim, T.-Y. Liow, F. Qing, N. Duan, L. Ding, M. Yu, G.-Q. Lo, and D.-L. Kwong, “Novel evanescent-coupled germanium electro-absorption modulator featuring monolithic integration with germanium p-i-n photodetector,” Opt. Express 19(6), 5040–5046 (2011).

169. P. Chaisakul, D. Marris-Morini, M. S. Rouifed, G. Isella, D. Chrastina, J. Frigerio, X. Le Roux, S. Edmond, J. R. Coudevylle, and L. Vivien, “23 GHz Ge/SiGe multiple quantum well electro-absorption modulator,” Opt. Express 20(3), 3219–3224 (2012).

170. A. E. Lim, T. Y. Liow, F. Qing, N. Duan, L. Ding, M. Yu, G. Q. Lo, and D. L. Kwong, “Novel evanescent-coupled germanium electro-absorption modulator featuring monolithic integration with germanium p-i-n photodetector,” Opt. Express 19(6), 5040–5046 (2011).

171. D. Feng, S. Liao, P. Dong, N.-N. Feng, H. Liang, D. Zheng, C.-C. Kung, J. Fong, R. Shafiiha, J. Cunningham, A. V. Krishnamoorthy, and M. Asghari, “High-speed Ge photodetector monolithically integrated with large cross section silicon-on-insulator waveguide,” Appl. Phys. Lett. 95(26), 261105 (2009).

172. D. Feng, S. Liao, H. Liang, J. Fong, B. Bijlani, R. Shafiiha, B. J. Luff, Y. Luo, J. Cunningham, A. V. Krishnamoorthy, and M. Asghari, “High speed GeSi electro-absorption modulator at 1550 nm wavelength on SOI waveguide,” Opt. Express 20(20), 22224–22232 (2012).

173. N.-N. Feng, D. Feng, H. Liang, W. Qian, C.-C. Kung, J. Fong, and M. Asghari, “Low-loss polarization insensitive Silicon-on-insulator-based WDM filter for triplexer applications,” IEEE Photon. Technol. Lett. 20(23), 1968–1970 (2008).

174. D. Feng, W. Qian, H. Liang, C.-C. Kung, J. Fong, J. B. Jonathan, and M. Asghari, “Novel fabrication tolerant flat-top demultiplexers based on etched diffraction gratings in SOI,” 5rd Int. Conf. Group IV Photonics, P386 −388. Sorrento, Italy (September 17–19, 2008).

175. C. Gunn, G. Masini, J. Witzens, and G. Capellini, “CMOS photonics using germanium photodetectors,” ECS Trans. 3, 17–24 (2006).

176. H. Park, A. W. Fang, R. Jones, O. Cohen, O. Raday, M. N. Sysak, M. J. Paniccia, and J. E. Bowers, “A hybrid AlGaInAs-silicon evanescent waveguide photodetector,” Opt. Express 15(10), 6044–6052 (2007).

177. T. Baehr-Jones, R. Ding, A. Ayazi, T. Pinguet, M. Streshinsky, N. Harris, J. Li, L. He, M. Gould, Y. Zhang, A. Eu-Jin Lim, T.-Y. Liow, S. H.-G. Teo, G.-Q. Lo, and M. Hochberg, “A 25 Gb/s Silicon Photonics Platform,” arXiv preprint arXiv:1203.0767, 2012.

178. L. Vivien, J. Osmond, J.-M. Fédéli, D. Marris-Morini, P. Crozat, J.-F. Damlencourt, E. Cassan, Y. Lecunff, and S. Laval, “42 GHz p.i.n Germanium photodetector integrated in a silicon-on-insulator waveguide,” Opt. Express 17(8), 6252–6257 (2009).

179. P. R. A. Binetti, X. J. M. Leijtens, T. de Vries, Y. S. Oei, L. Di Cioccio, J.-M. Fedeli, C. Lagahe, J. Van Campenhout, D. Van Thourhout, P. J. van Veldhoven, R. N¨otzel, and M. K. Smit, InP/InGaAs Photodetector on SOI Circuitry, Group IV Photonics 2009.

180. R. Nagarajan, J. Rahn, M. Kato, J. Pleumeekers, D. Lambert, V. Lal, H.-S. Tsai, A. Nilsson, A. Dentai, M. Kuntz, R. Malendevich, J. Tang, J. Zhang, T. Butrie, M. Raburn, B. Little, W. Chen, G. Goldfarb, V. Dominic, B. Taylor, M. Reffle, F. Kish, and D. Welch, “10 Channel, 45.6Gb/s per Channel, Polarization Multiplexed DQPSK InP Receiver Photonic Integrated Circuit,” J. Lightwave Technol. 29(4), 386–395 (2011).

181. S. Fama, L. Colace, G. Masini, G. Assanto, and H. Luan, “High performance germanium-on-silicon detectors for optical communications,” Appl. Phys. Lett. 81(4), 586–589 (2002).

182. L. Colace, M. Balbi, G. Masini, G. Assanto, H. Luan, and L. Kimerling, “Ge on Si pin photodiodes operating at 10 Gbit/s,” Appl. Phys. Lett. 88(10), 101111 (2006).

183. S. Sahni, X. Luo, J. Liu, Y.-H. Xie, and E. Yablonovitch, “Junction field-effect-transistor-based germanium photodetector on silicon-on-insulator,” Opt. Lett. 33(10), 1138–1140 (2008).

184. L. Chen and M. Lipson, “Ultra-low capacitance and high speed germanium photodetectors on silicon,” Opt. Express 17(10), 7901–7906 (2009).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2493

Page 8: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

185. N. N. Feng, P. Dong, D. Zheng, S. Liao, H. Liang, R. Shafiiha, D. Feng, G. Li, J. E. Cunningham, A. V. Krishnamoorthy, and M. Asghari, “Vertical p-i-n germanium photodetector with high external responsivity integrated with large core Si waveguides,” Opt. Express 18(1), 96–101 (2010).

186. G. Masini, G. Capellini, J. Witzens, and C. Gunn, “A 1550nm, 10 Gbps monolithic optical receiver in 130 nm CMOS with integrated Ge waveguide photodetector,” Proceedings of the 4th IEEE International Conference on Group IV Photonics 2007; Tokyo, Japan. 19–21, 1–3 (2007).

187. S. Koester, C. Schow, L. Schares, G. Dehlinger, J. Schaub, F. Doany, and R. John, “Ge-on-SOI-detector/Si-CMOS-amplifier receivers for high-performance optical-communication applications,” J. Lightwave Technol. 25(1), 46–57 (2007).

188. T.-Y. Liow, K.-W. Ang, Q. Fang, J.-F. Song, Y.-Z. Xiong, M.-B. Yu, G.-Q. Lo, and D.-L. Kwong, “Silicon Modulators and Germanium Photodetectors on SOI: Monolithic Integration, Compatibility, and Performance Optimization,” IEEE J. Sel. Top. Quantum Electron. 16(1), 307–315 (2010).

189. J. D. B. Bradley, P. E. Jessop, and A. P. Knights, “Silicon waveguide-integrated optical power monitor with enhanced sensitivity at 1550 nm,” Appl. Phys. Lett. 86(24), 241103 (2005).

190. M. W. Geis, S. J. Spector, M. E. Grein, R. T. Schulein, J. U. Yoon, D. M. Lennon, S. Deneault, F. Gan, F. X. Kaertner, and T. M. Lyszczarz, “CMOS-Compatible All-Si High-Speed Waveguide Photodiodes With High Responsivity in Near-Infrared Communication Band,” IEEE Photon. Technol. Lett. 19(3), 152–154 (2007).

191. R. R. Grote, K. Padmaraju, B. Souhan, J. B. Driscoll, K. Bergman, and R. M. Osgood, Jr., “10 Gb/s Error-Free Operation of All-Silicon Ion-Implanted-Waveguide Photodiodes at 1.55µm,” IEEE Photon. Technol. Lett. 25(1), 67–70 (2013).

192. B. Souhan, R. R. Grote, J. B. Driscoll, M. Lu, A. Stein, H. Bakhru, and R. M. Osgood, “Metal-semiconductor-metal ion-implanted Si waveguide photodetectors for C-band operation,” Opt. Express 22(8), 9150–9158 (2014).

193. D. Chen, H. R. Fetterman, A. Chen, W. H. Steier, L. R. Dalton, W. Wang, and Y. Shi, “Demonstration of 110 GHz electro-optic polymer modulation,” Appl. Phys. Lett. 70(25), 3335–3337 (1997).

194. B. Bortnik, Y.-C. Hung, H. Tazawa, B.-J. Seo, J. Luo, A. K.-Y. Jen, W. H. Steier, and H. R. Fetterman, “Electrooptic Polymer Ring Resonator Modulation up to 165 GHz,” IEEE J. Sel. Top. Quantum Electron. 13(104), 110 (2007).

195. M. Lee, H. E. Katz, C. Erben, D. M. Gill, P. Gopalan, J. D. Heber, and D. J. McGee, “Broadband Modulation of Light by Using an Electro-Optic Polymer,” Science 298(5597), 1401–1403 (2002).

196. X. Zhang, A. Hosseini, S. Chakravarty, J. Luo, A. K.-Y. Jen, and R. T. Chen, “Wide optical spectrum range, subvolt, compact modulator based on an electro-optic polymer refilled silicon slot photonic crystal waveguide,” Opt. Lett. 38(22), 4931–4934 (2013).

197. R. Ding, T. Baehr-Jones, W.-J. Kim, A. Spott, M. Fournier, J.-M. Fedeli, S. Huang, J. Luo, A. K.-Y. Jen, and L. Dalton, “Sub-Volt Silicon-Organic Electro-optic Modulator With 500 MHz Bandwidth,” J. Lightwave Technol. 29(8), 1112–1117 (2011).

198. M. Gould, T. Baehr-Jones, R. Ding, S. Huang, J. Luo, A. K.-Y. Jen, J.-M. Fedeli, M. Fournier, and M. Hochberg, “Silicon-polymer hybrid slot waveguide ring-resonator modulator,” Opt. Express 19(5), 3952–3961 (2011).

199. X. Zhang, A. Hosseini, X. Lin, H. Subbaraman, and R. T. Chen, “Polymer-based Hybrid Integrated Photonic Devices for Silicon On-chip Modulation and Board-level Optical Interconnects,” Selected Topics in Quantum Electronics, IEEE Journal of 19(6), 3401115 (2013).

200. X. Zhang, A. Hosseini, H. Subbaraman, J. Luo, A. Jen, R. Nelson, and R. Chen, “Broadband Low-power Optical Modulator Based on Electro-optic Polymer Infiltrated Silicon Slot Photonic Crystal Waveguide,” in Frontiers in Optics 2014, OSA Technical Digest (online) (Optical Society of America, 2014), paper FTu1D.4.

201. J. H. Wülbern, S. Prorok, J. Hampe, A. Petrov, M. Eich, J. Luo, A. K.-Y. Jen, M. Jenett, and A. Jacob, “40 GHz electro-optic modulation in hybrid silicon-organic slotted photonic crystal waveguides,” Opt. Lett. 35(16), 2753–2755 (2010).

202. R. Palmer, L. Alloatti, D. Korn, P. Schindler, R. Schmogrow, M. Baier, S. Koenig, D. Hillerkuss, J. Bolten, T. Wahlbrink, M. Waldow, R. Dinu, W. Freude, C. Koos, and J. Leuthold, “Silicon-Organic Hybrid (SOH) Modulator Generating up to 84 Gbit/s BPSK and M-ASK Signals,” in Optical Fiber Communication Conference/National Fiber Optic Engineers Conference 2013, OSA Technical Digest (online) (Optical Society of America, 2013), paper OW4J.6.

203. X. Wang, C.-Y. Lin, S. Chakravarty, J. Luo, A. K.-Y. Jen, and R. T. Chen, “Effective in-device r33 of 735 pm/V on electro-optic polymer infiltrated silicon photonic crystal slot waveguides,” Opt. Lett. 36(6), 882–884 (2011).

204. L. Alloatti, D. Korn, R. Palmer, D. Hillerkuss, J. Li, A. Barklund, R. Dinu, J. Wieland, M. Fournier, J. Fedeli, H. Yu, W. Bogaerts, P. Dumon, R. Baets, C. Koos, W. Freude, and J. Leuthold, “42.7 Gbit/s electro-optic modulator in silicon technology,” Opt. Express 19(12), 11841–11851 (2011).

205. J. Witzens, T. Baehr-Jones, and M. Hochberg, “Design of transmission line driven slot waveguide Mach-Zehnder interferometers and application to analog optical links,” Opt. Express 18(16), 16902–16928 (2010).

206. M. Lauermann, R. Palmer, S. Koeber, P. Schindler, D. Korn, T. Wahlbrink, J. Bolten, M. Waldow, D. Elder, L. Dalton, J. Leuthold, W. Freude, and C. Koos, “Low-power silicon-organic hybrid (SOH) modulators for advanced modulation formats,” Opt. Express 22(24), 29927–29936 (2014).

207. J. M. Brosi, C. Koos, L. C. Andreani, M. Waldow, J. Leuthold, and W. Freude, “High-speed low-voltage electro-optic modulator with a polymer-infiltrated silicon photonic crystal waveguide,” Opt. Express 16(6), 4177–4191 (2008).

208. L. Alloatti, R. Palmer, S. Diebold, K. P. Pahl, B. Chen, R. Dinu, M. Fournier, J.-M. Fedeli, T. Zwick, W. Freude, C. Koos, and J. Leuthold, “100 GHz silicon–organic hybrid modulator,” Light: Science and Applications. 3(5), e173 (2014).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2494

Page 9: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

209. J. A. Schuller, E. S. Barnard, W. Cai, Y. C. Jun, J. S. White, and M. L. Brongersma, “Plasmonics for extreme light concentration and manipulation,” Nat. Mater. 9(3), 193–204 (2010).

210. D. K. Gramotnev and S. I. Bozhevolnyi, “Plasmonics beyond the diffraction limit,” Nat. Photonics 4(2), 83–91 (2010).

211. M. Z. Alam, J. Meier, J. S. Aitchison, and M. Mojahedi, “Super mode propagation in low index medium”, CLEO-2007-JThD112, CLEO/QELS 2007.

212. D. Dai and S. He, “A silicon-based hybrid plasmonic waveguide with a metal cap for a nano-scale light confinement,” Opt. Express 17(19), 16646–16653 (2009).

213. A. V. Krasavin and A. V. Zayats, “Silicon-based plasmonic waveguides,” Opt. Express 18(11), 11791–11799 (2010).

214. J. N. Caspers and M. Mojahedi, “Measurement of a compact colorless 3 dB hybrid plasmonic directional coupler,” Opt. Lett. 39(11), 3262–3265 (2014).

215. J. Wang, X. Guan, Y. He, Y. Shi, Z. Wang, S. He, P. Holmström, L. Wosinski, L. Thylen, and D. Dai, “Sub-μm2 power splitters by using silicon hybrid plasmonic waveguides,” Opt. Express 19(2), 838–847 (2011).

216. J. N. Caspers, J. S. Aitchison, and M. Mojahedi, “Experimental demonstration of an integrated hybrid plasmonic polarization rotator,” Opt. Lett. 38(20), 4054–4057 (2013).

217. X. Guan, H. Wu, Y. Shi, and D. Dai, “Extremely small polarization beam splitter based on a multimode interference coupler with a silicon hybrid plasmonic waveguide,” Opt. Lett. 39(2), 259–262 (2014).

218. X. Sun, M. Z. Alam, S. J. Wagner, J. S. Aitchison, and M. Mojahedi, “Experimental demonstration of a hybrid plasmonic transverse electric pass polarizer for a silicon-on-insulator platform,” Opt. Lett. 37(23), 4814–4816 (2012).

219. I. Avrutsky, “Integrated Optical Polarizer for Silicon-On-Insulator Waveguides Using Evanescent Wave Coupling to Gap Plasmon Polaritons,” IEEE J. Sel. Top. Quantum Electron. 14(6), 1509–1514 (2008).

220. J. Chee, S. Zhu, and G. Q. Lo, “CMOS compatible polarization splitter using hybrid plasmonic waveguide,” Opt. Express 20(23), 25345–25355 (2012).

221. L. Gao, F. Hu, X. Wang, L. Tang, and Z. Zhou, “Ultracompact and silicon-on-insulator-compatible polarization splitter based on asymmetric plasmonic–dielectric coupling,” Appl. Phys. B 113(2), 199–203 (2013).

222. F. Lou, L. Thylen, and L. Wosinski, “Hybrid plasmonic microdisk resonators for optical interconnect applications,” Proc. SPIE 8781, 87810X (2013).

223. L. Tang, F. Hu, H. Yi, and Z. Zhou, “Ultracompact racetrack resonators based on hybrid plasmonic waveguides,” Photonics Asia. 856417 (2012).

224. S. Y. Zhu, G. Q. Lo, and D. L. Kwong, “Performance of ultracompact copper-capped silicon hybrid plasmonic waveguide-ring resonators at telecom wavelengths,” Opt. Express 20(14), 15232–15246 (2012).

225. S. Zhu, G.-Q. Lo, J. Xie, and D.-L. Kwong, “Toward athermal plasmonic ring resonators based on Cu-TiO2-Si hybrid plasmonic waveguide,” IEEE Photon. Technol. Lett. 25(12), 1161–1164 (2013).

226. J. T. Kim, “CMOS-compatible hybrid plasmonic modulator based on vanadium dioxide insulator-metal phase transition,” Opt. Lett. 39(13), 3997–4000 (2014).

227. V. E. Babicheva, N. Kinsey, G. V. Naik, M. Ferrera, A. V. Lavrinenko, V. M. Shalaev, and A. Boltasseva, “Towards CMOS-compatible nanophotonics: Ultra-compact modulators using alternative plasmonic materials,” Opt. Express 21(22), 27326–27337 (2013).

228. V. J. Sorger, N. D. Lanzillotti-Kimura, R.-M. Ma, and X. Zhang, “Ultra-compact silicon nanophotonic modulator with broadband response,” Nanophotonics 1(1), 17–22 (2012).

229. A. Melikyan, L. Alloatti, A. Muslija, D. Hillerkuss, P. C. Schindler, J. Li, R. Palmer, D. Korn, S. Muehlbrandt, D. Van Thourhout, B. Chen, R. Dinu, M. Sommer, C. Koos, M. Kohl, W. Freude, and J. Leuthold, “High-speed plasmonic phase modulators,” Nat. Photonics 8(3), 229–233 (2014).

230. V. J. Sorger, N. D. Lanzillotti-Kimura, R.-M. Ma, and X. Zhang, “Ultra-compact silicon nanophotonic modulator with broadband response,” Nanophotonics 1(1), 17–22 (2012).

231. K. J. A. Ooi, P. Bai, H. S. Chu, and L. K. Ang, “Ultracompact vanadium dioxide dual-mode plasmonic waveguide electroabsorption modulator,” Nanophotonics 2(1), 13–19 (2013).

232. A. Joushaghani, B. A. Kruger, S. Paradis, D. Alain, J. S. Aitchison, and J. K. S. Poon, “Sub-volt broadband hybrid plasmonic-vanadium dioxide switches,” Appl. Phys. Lett. 102(6), 061101 (2013).

233. M. Z. Alam, J. S. Aitchison, and M. Mojahedi, “A marriage of convenience: Hybridization of surface plasmon and dielectric waveguide modes,” Laser and Photonics Reviews. 8(3), 1863–8899 (2014).

234. S. Y. Zhu, G. Q. Lo, and D. L. Kwong, “Experimental demonstration of vertical Cu-SiO2-Si hybrid plasmonic waveguide components on an SOI platform,” IEEE Photon. Technol. Lett. 24(14), 1224–1226 (2012).

235. N. Kinsey, M. Ferrera, G. V. Naik, V. E. Babicheva, V. M. Shalaev, and A. Boltasseva, “Experimental demonstration of titanium nitride plasmonic interconnects,” Opt. Express 22(10), 12238–12247 (2014).

236. K. F. Mak, L. Ju, F. Wang, and T. F. Heinz, “Optical spectroscopy of graphene: from the far infrared to the ultraviolet,” Solid State Commun. 152(15), 1341–1349 (2012).

237. Z. Cheng, Z. Li, K. Xu, and H. K. Tsang, “Increase of grating coupler bandwidth with a graphene overlay,” Appl. Phys. Lett. 104(11), 111109 (2014).

238. M. Mohsin, D. Schall, M. Otto, A. Noculak, D. Neumaier, and H. Kurz, “Graphene based low insertion loss electro-absorption modulator on SOI waveguide,” Opt. Express 22(12), 15292–15297 (2014).

239. A. Pospischil, M. Humer, M. M. Furchi, D. Bachmann, R. Guider, T. Fromherz, and T. Mueller, “CMOS-compatible graphene photodetector covering all optical communication bands,” Nat. Photonics 7(11), 892–896 (2013).

240. X. Gan, R.-J. Shiue, Y. Gao, I. Meric, T. F. Heinz, K. Shepard, J. Hone, S. Assefa, and D. Englund, “Chip-integrated ultrafast graphene photodetector with high responsivity,” Nat. Photonics 7(11), 883–891 (2013).

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2495

Page 10: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

241. C.-H. Liu, Y.-C. Chang, T. B. Norris, and Z. Zhong, “Graphene photodetectors with ultra-broadband and high responsivity at room temperature,” Nat. Nanotechnol. 9(4), 273–278 (2014).

242. F. N. Xia, T. Mueller, Y. M. Lin, A. Valdes-Garcia, and P. Avouris, “Ultrafast graphene photodetector,” Nat. Nanotechnol. 4(12), 839–843 (2009).

243. Q. Li, A. A. Eftekhar, A. H. Atabaki, and A. Adibi, “3-D integration of silicon nitride on silicon-on-insulator platform,” Lasers and Electro-Optics (CLEO), 2012 Conference on, vol., no., pp.1,2, (2012).

244. Q. Li, A. A. Eftekhar, M. Sodagar, Z. Xia, A. H. Atabaki, and A. Adibi, “Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform,” Opt. Express 21(15), 18236–18248 (2013).

245. J. F. Bauters, M. L. Davenport, M. J. Heck, J. K. Doylend, A. Chen, A. W. Fang, and J. E. Bowers, “Silicon on ultra-low-loss waveguide photonic integration platform,” Opt. Express 21(1), 544–555 (2013).

246. M. C. Tien, J. F. Bauters, M. J. R. Heck, D. T. Spencer, D. J. Blumenthal, and J. E. Bowers, “Ultra-high quality factor planar Si3N4 ring resonators on Si substrates,” Opt. Express 19(14), 13551–13556 (2011).

1. Introduction

Copper based electrical interconnects have dominated short-range communication links for over four decades. However, it has become difficult for traditional copper interconnects to keep up with the surge in the data rate demand over the last decade. Optical interconnects have been widely accepted as a viable alternative to support futuristic data communication networks. Specifically, silicon based optical interconnects have received a lot of attention in the recent past [1,2]. Pioneering work in investigating silicon as an optical waveguide material was performed in the late 1980’s [3–5]. The development of silicon-on-insulator (SOI) platform for optical device development (few hundred nanometers of single crystal silicon layer on top of a few micron thick buried oxide – BOX layer) has sparked renewed interest in silicon photonics technology, and a number of research groups have actively been involved worldwide since. The large refractive index contrast between silicon (nSi~3.47) and SiO2 (nSiO2~1.45), and their optical transparency in the 1.55μm wavelength window enables ultra-compact device dimensions suitable for large-scale, high density integration on a chip. Additionally, the increasing availability of complementary metal-oxide-semiconductor (CMOS) photonic foundries, development of component libraries, and integration capability with microelectronics promises low-cost development of devices compared to other material technologies [6].

In this paper, we aim to provide an overview of recent progress in silicon based passive and active optical interconnect components, and highlight some of our research contributions. Although an entire plethora of different components fall under the umbrella of silicon photonics, we will discuss some of the basic “building block” devices, including fiber-to-chip light couplers, power splitters, optical modulators, and photodetectors. Due to the wide scope of the subject, only silicon-based components are discussed. The interested reader may find additional reading material on the advances in silicon nitride, plasmonics, graphene optoelectronics, and other closely related technologies elsewhere. The paper is organized as the following: the passive optical interconnects, such as fiber-to-chip light couplers, power splitter, polarization splitters, waveguide crossings, and filters are discussed first in Section 2. In Section 3, we discuss active optical interconnects, such as modulators, switches, and photodetectors. Some examples of complex circuitries formed using these basic components are presented. In Section 4, we provide brief details on some of the complementary technologies that are working in tandem with the silicon photonics technology, and helping shape the optical interconnects domain. In Section 5, we will provide a future projection of the silicon-based optical interconnect technology and conclude the paper.

2. Passive optical interconnect components

SOI is an ideal platform for the development of passive optical interconnects. Due to optical transparency of silicon in the telecommunication window, low-loss guidance of light is achievable. Consequently, several low-loss and compact passive optical interconnects have been possible. Most relevant components are discussed below:

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2496

Page 11: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

2.1 Fiber-to-chip light couplers

Scattering loss [7] due to fabrication imperfections and coupling losses represent two major sources for losses in nanophotonic waveguide systems. Utilizing precise fabrication tools, the scattering loss can be minimized to below 1dB [8]. Coupling loss remains to be the most dominant source in the system insertion loss. The severe modal field and effective index mismatch between the nanoscale silicon single mode waveguides and a conventional single mode fiber (SMF) with a mode-field diameter (MFD) of about 11μm, makes coupling light into and out of the high index contrast waveguides a non-trivial problem. A direct butt coupling between an SMF and a silicon waveguide induces typical coupling losses between 16- 20dB, making this method far from acceptable for practical devices. From the aspects of coupling efficiency, bandwidth, polarization sensitivity, and CMOS-compatibility, two approaches – the inverse taper approach and the grating coupler approach are widely popular.

Inverse tapers, which rely on adiabatically expanding the mode of the silicon waveguide, and simultaneously reducing the effective index to match with that of tapered fibers have been extensively investigated [9]. A schematic of an inverse taper is shown in Fig. 1(a).The single-stage inverse tapers by themselves are not able to provide efficient coupling into standard SMF, since the mode cannot be expanded sufficiently to match that of SMF. Hence, most single-stage inverse tapers have relied on utilizing low MFD (4.9μm) fibers, and using which coupling losses as low as 0.5dB has been achieved [10]. However, the challenges of reliably reproducing sub-100nm tips, controlling the precise distance between a lensed fiber and the tip, and the utilization of lensed fibers, makes this approach less attractive for high-density optical interconnect packaging. Modified approaches, usually involving the deposition of a low-index material overlay over the inverse taper [11–13], have demonstrated <1dB coupling loss to an SMF [14,15], however, with an added cost penalty due to increased complexity in the fabrication process. Still, the high bandwidth offered by these tapers, and their polarization independent operation justifies their use for communication network applications.

Fig. 1. (a) Schematic of an inverse taper. (b) Schematic of a shallow-etched grating coupler.

Grating couplers have been around for a long time [16,17]. They rely on the effective phase matching between the fiber mode and the guided mode in the silicon waveguide, and thus inherently suffer from smaller bandwidth operation. However, the utilization of low cost SMF and near surface-normal placement of fibers, enables in-line probing a device placed anywhere on the chip, a feature not achievable with the aforementioned edge-coupling options. A relatively straightforward grating coupler consists of through-etched trenches in silicon. However, severe Fresnel reflections at the air:silicon interface limits the coupling efficiency of even an optimized design to a theoretical maximum of about 49% with a 3dB bandwidth of 35nm [18]. It may be possible to back-fill the trenches with a high index material to reduce the problem, however the choice of such materials is very limited. Alternatively, shallow-etched grating couplers, in which the grating trenches are only etched

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2497

Page 12: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

few tens of nm from the top surface, have been developed to alleviate the reflection issue and improve coupling into the waveguides. A schematic of a shallow-etched grating coupler is shown in Fig. 1(b). Additionally, through apodization, high coupling efficiencies up to 70% have been achieved. Furthermore, methods to increase directionality such as utilizing embedding metal mirrors and using polysilicon overlay have been demonstrated to further improve the efficiency to over 80% <1dB [17, 19–21]. However, these techniques utilize multiple processing steps, thus providing no cost benefit over the inverse tapers.

A different kind of a through-etched grating coupler utilizing subwavelength artificial material has recently been gaining popularity [22]. In 2006, Cheben et al. proposed the use of such couplers for coupling light between large MFD fibers and nanophotonic waveguides [22]. Coupling efficiencies as large as 77% were theoretically estimated. Consequently, such grating couplers have been investigated and optimized by several research groups [23–27]. A typical subwavelength material is shown in Fig. 2.

By appropriately choosing the width (Wsub), length (Lsub), and pitch (Λsub), any refractive index (nsub) between that of silicon and the material filling the trenches can be obtained, according to [28] as:

2 2 2 2 2 2 2 2

2 2

2 ( ) 2TE : tan tan

2 2si TE si TE sub sub trench TE trench TE sub

si trench

n n n n W n n n n W

n n

π πλ λ

− − Λ − − − = −

(2.1)

2 2 2 22 2 2 22 ( ) 2

TM : tan tan2 2

si TM sub sub trench TM subsi TM trench TM

n n W n n Wn n n n

π πλ λ

− Λ − − − = − −

(2.2)Utilizing such an engineered material, Chen et al. initially reported a coupling efficiency

of 34% [24]. In [25], utilizing a 50% duty cycle, GΛ = 0.685μm and subn = 2.45, a 72% coupling efficiency to air was calculated using CAMFR [29] at an emission angle of 9.4°. For a TE polarized light, a 59% peak coupling efficiency [25] with a 3 dB bandwidth of 60 nm was experimentally obtained. SEM images of the fabricated coupler are shown in Figs. 2(c) and 2(d). Apodization techniques have been applied to SWG couplers, with a resulting efficiency demonstration up to 67% [27]. The efficiency can be further enhanced by either utilizing an SOI with an optimized BOX layer thickness, or by fabricating mirrors on the backside [26].

Equations (2.1) and (2.2) provide the flexibility in tuning the refractive index of the structure. Therefore, it should be possible to design structures that provide polarization splitting, as well as polarization insensitive operation. In [30], the authors reported a compact polarization splitter with an extinction ratio of 18dB by making use of different refractive indices of the structure in orthogonal directions. In [31], the authors utilized an optimized structure, which yielded 24dB isolation. Such components can help integrate several unique device architectures on a single chip. Polarization insensitive operation will further boost the data rates per unit area on the chip. Traditional grating designs usually rely on a single polarization state of light. The 2D geometry of subwavelength holes allows for unique design architectures that couple both polarizations from a fiber into a waveguide, and polarization independent operation has also been reported using such SWG couplers [32,33]. For example, in [33], a −3.2 dB coupling efficiency with:28 nm 1 dB bandwidth, and −4.3dB coupling efficiency with ~58nm 1dB bandwidth were achieved for TM and TE polarizations, respectively.

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2498

Page 13: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

Fig. 2. (a) Schematic of a subwavelength grating coupler. The refractive index of the material can be controlled by tuning the air trench width Wsub and the period Λsub of the subwavelength structure. (b) The equivalent conventional GC. The trenches are filled with SWN of refractive index nsub. (c) SEM images of the fabricated GC. Inset: the magnified view of the air trenches. (d) Cross section of the rectangular air holes [25].

The 1dB bandwidth of a grating coupler is given by [34]

1 1

cos( )1

cdB dB

eff

G

nn

θλ η λλ

−Δ =

∂−

Λ ∂

(2.3)

where nc is the top cladding refractive index (usually air), ΛG is the period of the grating, θ is the angle of the fiber relative to the surface normal of the chip, η1dB is a fiber related constant, and neff(λ)/dλ is the waveguide dispersion, which usually has a negative sign. The bandwidth can be increased by increasing ΛG and/or decreasing neff(λ)/dλ. The dispersion can be manipulated easily due to the subwavelength network [35–37], and 1dB bandwidth > 220nm has been reported [32].

2.2 Power dividers

Power dividers are integral optical interconnect components that distribute signals to different parts of the chip. Several architectures, including Y-junctions [38–40], directional couplers [41], adiabatic 3dB couplers [42–44], MMI-based structures [45], etc, have been demonstrated. Schematics of a few common types of power dividers are shown in Figs. 3(a)–3(c). Other exotic architectures based on 1xN ring resonator [46] and inverse tapers [47] have been proposed, however, the fabrication conditions need to be very tightly controlled in order

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2499

Page 14: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

to ensure their successful operation. Recently, the adiabatic 3dB splitter and MMI couplers have gained popularity due to their compact size, large bandwidth, and fabrication error tolerant operation [48]. Both of these couplers have also been designed to achieve polarization-independent operation for integrated circuits [49,50]. Considering an N-output splitter, where N is > 1, the latter provides a compact footprint and efficient utilization of the chip area, compared to the former that utilizes a cascaded 2x2 structure, thus suitable for large scale integrated circuits.

Fig. 3. Schematics of (a) a 1x2 Y-junction, (b) 2x2 adiabatic 3dB coupler, and (c) a 1x2 MMI.

A schematic diagram of a 1xN MMI power splitter is shown in Fig. 4. The multimode waveguide section consists of a WMMI wide and LMMI long core with refractive index nc. Assume that neff is the effective index of the fundamental mode of an infinite slab waveguide with same thickness and claddings. For each mode p (0≤p≤M) of a MMI, which can support (M + 1) modes, the dispersion relation is given as [51]

2

2 2

0

2 effp yp

nπβ κ

λ

+ =

(2.4)

where, βm is the propagation constant of the mth mode, λ0 is the free-space wavelength. Κxm is the lateral wavenumber of the mth mode given as κxm = π(m + 1)/We, where We is the effective width including the penetration depth due to the Goose-Hahnchen shift [52].

In the theory of self imaging, βm is approximated from Eq. (1) as [51]

0

( 2)

3m

m m

β β −≈ − (2.5)

where, Lπ = π/(β0-β1)≈4neff,1DWe2/3λ0. In the case of symmetric excitation, such as a 1xN

coupler excited by the fundamental mode of the input waveguide, using the approximation in Eq. (2), one can show that the required length for such a coupler is LMMI = 3iLπ/4N, where i is an integer. In general, as N increases, the output uniformity degrades. In [53], it was shown that for symmetric 1xN MMIs, the optimum access waveguide width (Ww,opt) achieving output uniformity and minimizing the insertion loss is given as

, 1/ 4

1

(2 )e

optc

WWw nN

λ= (2.6)

Based on the above discussion, a 1x12 MMI power splitter with <1dB variation across all the outputs was demonstrated [53].

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2500

Page 15: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

Fig. 4. (a) A schematic of a 1xN MMI power splitter. (b) SEM image of a 1x12 MMI power splitter. (c) IR-CCD image of 12 outputs [53].

In addition to uniform output power ratios, unequal and variable power splitting can also be achieved. Utilizing cascaded 2x2 MMI architecture, and introducing a phase shift between the two MMIs, it has been shown that it is possible to achieve any desired splitting ratio at the output, thus providing an additional degree of freedom in design of other components, such as power taps, filters [54–58].

2.3 Polarization splitters

Integrated polarization splitters (PS) are key optical interconnect components that enable the utilization of both polarization components on the same chip [59]. Grating based polarization splitters, as outlined in Section 2.1 and schematically shown in Fig. 5(a), can combine the functions of efficient light coupling and polarization splitting simultaneously [30, 31, 60–62]. For edge coupling schemes, however, a separate PS component is necessary. So far, directional coupler (DC) and MMI based polarization splitters have been widely investigated in the recent past [63–66]. Compared to the directional coupler structure, which requires precise control of a narrow coupling gap, the MMI architecture based on self-imaging principles are more compact footprint and fabrication tolerant [64, 66–68]. A fabrication-tolerant directional coupler based PS is proposed in [69], however, a long coupling length (>100μm) is required for fabrication-tolerant operation. MMI-based components, with dimensions <10μm, are more suitable for high-density optical interconnect applications. A schematic of a simple MMI-based polarization splitter is shown in Fig. 5(b). Such structures usually require a long MMI length in order to satisfy the imaging conditions to be suitable for splitting the two polarizations into the two different output ports. An ultra-compact sub-micron PS was demonstrated by Hosseini et al. [67]. A flare design was employed in order to achieve polarization splitting within one beat-length of the structure. A schematic of the PS is shown in Fig. 6(a). For this two-mode interference device, the input and output access waveguide width was Ww = WTMI/2, WTMI = 900nm, h = 230nm, θ = 7.4, and LTMI = 0.94μm). SEM image of a fabricated device is shown in Fig. 6(b). The fabricated 0.94 μm long 2 × 2 TMI demonstrated a splitting ratio >15 dB over 50 nm bandwidth. Fabrication tolerance analysis showed that the device was tolerant to fabrication errors as large as 60 nm.

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2501

Page 16: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

Fig. 5. (a) Schematic of a subwavelength structure based polarization splitter. The subwavelength structure directs the two orthogonal polarizations to different output ports. (b) Schematic of a simple MMI-based polarization splitter. The MMI length can be chosen such that the two polarizations are directed into different outputs.

Fig. 6. (a) A schematic of the polarization splitter, (b) SEM image of a fabricated device [67].

2.4 Waveguide crossings

Contrary to conventional electronic circuits that enjoy multilayer metal interconnection, photonic circuits are mostly planar due to cost and mode confinement reasons. Therefore, as the optical interconnect component density increases on a chip, waveguide crossings become inevitable. A simple crossing between two single mode silicon waveguides on SOI can lead to disastrous effects [70–72]. One optical interconnect layer can be equivalent to multiple layers of the electrical counterpart. This provides a viable approach to enhance the interconnect density per unit area.

Several approaches have been proposed to reduce the crossing loss and crosstalk, including polymer and silicon nitride waveguide vertical overlay on inverse tapers [73,74], subwavelength gratings [70], multimode-interference (MMI) [71, 75–77], Bloch waves [78,79], and adiabatic tapers [80,81]. While the vertical overlay approach, relying on mode expansion, provides about 0.02dB/crossing loss, the large size, complexity in fabrication and its associated high costs do not provide a very practical approach for a mostly planar circuit problem. The subwavelength grating relies on reducing the effective index close to the crossing, however, the long index tapers still do not provide a practical solution although loss <0.02dB/crossing has been reported [70]. The other approaches rely on self-imaging concept in MMIs along to either excite a forward propagating low-loss Bloch mode [78], or engineer an adiabatic mode convergence at that point of crossing [80,81]. Utilizing such designs,

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2502

Page 17: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

0.032dB and 0.027dB loss per crossing, respectively, have been demonstrated with crosstalk in excess of −35dB in both cases. In [79], a subwavelength structure was also incorporated around the crossing region in order to reduce the modal phase errors, and crossing loss as low as 0.019dB per crossing with crosstalk better than −40dB was achieved. SEM images of fabricated crossings are shown in Figs. 7(a) and 7(b). The duty cycle of the subwavelength structure was fixed at 0.5, and the parameters were chosen in order to achieve an effective index of 2.5 around the waveguide crossing. Figure 7(c) shows an array of 101x101 waveguide crossings. Such low-loss crossing will be beneficial components in high-density photonic integrated circuits.

Fig. 7. (a-b) SEM images of the fabricated waveguide crossings with subwavelength grating around the crossing area. A picture of a 101x101 waveguide crossing array. (d) Measured transmission spectrum from 101 crossing waveguides [79].

2.5 Optical filters

Optical filters are extensively used in optical interconnect systems, and find useful applications in signal processing, signal routing, signal monitoring, and wavelength division multiplexing (WDM). The most common types of filters demonstrated are resonance type, such as Arrayed waveguide gratings (AWG) [82–86], Fabry-Perot [87–89], ring resonators [90–99], photonic crystal microcavity [100–102], multimode interference (MMI) [103,104]. AWGs can provide a very large channel count and have been very popular. In [86], a 25GHz channel spacing, 512 × 512 arrayed waveguide grating router (AWGR) was demonstrated, with a measured crosstalk of −4dB. The device occupies an area of 16mm x 11mm. Microring resonators and microcavity designs can enable extremely compact structures. For example, in [101], utilizing an ultracompact cavity (modal volume of 0.6(λ/n)3) formed using a set of periodic holes on a waveguide, Q factors > 58,000 over a spectral range of 40nm were demonstrated. Recently, in [99], and 8-channel reconfigurable add/drop filters with channel spacing of 100GHz and 50GHz was demonstrated. In addition to the above SOI platform demonstrations, using a CMOS process, high Q (up to 60,000) photonic crystal microresonators have also been demonstrated using polysilicon [105], thus showing the

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2503

Page 18: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

feasibility of developing these components at extremely low cost and in large-scale in the future.

3. Active optical interconnect components

3.1 Optical switch

An optical switch has numerous applications, including add/drop multiplexing, by pass switching in the event of a network failure or network jam, packet switching, etc. Plasma-dispersion effect and thermo-optic effect are two predominant mechanisms used in silicon-based optical switching. The switches based on plasma-dispersion effect provide low-power operation with very fast (<10ns) switching speeds. However, the introduction of dopants induces additional propagation loss. Nevertheless, sub-mW switching power has been demonstrated with an MZI arm length of 4mm [106]. In [107], a 4x4 non-blocking switching network was demonstrated in an area of 300μmx1600μm, with an average power consumption <15mW and operating at 40Gbps. In [108], a 50μmx400μm 2x2 electro-optical switch was demonstrated. The switch exhibited 110nm optical bandwidth, 3.1mW power consumption, and <4ns switching time.

On the other hand, silicon has a very large thermo-optic (TO) coefficient (~1.8 x 10−4/K @1.55μm wavelength) [109], and thus, very compact, low-loss, and low-power switches based on MZI and ring resonator structures, schematically shown in Figs. 8(a) and 8(b), have been developed. Traditionally, a thick silicon dioxide spacer layer is utilized to avoid the optical mode to interact with the metal heaters placed directly over the waveguide [110–113].

Fig. 8. (a) Schematic of an MZI thermo-optic switch. (b) Schematic of a microring resonator based thermos-optic switch. The silicon device is separated from the heating electrode using a thick spacer.

Compared to ring resonator based devices, MZI devices offer a larger bandwidth, thus making them a popular choice for optical switching applications. However, the maximum extinction ratio achievable from the traditional 2x2 MZI-based structures is limited to about 20-25dB arising from small phase errors in the two arms, leading to non-zero power output in the OFF state. For example, in [114], a 4x4 non-blocking switch network was demonstrated with sub-microsecond switching, with ~200mW power consumption. However, the extinction ratio was only 21dB. Extinction ratios in excess of 35dB are required in order to minimize system penalty [115]. It has been demonstrated that by utilizing a double MZI structure, over 60dB extinction can be achieved [115]. However, the power consumption in the ON and the OFF states were as high as 1.06W and 0.134W, respectively. For the 8x8 configuration, a very high power of 16W was required. Recently, in [113], the authors demonstrate over 50dB extinction ratio using a double-MZI structure, with a reduced power consumption of ~40mW.

Although the above heater configuration is simple, the thick SiO2 spacer causes a few issues such as increased power for switching (>25mW), poor heat dissipation, and lower switching speeds due to diffusion time of heat through the spacer. In order to circumvent this

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2504

Page 19: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

problem, in [44,116], the authors integrated the heater in the same layer as the silicon switch via n-doping. By incorporating an adiabatic structure in a bending structure, the optical mode was separated from the doped resistive region. A compact (311μm x 14μm) 2x2 TO switch, with 12.7mW switching power and a response time of 5.4μs was demonstrated, with a measured extinction ratio limited to about 20dB. In [117], NiSi based heaters were integrated on a thin slab laterally connecting the waveguide, and a power consumption of 20mW, with a 2.8μs switching time was reported. In [118], a 61.6μm long phase shifter with p-type doped distributed heaters was incorporated within an MZI structure, and π phase shift was achieved at an electrical power consumption of ~25mW.

Since the late 1990’s, photonic crystal [119,120] based structures [120,121] have shown unique capabilities for TO switch development [122–131]. Additionally, slow-light effect in photonic crystal waveguide (PCW) based devices [132], can significantly increase light-matter interaction, thereby enabling compact TO switch structures. In 2007, Gu et al., demonstrated an 80μm long Mach-Zehnder Interferometer TO switch [125]. A schematic of the structure is shown in Fig. 9(a). A microscope image of the fabricated structure, and the SEM images of sections of the switch are shown in Figs. 9(b)-9(d). By incorporating a microheater adjacent to a PCW arm, 20μs average switching time, and 80mW power consumption was demonstrated.

Recently, in 2009, Beggs [123] et al., demonstrated an ultrashort 4.9μm long directional coupler based TO switch, with a response time of 20μs. In [133], a 10 μm x 10 μm photonic crystal switch was demonstrated, with 400 μs switching time, and a 30dB extinction ratio.

Fig. 9. Schematic, microscope and SEM images of a thermo-optically tuned photonic crystal silicon MZI switch. (a) Schematic of the MZI;(b)top-view microscope image of the MZI;(c)top-view SEM image of Y-junction;(d)enlarged top-view SEM of the active arm of the MZI [125].

3.2 Optical modulators

Optical modulators are perhaps the most fundamental active components in any optical interconnect based system. An extensive review on silicon optical modulators can be found in [134].

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2505

Page 20: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

Since silicon does not possess a linear electro-optic coefficient (Pockels effect), it is inherently a poor material for developing high-speed modulators. Although plasma-dispersion effect [4] is a weak index perturbation method, it provides fast-enough response for achieving high-speed operation [135]. Utilizing this effect, high-speed silicon modulators have received widespread attention. Intel demonstrated the first Gbps modulator in 2004-2005 [136,137] utilizing a MOS capacitor in a silicon rib-waveguide structure. The long arm length of 13mm, resulting from a weak overlap between the optical mode and the carrier injection region, is not practical for high-density optical interconnect applications. Later, micrometer dimension structures were shown possible in 2005 [138,139] through increasing mode confinement inside the carrier injection region. In [138], a 1.5Gbps operation in a 12μm diameter ring resonator based modulator was demonstrated. These structures utilized p-i-n configurations in carrier injection mode, which is inherently slower (limited by carrier recombination time) compared to the MOS structure [140]. Schematics of microring resonator and MZI-based modulator structures are shown in Figs. 10(a) and 10(b). In order to achieve >10Gbps, and up to 50Gbps operation, pre-emphasis of electrical signal is required [141–144] in order to compensate for the frequency response, since the frequency response of the forward –biased p-i-n structures rapidly degrades beyond about 1GHz (limited by carrier lifetime and carrier diffusion time). Extraction of carriers is a much faster process, with <2ps estimated fall/rise time [145], and consequently p-i-n and p-n junctions operating in reverse bias have been widely explored [146–150]. High-speed operation of about 50Gbps has been demonstrated [151–153]. Recently, 60Gbps operation was demonstrated by optimizing the doping profile in a 750μm long phase shifter [154]. A review of high-speed modulators based on reverse-bias operation is provided in [155]. Low power consumption of 3fJ/bit has been demonstrated. The VπL (figure-of-merit) of reverse biased p-n modulators is usually much higher than forward biased p-i-n modulators due to high doping concentration in the region overlapping with the optical mode.

Fig. 10. (a) Schematic of a simple microring modulator. (b) Schematic of a MZI modulator. A p-i-n configuration is shown for illustration purposes only.

Through the use of slow-light effect in PCWs, ultra-compact modulators beyond those achievable using the conventional designs mentioned above are possible. For example, in [139, 156], utilizing the extraordinary dispersion in a photonic crystal waveguide, 1GHz operation in an MZM was demonstrated with an arm length of 80μm. By optimizing the device structure, 2GHz operation was demonstrated in [157], with a very low VπL of 0.0464 V⋅mm. A picture showing the schematic layout of the modulator is shown in Fig. 11(a). Figures 11(b) and 11(c) show the SEM images of the PCW region.

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2506

Page 21: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

P+

N+

I

10μm

ElectrodeCr/Au

ElectrodeCr/Au

P+ N+

3.2μm

23.2μm

PCW

coup

ler

High

ng

PCW

5µm

Fig. 11. A schematic of photonic crystal MZI modulator; scanning electronic microscope images of the active arm of the modulator and the photonic crystal waveguide coupler are shown as insets [157].

Recently, Baba et al. [144, 158–160] demonstrated 10Gbps and 40Gbps operations in 50μm and 90μm long PCW devices, respectively. Operating in a band-shifting configuration, A. Opheij et al., demonstrated an ultra-compact 3um long modulator using optically pumped carrier injection method [161]. A 95 ps carrier decay time was estimated, with future projections leading up to 40Gbps through electrical injection methods.

Strained silicon has also been looked into as a potential candidate for developing optical modulators, since an asymmetric strain can induce Pockels effect [162,163]. This can potentially lead to the development of a linear EO modulator in silicon, thus avoiding deleterious effects of doping on loss. In 2011, Chmielak et al., demonstrated the first modulator based on strained silicon [164]. A silicon nitride layer was deposited on rib waveguide structure to generate an asymmetric strain. A published χ(2) of 122pm/V was demonstrated, which is about a third of that in LiNbO3 (~360pm/V). Through further optimizing the device structural parameters, χ(2) of 336pm/V was demonstrated, corresponding to an electro-optic coefficient of 2.2pm/V [165]. Further research into this effect and investigation of new device structures may lead to the development of low-loss, linear modulators.

SiGe electro-absorption modulators based on Franz-Keldysh effect have been gaining rapid significance over the last few years, owing to their small device foot print, ultra-low energy consumption, and CMOS compatibility [166–170]. In such modulators, the optical mode is evanescently coupled into a Ge absorption layer from a Si waveguide through a taper section. By controlling the optical absorption in Ge through an applied voltage, intensity modulation is achieved. In 2008, Liu et al., demonstrated the first waveguide-integrated modulator, with a device footprint of 30μm2 and an energy consumption of 50fJ/bit [166]. A 3-dB bandwidth of 1.2GHz was demonstrated. In Lim et al., monolithic integration of SiGe modulator with Ge photodiodes, and operation up to 1.25Gbps was demonstrated [170]. While these EA modulators provide compact footprints (<20μm2) compared to some other Si modulators based on p-i-n and p-n structures, the insertion loss even when no voltage is applied is larger due to the intrinsic GeSi absorption loss, leading to typical insertion loss

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2507

Page 22: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

values in the range of 5-10dB. The PCW modulators are comparable in footprint and operating speed, and do not need additional processing steps such as Ge epitaxial growth or deposition, however, they are intrinsically narrowband compared to EA modulators. We believe that over time, some of the abovementioned configurations will be standardized for specific applications. Furthermore, it would be noteworthy to mention that in the recent times, there has also been significant advances in the development of SiGe modulators on 3μm SOI platform [171,172]. The large cross section has shown modest performance for certain devices, and is an active area of research [171–174].

3.3 Photodetectors

Ironically, a material that is required to function as a low-loss waveguiding material, cannot simultaneously be used for photodetectors (PD). Hence, germanium or III-V detectors have either been directly developed or bonded on top of silicon waveguides [175–180]. Several discrete Ge-on-Si PDs have been demonstrated in spite of the difficulties in growing germanium on SOI [178, 181–185]. In [178], a 42GHz bandwidth Ge-on-Si PD was reported. Foundries have been increasing adopting the utilization of germanium growth in their process flow in order to integrate silicon based high-speed modulators together with Ge-based detectors on a single SOI platform [186–188]. A 25Gbps silicon modulator- germanium photodetector integrated circuit comprising of a Ge layer grown on top of SOI wafer utilizing CMOS compatible process was reported in [177].

It has been shown that by intentionally damaging the silicon lattice by ion implantation, mid band-gap energy levels are introduced which can enhance absorption of light in the telecommunication window [189]. Such ion-implanted photodetectors have been demonstrated with reasonable responsivities [189–192]. In photonics integration, care must be taken in order for the devices not to undergo any post-processing at temperatures exceeding 150°C since the defects tend to anneal out, causing the effect to fade away.

4. Complementary technologies on silicon platform

It is clear that silicon photonics has advanced by leaps and bounds over the last decade, and we can expect increasing number of integrated photonic chips in the near future entering the market. In this section, we will first review some of the parallel technology developments that can play an important role in shaping the future of silicon-based optical interconnects.

Although silicon based modulators have demonstrated high speed operation, achieving low-power, >100GHz operation will be very challenging based on current Si-only architectures. Electro-optic polymers have shown to possess very high electro-optic coefficient, and over 100GHz modulators have been demonstrated [193–195]. By incorporating such polymers, within silicon photonic structures, several interesting modulator devices have been realized [196–206]. In [204] a 42.7Gbps modulator was demonstrated utilizing silicon-organic hybrid structure. In [205] a modulator with a record low driving voltage of Vπ< 200 mV at 10 GHz was achieved. In [202], up to 84Gbps BPSK modulation was demonstrated. By combining the slow-light effect in photonic crystal waveguides, large confinement within a sub-wavelength slot, and the large EO coefficient of the polymers, ultra-compact modulators can be achieved [199,203,207]. In [200], utilizing such a modulator configuration, sub-volt operation and a VπL of 0.282V.mm was demonstrated, corresponding to a record-high effective in-device r33 of 1230pm/V. A 43GHz modulation speed was experimentally demonstrated [200]. SEM images of the fabricated device are shown in Figs. 12(a)–12(d). The measured modulation index as a function of frequency is shown in Fig. 12(e). Recently L. Alloatti et al., demonstrated a 100GHz modulator in an EO polymer filled silicon slot waveguide [208]. A backgate structure was utilized to improve the RC constant [204]. Such a silicon:organic hybrid technology holds tremendous potential for realizing ultra-high speed optical interconnect components in the future.

Silicon-hybrid plasmonic optical interconnects is another rapidly evolving technology. Due to the reduction of mode size much below the diffraction limit, extremely compact devices (sub-100nm dimensions) can be realized [209–213]. Several passive and active

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2508

Page 23: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

components have been proposed and demonstrated, including power splitters [214, 215] and polarization splitters/converters [216–221], microring resonators [222–225], modulators [226–231], switch [232]. A review on recent developments can be found in [233]. In [229], by combining a plasmonic waveguide with nonlinear polymer, a 29μm long 40Gbps phase modulator was demonstrated. Although plasmonics can achieve very dense integration, one of the main concerns is the high loss (~1-2dB/μm) associated with the waveguides. Active work is currently being pursued in exploring new materials, including copper, titanium nitride, etc for reducing the loss [224, 227, 243, 235], which may lead to a good compromise between loss and device size in the future.

Fig. 12. SEM images of fabricated device. (a) A tilted view of the symmetric MZI modulator with silicon slot PCWs at both arms. (b) A magnified image of the silicon slot PCW in one arm inside the gap of electrodes. (c) A top view of the slot PCW, showing the shifted lattices on the second and third rows of holes. (d) A cross-sectional view of the photonic crystals infiltrated with EO polymer. (e) Measured modulation index as a function of frequency up to 43GHz [200].

Graphene-Si photonics has also recently started gaining momentum, due to extraordinary electronic properties and 97% optical transparency [236]. Some of the explored applications

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2509

Page 24: Recent advances in silicon-based passive and active ... · Recent advances in silicon-based passive and active optical interconnects Harish Subbaraman,1,* Xiaochuan Xu, 1,2 Amir Hosseini,

of graphene on SOI platform include increasing grating coupler bandwidth [237], modulators [238], and photodetectors [239–242].

These and several other emerging technologies including Si3N4 devices on SOI platform [243–246], which we could not discuss due to the scope of this paper, are fully compatible with the various passive and active optical interconnect components and processing techniques. Thus, high-density integrated circuits with unique functions are capable, which cannot be achieved by SOI alone.

From the viewpoint of bandwidth density in purely SOI-based systems, the capacity of optical waveguides is determined by the waveguide geometry and index contrast between the waveguide core and the cladding. CMOS-compatible single mode silicon waveguides have submicron waveguide dimensions due to the high index contrast between silicon and its cladding material. Nevertheless, traditional silicon waveguide array is still designed with a pitch few wavelengths to avoid crosstalk, which greatly limits the integration density for parallel transmission. Multiple-input multiple-output (MIMO) technique, which has been extensively used in wireless communications for a long time, shows that it is possible to make use of the crosstalk to improve the system performance instead of avoiding it. The multiple transmitter and receiver configuration significantly improves system capacity and error probability over traditional single-input single-output systems (SISO) or single-input multiple-output (SIMO) systems. We numerically studied a 10 × 10 MIMO system with waveguide spacing of 250 nm and demonstrated the possibility of data transmission at 10 Gbps/channel from high density waveguide array with eminent crosstalk. The minimum input optical power for the BER of 10−12 can reach −18.1 dBm. The maximum tolerance of the phase shift between input laser and local oscillator can be as large as 73.5°. Compared to the conventional parallel waveguides with 2μm pitch, the bandwidth density can be improved from 5 to 13.33 Gbit/μm/s at 10 Gbps.

4. Concluding remarks

In this paper, we have attempted to review some of the recent advances in silicon-based passive and active optical interconnect components. We have limited our review to a few components from a vast base of available interconnect component library, due to the wide scope of the subject. Recent progress on some of the key silicon-based optical interconnect components, such as light couplers, power splitters, polarization splitters, optical filters, switches, modulators, and photodetectors are provided. Specifically, there has been a rapid boost in the active component development, owing to some key demonstrations in the early 2000s. Integrated systems with >25Gbps operation have been developed.

Alongside this positive trend, several newly emerging complementary technologies, such as plasmonic-based technology, Si:organic hybrid technology, graphene:Si hybrid technology, and Si3N4-on-Si-based technology, all promise to positively impact the interconnects industry.

Furthermore, the increasing utilization of conventional electronic foundries for developing photonic devices has been one single major advance that lends a lot of support to the photonics fraternity. Utilizing these mature CMOS processes, extremely low-cost silicon-based optoelectronic integrated circuits are expected to become a reality in the not-to-distant future. The technology seems to be heading in the right direction, and several closely related fields, such as nonlinear optics, on-chip chemical/biomedical sensing, will also benefit and grow accordingly.

Acknowledgments

The authors would like to thank all sponsors in the past twenty two years since Professor Ray Chen joined the University of Texas at Austin in 1992. These include DARPA, AFOSR/AFRL, ONR, ARO, NSA, MDA, NSF, NASA, DOE, and many private companies such as GE, Honeywell, Dell, 3M, Radiant Research, Omega Optics and others. Special thanks to Dr. Gernot Pomrenke for his support in silicon photonics through the MURI, STTR and SBIR programs.

#226814 - $15.00 USD Received 14 Nov 2014; revised 12 Jan 2015; accepted 14 Jan 2015; published 29 Jan 2015 (C) 2015 OSA 9 Feb 2015 | Vol. 23, No. 3 | DOI:10.1364/OE.23.002487 | OPTICS EXPRESS 2510