one stop soc solution by socionext - · pdf fileshareholders: development bank of japan(40%),...

28
Nov. 2015 Socionext Inc. Marketing Dept. One Stop SoC Solution By Socionext -- For Better Quality of Experience Confidential & Copyright 2015

Upload: lammien

Post on 25-Mar-2018

218 views

Category:

Documents


3 download

TRANSCRIPT

Nov. 2015 Socionext Inc. Marketing Dept.

One Stop SoC Solution By Socionext -- For Better Quality of Experience

Confidential & Copyright 2015

Agenda

1. New Socionext Company

2. ARM® Solution by Socionext

3. Socionext one stop SOC Solution

Confidential & Copyright 2015

About Us

Confidential & Copyright 2015

4

Basic corporate information

Company name: Socionext Inc.

Headquarters Address: 2-10 23 Shin-Yokohama, Kohoku-ku, Kanagawa, Yokohama

Capital: 30.2 billion JPY

Founded: March 1st, 2015

Description of Business: Design, development and sales of comprehensive

solution/services for SoC

Shareholders: Development Bank of Japan(40%), Fujitsu(40%), Panasonic(20%)

Number of Employees: Approx. 2,600 (As of March 1st, 2015)

Sales: 150 billion JPY* *sum of FY2013 sales revenue from SoC business at Fujitsu Semiconductor and Panasonic

Confidential & Copyright 2015

Global Network

Socionext America, Inc. ■Business Unit Office:Sunnyvale ○ Office:Nashville

Socionext Europe GmbH ■Business Unit Office:Maidenhead ○ Offices: Langen , Munchen, Braunschweig

Socionext Technology Pacific Asia Ltd. ○ Offices:Hong Kong, Taipei, Singapore

Socionext Technology(Shanghai) Co.,Ltd ○ Offices:Shanghai, Shenzen, Chengdu

Socionext Global Platform Inc. ○ Office:Taipei

Socionext Korea Ltd. ○ Office:Seoul

Europe

China Asia

The United States

Socionext Inc. ■Headquarter:Shin-Yokohama ■Business Unit Office:Kyoto ○Offices: Kozoji, Akiruno, Sendai, Osaka, Mie

Japan Socionext Embedded Software Austria GmbH ○ Office: Linz

5 Confidential & Copyright 2015

6

Our Solution Line Up

Confidential & Copyright 2015

・Graphics Display Controller

・Integrated HMI System ・Authoring Tool ”CGI Studio”

・360-degree wraparound view system “Omniview” ・WiGig module ・24GHz Radio wave sensor ・Tmm tuner for mobile

IoT and Graphics Solution

・Pro/PXs series ・SoC for DTV (FE/BE/HDMI) ・Automobile AV ・Audio IP

Visual System Network SoC

・Network custom SoC solution

High Performance SoC

・High Performance custom SoC solution

Custom SoC

Milbeaut

Connected Imaging

・Transcoder, Decoder ・HEVC solution ・Internet connection solution

・Image processing technology for wide range of digital camera; DSC, Mobile, Surveillance

camera

Business GroupⅠ Business GroupⅡ Business GroupⅢ

・Custom SoC Solution for consumer/industrial range. ・ARM-Platform solution ・Mobile Ultrasound solution “viewphii”

7

CORE TECHNOLOGY

NETWORK

Surveillance camera

View camera system for Driver assist

100G/400G/1T Optical transmission system

APPLICATION

Data center

Dashboard

Medical products

Image sensor etc…

DSC Camcorder

IMAGING

TV

Broadcast equipment

Audio

Millimeter wave band CMOS

RF/antenna design

SerDes (Ultra speed IF, Super low power

consumption)

Ultra speed AD/DA Converter (CMOS)

Proximity detection

2D Graphics

ISP(Milbeaut)

Multi-panel synchronized playback

Video texture 3D mapping

Adaptive super resolution

4K/60p HEVC Encoder core

Low power RF Design

High frequency module design

Large scale High speed LSI design technology

Storage media

From our core technology towards applications

Confidential & Copyright 2015

ARM Solution by Socionext

Confidential & Copyright 2015

9

ARM Solution by Socionext

Confidential & Copyright 2015

Common reference design covering

Low-end to High-end

Superior library & Implementation One-shot SoC Development

Trusted and Experienced

ARM SoC Design Support

10

Socionext ARM Platform – DesignKit

Confidential & Copyright 2015

Common reference design covering

Low-end to High-end

Superior library & Implementation One-shot SoC Development

Trusted and Experienced

ARM SoC Design Support

11

Design Kit

Confidential & Copyright 2015

Reference Design ARM core, ETM Design Simulation Model

ARM Peripheral IP Encrypted RTL/Netlist

Socionext Peripheral IP

Encrypted RTL/Netlist

Simulation

Environment

Test bench, Simulation execution script (for NC-verilog, VCS)

Sample software and Software compiler scripts (for RealView)

Documents User manual, Specification for each IP

ARM946, ARM926, ARM1176 based Reference Design

ARM Cortex®-M3, Cortex-M4 based Reference Design

Cortex-R4, Cortex-R7 based Reference Design

Cortex-A5, Cortex-A7, Cortex-A9 based Reference Design

Cortex-A17 based Reference Design

Available now

ARM core based Design Kit

Deliverables

Various Reference Design

Already verified design of ARM core-based system

You can focus on verification of customized area and shorten your system design period

(customization example : exchange interconnect, add user logic, remove unnecessary IPs)

12

Advanced Design Kit

Confidential & Copyright 2015

Advanced Design Kit

Reference Design Feature Warranty Mounted IP

Standard

(SNAP-DK)

Reference Design

(necessity minimum CPU sub system)

Connectivity

System Function

CPU, Peripherals

Advanced

(SNAP-ADK)

Performance guarantee

(SoC sub system)

Connectivity

System Function

System Performance

CPU, Peripherals,

Functional IPs

Advantage of Advanced Design Kit Frequently-used functional IPs are already implemented in the Reference Design

Optimal arrangement and High-Efficiency of Bus

Performance Suggestion (Application/Use case) Architecture Consultation Basic performance Guarantee

Cost Cost cut of SoC Design Improve the development

efficiency of System Proto-typing Kit

by applicability to many products

Quality Function & Performance verified Platform Reusable design as a part of your SoC

Short TAT Short TAT SoC development by using

System Proto-typing Kit

(system architecture deliberation,

prior software development)

13

Advanced Design Kit - Example

Confidential & Copyright 2015

Media Processing Block

High Speed IO

MB86S70 (Block diagram)

Conpute subsystem and Memory IF Block

CCI (Cache Coherent Interconnect)

ARM®

Cortex-A15 2.0GHz

ARM® Neon™

Cache 32k/32k

L2 Cache 1MB

GPGPU / 3D Engine

MaliTM-T624 Quad Core

ARM®

Cortex-A15 2.0GHz

ARM® Neon™

Cache 32k/32k

ARM®

Cortex-A7 800MHz

ARM® Neon™

Cache 32k/32k

ARM®

Cortex-A7 800MHz

ARM® Neon™

Cache 32k/32k

I2S

USB 3.0 Host

MIPI-LLI Gear3

Display MIPI DSI

Display HDMI TS

Video Processing Full HD Encode / 4k2k Decode

PCIe-Gen2 RC/EP

2D Display Engine

USB 2.0 HOST/Device

DDR3 Memory interface

L2 Cache 256kB L2 Cache 128kB

SDIO

Data Scrambler

System Control Block

Video Capture

ARM® Cortex-M3 Power CTRL

JTAG/TRACE

GPIO

GIGA Ether

WOL

UART

I2C

Quad SPI eMMC

NOR

NAND

14

Socionext ARM Platform - Prototyping

Confidential & Copyright 2015

Common reference design covering

Low-end to High-end

Superior library & Implementation One-shot SoC Development

Trusted and Experienced

ARM SoC Design Support

15

Prototyping Kit

Confidential & Copyright 2015

Consists of ARM core evaluation chip and FPGA

Utilizable for user Logic verification, Driver development and System evaluation before ES TapeOut

Short TAT for SoC development

Smooth transition from prototyping to SoC

One-shot SoC development

ARM CPU

System Bus

IP

ARM core Eva. chip FPGA

Bus

Extension

User

IP

Evaluation Board FPGA

Reference Design

Sample program

Simulation model,

Test bench

Documents

SNI’s original ARM-based SoC Prototyping Kit

Structure

Customer can construct FPGA evaluation environment quickly.

IP IP IP

Bus

Extension System Bus

User

IP JTAG

Deliverables

16

Prototyping Kit for Cortex-A9/R4F/M3

Confidential & Copyright 2015

ARM Cortex Eva. Chip

Board size:

260mm x 170mm X 50mm

Debug I/F

PCI Express Gen2

Root Complex

PCI Express Gen2Endpoint

<For Extension board>

<For External Master >

USB3.0 Function

SATA2 Gen2

SD Slot

GbE(10/100/100base-T) with NOE

<ARMONDE-A9:MBAC0300>

<JTAG/Trace>

Overview (Product name: MB8AC0300EVA)

17

Prototyping Kit for Cortex-A15/A7

Confidential & Copyright 2015

Overview (Product name: MB86S70EVB)

S70 EVB for Software Development

S70 EVB for IP Evaluation

For Software Development • Application & Driver development

• OS Porting

• ARM big.LITTLETM (Cortex-A15 & Cortex-A7)

For Hardware Evaluation

• CPUs performance

• High speed I/O

PCIE, MIPI-LLI, USB2/3

HDMI, MIPI-DSI, SDIO

• User Logic

18

Socionext ARM Platform - Hardening

Confidential & Copyright 2015

Common reference design covering

Low-end to High-end

Superior library & Implementation One-shot SoC Development

Trusted and Experienced

ARM SoC Design Support

19

Cortex HLB Roadmap

Confidential & Copyright 2015

~2013 2017 2016 2015 2014

Cortex-A15_Dual

28nm / 2.0GHz@OD

ARM core Lineup

Planning

Available

Cortex-A9_Sngle

55nm / 800MHz

Cortex-A9_Dual

40nm / 1.0GHz@OD

Cortex-A9_Quad

40nm / 1.0GHz@OD

Cortex-A7_Dual

28nm / 1.2GHz@OD

Cortex-A9_Dual

40nm / 1.2GHz@OD

Cortex-A7_Dual

28nm / 1.2GHz@OD

Cortex-A9_Dual

40nm / 1.2GHz@OD

Cortex-A15_Dual

28nm / 1.6GHz@OD

Cortex-A7_Quad

28nm / 800MHz@OD

Cortex-A7_Dual

28nm / 1.0GHz@OD

Cortex-A17_Dual

28nm / 1.6GHz@OD

Cortex-A53_Dual

28nm / 1.6GHz@OD

Cortex-A7_Dual

28nm / 1.6GHz@OD

obsolate

20

Socionext ARM Platform - Support

Confidential & Copyright 2015

Common reference design covering

Low-end to High-end

Superior library & Implementation One-shot SoC Development

Trusted and Experienced

ARM SoC Design Support

21

Trusted & Experienced SoC Design Support

Confidential & Copyright 2015

ARM7TM product Over 50 products

ARM9TM product Over 120 products

ARM11TM product Over 10 products

Cortex-M product Over 10 products

Cortex-R product Over 10 products

Cortex-A product Over 30 products

Technology 0.25um, 0.18um, 0.13um, 90nm, 65nm, 40nm, 28nm

Actual Performance of Design Support

SNI strongly supports your one-shot SoC development.

22

Application

MiddleWare

Operating

System

Device

Driver

SoC design

Socionext ARM Solution

Confidential & Copyright 2015

User Middle Ware

User Application

User-DRV

User-OS Linux,

iTRON

SNAP-DK

SNAP-ADK SNAP-HM

SNAP-SDK UserLogic

SNAP-DRV

SNAP-OS

SNAP-PK

One-Stop SOC Solution

Confidential & Copyright 2015

24

Provide flexible service from Design to Silicon.

Custom SOC Services

• Specification hand off to Silicon

• RTL hand off to Silicon

• Netlist hand off to Silicon

• IP customize and integration

• Several delivery style, package, wafer, design, etc

• FPGA to ASIC

• Subsystem design

• Prototyping environment

• PKG-system board Co-design service

• Extra qualifications

Flexibility helps customer to achieve faster development TAT, good quality and reducing project cost.

Confidential & Copyright 2015

25

Strong Eco System with Partner

EDA vendor

IP development/

Customize

Test integration

Foundry

Platform Design kit for SoC solution

Package PCB co-

design

Eco System

IP provider

So

cio

nex

t valu

e

OSAT

Design methodology

Fast TAT/Lower

power/Small Die Size

Yield

management

DFM design

Suitable select for each project, performance, quality, lead time, capacity and cost

Quality

Standard cell Library/Memory compiler

Confidential & Copyright 2015

26

Chip-package-PCB co-design

Chip-Package-PCB co-design works become important in system

confidence. Contribute to Optimization of performance, faster TAT

and Cost reduction

PLL DDR

PHY DQ

DQS

IBIS4.2

(SDRAM)

IBIS4.2

(SoC) Custom

Timing Model

Chip Package PCB SDRAM

Timing Model

(SDRAM spec.)

SDRAM spec. is described

with timing model format

SoC Model SDRAM Model PCB Data

Socionext Timing Model

Generation Tool

PHY Skew

(STA)

PKG X-talk

Delay variation

IO Delay

Correction value値

SSO Noise

Delay variation

IBIS4.2

HyperLynx Confidential & Copyright 2015

27 Confidential & Copyright 2015

Leading Quality

Leading quality with you We hone quality to match our customers'

needs and provide continuous QCD(Quality, Cost and Delivery)

service.