modification of silicon by self …

195
MODIFICATION OF SILICON BY SELF-ASSEMBLED MONOLAYERS FOR APPLICATION IN NANO-ELECTRONICS AND BIOLOGY BY MENG LI A dissertation submitted to the Graduate School—New Brunswick Rutgers, The State University of New Jersey in partial fulfillment of the requirements for the degree of Doctor of Philosophy Graduate Program in Physics and Astronomy Written under the direction of Prof. Yves J. Chabal and approved by New Brunswick, New Jersey October, 2007

Upload: others

Post on 23-Nov-2021

2 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: MODIFICATION OF SILICON BY SELF …

MODIFICATION OF SILICON BYSELF-ASSEMBLEDMONOLAYERS FOR

APPLICATION IN NANO-ELECTRONICS ANDBIOLOGY

BYMENG LI

A dissertation submitted to the

Graduate SchoolmdashNew Brunswick

Rutgers The State University of New Jersey

in partial fulfillment of the requirements

for the degree of

Doctor of Philosophy

Graduate Program in Physics and Astronomy

Written under the direction of

Prof Yves J Chabal

and approved by

New Brunswick New Jersey

October 2007

ii

ABSTRACT OF THE DISSERTATION

MODIFICATION OF SILICON BY SELF-ASSEMBLED MONOLAYERS

FOR APPLICATION IN NANOELECTRONICS AND BIOLOGY

By MENG LI

Dissertation Director Prof Yves J Chabal

Fourier Transform Infrared Spectroscopy (FTIR) is employed to investigate surface and

interface properties of several self-assembled monolayers (SAMs) systems on silicon and

applications in optimizing attachment of biomolecules and Atomic Layer Deposition

(ALD) of high-k metal oxides are explored The SAM systems include silane-based SAMs

on SiO2 and alkene-based SAMs (with different terminal groups) on H-terminated Si (111)

Modification of SiO2 by silane-based SAMs is presented first with emphasis on SAMSiO2

interfacial characteristics Spectral changes in the longitudinal-optical (LO) phonon mode

of the SiO2 substrate after modification with silane-based SAMs suggest the formation of a

dense cross-linked SAM chemically attached to the SiO2 substrate through Sisubstrate-O-Si

bonds A novel method is developed to prepare ndashNH2 terminated surface for optimized

biomolecules surface attachment using (3-Aminopropyl) triethoxysilane (APTES) and

hydrogen-terminated Si (111) It is demonstrated that APTES can form more stable

siloxane layers on hydrogen-terminated Si (111) without extra pre-hydration or

pre-oxidization of surface required by conventional silane-based methods

The formation structure and stability of alkene-based SAMs thermally grafted on H-

terminated silicon (111) via Si-C bond (Si-C SAMs) has been investigated by infrared

iii

spectroscopy The SAM with reactive terminal group (-COOH) shows higher thermal

stability than SAM with -CH3 termination The decomposition of alkyl chains at high

temperature is through β-hydride elimination with cleavage of Si-C bond The

alkene-based SAMs are further used as model systems to study reaction and nucleation

processes in ALD The ALD of aluminum oxide on SAM-functionalized silicon with

various terminal groups (-CH3 - NH2 -COOH and -OH) was systematically investigated

using in situ FTIR The results show that all Si-C bound SAMs with different terminal

groups efficiently eliminate the formation of unwanted interfacial silicon oxide during

ALD growth The results also show that aluminum oxide can grow on all terminations of

SAM surfaces but in a type-dependent manner forming more homogeneous films on the

-NH2 -COOH surfaces and very non-homogeneous films on -CH3-terminated surfaces due

to a lack of reactive nucleation sites at the starting CH3-terminated surface

iv

Acknowledgements

Foremost I would like to express my deep gratitude to my advisor Prof Yves Chabal

for providing me with the extraordinary opportunity to complete my PhD work at

Laboratory for Surface Modification at Rutgers Yvesrsquos continuous strong support and

guidance made my thesis work possible He has been always actively interested in my

work and has always been available to advise me I am very grateful for his patience

openness motivation enthusiasm and immense knowledge all these taken together make

him a great mentor What I have learned from Yves is far beyond the knowledge only

I would also thank Dr Bernie Yurke at Bell Laboratories for introducing me into the

wonderful world of DNA self-assembly which finally lead me to my initial PhD work

Bernie was very patient and always ready to help It was he who taught me how to start the

fundamental chemistry and biological lab work from the beginning We had worked

closely for three months and spent half of the summer at Caltech There were lots of funs I

still remember during our stay at Caltech we went out during the weekend to search for the

fossil in the California Mountain and got some pieces of stone from nature for our DNA

surface attachment experiments I would also like to thank Prof Eric Winfree at Caltech

for providing me the opportunity to work in his DNA computing algorithm group There I

got to know many interesting and enthusiastic people and learned lots from them A sincere

appreciation needs to go to my PhD committee members Prof Eric Garfunkel Prof Harry

Kojima Prof Larry Zamick and Prof Sobin Kim for their years-long support and valuable

suggestions

A journey is a lot easier when you travel together This thesis is the result of four years

of work whereby I have been accompanied and supported by many people It is a nice

opportunity that I have now to express my gratitude to all of them

I want to thank present and previous members of our lab Norman Lapin Melissa Stick

Min Dai Alexander Langner Chien-Lan Hsueh Ming-Tsung Ho Dr Sandrine Rivillon

Amy Dr Yu Wang Dr David Michalak and Dr Jean-Francois Veyan It was Norman

who first introduced me to Yvesrsquos lab in 2003 He helped a lot in setting up chemical and

biological equipment which make our life in the lab much easier and smoother Thanks to

v

Melissa for continuous sharing of lots of useful information and research resources with us

It is particularly valuable in an interdisciplinary lab Thanks to Min We worked closely on

the ALDSAM surface project during the last six months of my PhD research He helped

me to extend my research into the field of atomic layer deposition efficiently which was

great adventure and exploration I am grateful to Dr Sandrine Rivillon Amy who helped

me to become better chemical experimentalist in the lab and to Dr Jean-Francois Veyan

who provided me with lots of help to setup and maintain lab instruments from vacuum

chamber to air compressor Thanks to Nancy Pamula who made all administrative issues

during my stay at LSM very easy Thanks to my colleagues and friends in LSM and

Physics departments who made my life at Rutgers more colorful and enjoyable

I feel a deep sense of gratitude for my father and mother who formed part of my vision

and taught me the good things that really matter in life When I was a child my father

taught me all kinds of material which I could not learn enough from the school from

calligraphy music instrument and nature science The happy memory of my father still

provides a persistent inspiration for my journey in my life I have also my deepest gratitude

to my mother She has shouldered all the heavy burden of taking good care of two children

when my father passed away Without her great caring and strong endless support and love

it was impossible for me to get a high quality education and achieve my goals in life I

dedicate this work to both of them to honor their love patience and support during these

years I am very grateful to my wife Julia for her love and patience during the period of

study and to my sister Xin for helping my mother and the family

Last I would like to express my sincere gratitude to Dr Yan Xin who offers me the

precious opportunity to learn traditional advanced Chinese qigong - Yan Xin Qigong

Learning Yan Xin Qigong has accompanied me during my whole academic period since

1994 soon after I entered the Nanjing University at China It has maken my life much

healthier and more energetic and helped to handle any challenge on my way to seek a

higher goal of life and its true meaning

vi

Table of Contents

ABSTRACT OF THE DISSERTATION ii Acknowledgements iv Table of Contents vi List of Figures ix Chapter 1 Introduction to Silicon Surface Modification Using Self-Assembled

Monolayers 1 11 Introduction 1 12 Chemical Modification of Silicon Dioxide 4 13 Chemical Modification of Silicon (111) Surfaces 5 14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition 7 15 Summary 8 Bibliography 10

Chapter 2 Experimental Detail of Silicon Surface Modifications and Characterization

Technique ndash FTIR Spectroscopy 14 21 Introduction 14 22 Experimental 14

221 Materials 14 222 Sample Preparations 15

23 Instrumentation FTIR Spectroscopy 16 231 Molecular Excitations and Vibrations 16 232 Fourier Transform Infrared Absorbance Spectroscopy 17

24 Instrumentation Atomic Layer Deposition Set-up 23 Bibliography 25

Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study of Surface Bonding and

Interfacial Structure of alkyltrichlorosilane-based SAMs on Silicon Dioxide 26 31 Introduction 26 32 Experimental 28

321 Materials 28 322 Sample Preparations 29 323 Characterization 30

33 Results 30 331 TCS SAM on SiO2 30 332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2 39 333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2 (Chain Length Effects on Head group cross-linking Structures) 45

34 Discussion 51 35 Conclusion 58 Bibliography 59

vii

Chapter 4 Amino-Terminated Monolayer on H- Terminated Crystalline Silicon (111) By an Self Catalytic Reaction 62 41 Introduction 62 42 Experimental 63 43 Results 65

441 Amine-catalyze Oxidization of H-Si backbond and attachment of APTES 88 442 Limited Interfacial Oxidization 89 443 Stability of APTES layer and Binding Capability 89

45 Conclusion 91 Bibliography 92

Chapter 5 Alkylation of H-Terminated Silicon (111) and Thermal Stability of SAMs with

Different Functionalities 95 51 Introduction 95 52 Experimental 96

521 Materials 96 522 Preparation of Self Assembled Monolayers 96

53 Results 98 531 Preparation of Octadecylated Si (111) Surface 98 532 Preparation of COOH-Terminated Monolayer on Si(111) Surface via a Si-C bond 102 533 Chemical Stability Resistance of Organic Monolayer via a Si-C bond to HFNH4F 104 534 Thermal Stability of Octadecylated Si(111) 105 535 Thermal Stability of COOH-Terminated-Si (111) 109

54 Discussion 113 541 Alkyl Packing on the H-Si (111) surface (Theory and Model) 113 542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111) 113 543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)115

54 Conclusion 119 Bibliography 120

Chapter 6 Selective Atomic Layer Deposition (ALD) of Metal Oxide on SAMs with

Different Functional Groups 122 61 Introduction 122 62 Experimental 125

621 Materials 125 622 Preparation of Self Assembled Monolayers 125 623 Characterization of the Self-Assembled Monolayers 128 624 Atomic Layer Deposition Chamber Set Up UHV apparatus 128

63 Results 129 631 Preparation and Characterization of COOH- terminated SAMSi(111) (same as Chapter 5) 129 632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111) 129 633 ALD Growth of TMA on COOH-terminatedSi (111) 134

viii

634 ALD TMA on ndashOH terminated SAMSi (111) 142 635 ALD TMA on ndashNH2 terminated SAMSi(111) 148 636 ALD TMA on ndashCH3 Terminated SAMSi(111) 155 637 ALD Growth of TMA on SiO2 157

64 Discussion 160 641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM 160 642 Mechanism for ALD growth on ndashCH3 SAM 161 643 Initial Reaction Mechanism for ALD growth on ndashOH SAM 165 644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM 167 645 Comparing Initial Reaction of TMA on SAMs with different terminal group 169 646 Comparing the Nucleation and Al2O3 films grown on the different substrate 170

65 Conclusion 174 Bibliography 175

Chapter 7 Summary 178

71 General Conclusions 178 Bibliography 181

CURRICULUM VITAE 182

ix

List of Figures

Figure 11 A Diagram of Field Effect Transistor 3 Figure 21 Inside layout of FT-IR Spectrometer (Nicloet) 18 Figure 22 Interferogram of silicon sample 19 Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission Geometry 21 Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated Si(111) sample

22 Figure 25 FTIR Spectra of water Vapor and CO2 gas 23 Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission FTIR

spectroscopy set up24 Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with

Different Thickness 32 Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 33 Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon Mode of Oxidized

Silicon Substrate35 Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1 35 Figure 35 FTIR Spectra of Polymerized TCS film on SiO2 36 Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area of H-SiO3 and Peak

at 1200-1200 cm-138 Figure 37 Toluene Effect on Oxidize Silicon Substrate 40 Figure 38 Different TCS configuration on the Surface and polymerized film 40 Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode)43 Figure 310 Deconvolution of Si-O-Si absorption band of TCS films 44 Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2 46 Figure 312 A reaction between Monochlorine silane and SiO2 substrate46 Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes

with different chain length47 Figure 314 Sis-O-Si in different configuration Different group attached to Si 48 Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different Substrate 50 Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 52 Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

54 Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface 57 Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane62 Figure 42 p-maleimidophenyl isocyanate (PMPI)65 Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES 66 Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect 69 Figure 45 FTIR Spectra of Time Dependence Experiments70 Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and

without UV (284nm) Illumination72 Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time74 Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water

75 Figure 49 PMPI 24 hours on APTESH-Si(111) 77 Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c

Toluene only79 Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine81 Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or without water

83 Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I) 86

x

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II) 87 Figure 415 Possible Mechanism of APTES reacts with surface H-SiO388 Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)97 Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene 100 Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains 101 Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid103 Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution 106 Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure

N2 gas from 100 to 400 degC 107 Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated

Si(111) sample108 Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c111 Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111)112 Figure 510 Possible Reaction during Annealing 118 Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen

environment118 Figure 61 SAM Assisted Atomic Layer Deposition of Metal 124 Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM 128 Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to TMA for various time

130 Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900 cm-1 131 Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl solution treatment

133 Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111) 135 Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)138 Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on COOH-SAM H-Si(111)

post-annealed in ultra pure N2 gas from 300 to 600 degC 139 Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with COOH-SAM and

following 10 cycle TMAD2O growth and 600 degC annealing 140 Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM 144 Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)147 Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) 150 Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

151 Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method

(Method II) are verified by reacting with PMPI 152 Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) 154 Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111) 156 Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post

annealed from 300 to 600c 159 Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA

Growth on -CH3 SAM164 Figure 619 -COOH SAM terminated Si(111) 167 Figure 620 Possible absorbed TMA on ndashOH terminated Surface 168 Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM168 Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different

terminal groups171 Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group172 Figure 624 Comparison of ALD TMA on the surface with different termination group 173

1

1 Chapter 1 Introduction to Silicon Surface Modification

Using Self-Assembled Monolayers

11 Introduction

Silicon chips have been the backbone of modern electronic technology and computing for

several decades As the size of devices on silicon wafers scales down to sub-nanometer the

surface characteristics become dominant and play an increasingly crucial role in device

operation As the ratio of surface area-to-volume increases in smaller objects precise

control of interface properties is required in the many fields not only in microelectronics

but in other applications including sensors MEMSNEMS molecular electronics and

biologically active surfaces The conventional SiO2Si passivation widely used in

microelectronics solely is not able to fully meet the need of developments It becomes very

exciting field to integrate molecular scales devices solid state inorganic structure with

biological active interface

The conventional ldquotop-downrdquo fabrication technologies become more limited at such a

small scale and prohibitively expensive with impractically low throughput Alternatively

self-assembly an inspiration from the nature could provide a very promising solution to

building nanostructures from the ldquobottom uprdquo Self-Assembled Monolayers (SAMs) of

organic molecule are highly ordered two-dimensional structures on the surface and forms

spontaneously They have been widely used as surface modifiers for practical applications

and basic research since they offer a means of altering and controlling the chemical nature

of surfaces They also have a great potential to satisfy many requirements for a new

nanofabrication technology Self-assembly for instance could make it possible to produce

devices with nanometer precision (even in three dimensions) with parallel processing using

simple wet-chemistry or gas-phase technique for cost-effective manufacturing

SAMs have been widely used in the many areas [1] and particular useful in the

nanotechnology and biotechnology including wetting adhesion friction chemical

2

sensing nano-scale lithography surface patterning[2 3] molecular lubrication[4] and

corrosion prevention [5]

There are different SAM systems have been investigated The most common

adsorbatesubstrate combinations are sulfur-containing molecule on gold (forming Au-S

bonds) organosilanes such as alkylchlorosilanes and alkylalkoxysilanes on oxide surface

(forming Si-O-Si bonds) alkenes on hydrogen terminated silicon surface(forming Si-C

bonds) alcohols on H-terminated silicon surface (forming Si-O-C bonds) Although thiol

Au constitutes the most studied model system metal substrates are less useful or versatile

for fabricating electronics than semiconductor materials In this study we therefore focus

on self-assembly on silicon substrates a system with beneficial properties for future

applications such as fabrication of organic-inorganic hybrid devices biochips (protein

array and DNA array) and biosensor We consider attachment of alkoxysilane molecules

on oxidized silicon (SiO2) as an important model of SAMS on glass We then consider the

interaction of two important classes of molecules on H-terminated silicon surfaces first

amino-terminated SAMS (with ethoxy termination) such as APTES and chlorosilanes such

as octodecylchlorosilanes

Consideration of these systems is important for electronics Let us consider for instance a

conventional field effect transistor with a SiO2 gate oxide (Figure 11) It has been shown

that a dense packed organic monolayer alkyl chain with only a few nanometer thickness is

a very efficient insulating barrier [6] It has a high tunneling barrier (45 eV) for charge

carriers that reduces the tunneling current density at a negligible level (10ndash8 Acm2 at 4

MVcm) [7 8] In comparison the SiO2 insulating layer within equivalent nanometer

thickness range (lt3nm) has leakage current as large as 10-1-10-3 Acm2 [9] SAM-dielectric

organic transistors have been successfully demonstrated on silicon [7 10-12] and on

substrate of metal aluminum terminated with hydroxyl groups [13] The advantage of using

SAMs is not only that is reduces the leakage current but also that it allows an organic thin

film transistor to operate at low voltage which is intrinsic requirement for the device made

of organic semiconductor material [10] Furthermore the tailorable SAM surface can be

modified with methyl- carboxylic amine and hydroxyl group terminations with different

surface energy which can be used to control the carrier density in a device such as organic

3

field-effect transistors [14] SAMs are also widely used as gate dielectrics in fabricating

novel nanoeletronic devices such as carbon nanotube field effect transistors [15]

Figure 11 A Diagram of Field Effect Transistor

Determination of the electrical properties of the organic monolayers and their

interfaces is a key issue for both molecular and silicon nanoelectronics For this purpose

the presence of the 10ndash15 nm thick SiOx layer in the alkylsiloxane SAMs formed from

n-alkyltrichlorosilane on oxidized silicon surfaces precludes the study of a true

siliconorganicndashmonolayer interface The direct formation SAMs on H-Si by

hydrosilylation with alkenes allows direct measurement of SAM-Si interface [16 17] The

interface trap density obtained in the case of the silicon1-octadecene interface was more

than an order of magnitude lower than that obtained in the case of the alkyl chain

monolayer on the oxidized silicon surface [17]

The interaction of biomolecule such as DNA proteins or cells with semiconductors

such as silicon is of great interest for applications such as electronic biosensing To

achieve this silicon need to be properly functionalized by using SAMs with desired end

groups SAMs can be used to either enhance or inhibit adsorption of biomolecules The

SAMs can be also used to control the electronic properties of the surface For example a

single nanowire modified with amine-terminated SAMs is used to detect the pH change in

the solutions [18] It is also shown the direct electrical detection of DNA synthesis can be

done by applying SAMs[19]

4

12 Chemical Modification of Silicon Dioxide

Because silicon dioxide has been widely used in the microelectronics industry for decades

as a method to passivate silicon surface control surface electronic and chemical properties

and pattern surface the first interest of this work focuses on the modification of SiO2 with

SAMs The study of SAMSiO2 has grown rapidly since Sagiv and his co-workerrsquos

pioneered working on SAMSiO2[20] In general understanding silane-based SAMs are

believed to be bonded to SiO2 substrate through Si-O-Si bond and thus can be integrated

into current SiO2-based micronano electromechanical systems (MEMSNEMS) SAMs

also play important roles in fabricating novel hybrid organic-inorganic devices For

instance formation of the SAMs onto the SiO2 gate insulators is known to be a very

effective method to increase the field-effect mobility of organic thin film field

transistors[21]

In spite of wide applications of alkylsiloxane SAMs on SiO2 the actual mechanism of

monolayer formation on SiO2 remains a subject of debate Many studies have been carried

out to investigate the underlying mechanism but the results are often conflicting

In general picture the silane molecule first must be hydrolyzed to form reactive silanol

groups and further they condense with surface hydroxyl groups [22] to form Sis-O-Si bond

or with other hydrolyzed silane molecule to form Si-O-Si cross-linking Unlike SAMs of

alkanethiols and fatty acids in which the ultimate film structure is determined by

substrate-molecule and van der Waals chain-chain interactions the reactive head-groups in

organosilanes introduce potential lateral film growth mechanisms at substrates Formation

of head group cross-linking is very sensitive to environment such as water content

temperature [23] [24] The competition between head group cross-linking and surface

bond formation leads to poor reproducibility and inconsistent results

It is also argued that cross-linking and thin adsorbed water layer are keys to form high

quality SAMs but the bond between the chains and substrate is not necessary [25] [26]

The earlier IR study suggests few Sis-O-Si forms if there is any[27] In addition to the

existing debate on the formation of interface Sis-O-Si bond the growth dynamics of SAMs

whether it is uniform growth or island growth is also under the debate Overall results

suggest that it depends on water content [28 29] and self-assembly process strongly favors

5

island-type growth with increasing water content However the island-growth is still found

in the condition of absence of traces of water in solution [30]

Amine-terminated surface is very useful in the biological applications The important

applications of APTES-modified surface include promotion of adhesion and

immobilization of membrane and biological molecule physically or chemically Aminated

surface is also used for directing growth of the nanoparticles and nanorodes [31] [32] on

the surface One of widely used method is using aminosilane (APTES) on SiO2 substrate

However compared to the other alkylsilaneSiO2 the ndashNH2 functional group introduces

more complexity into already complicated SilaneSiO2 system The interaction between

NH2 group and surface hydroxyl groups or silanol groups makes it even more difficult to

form ordered layers NH2 group acts like build-in catalyst for the reaction of alkoxy [33]

[34] and chlorosilane [35 36] It can efficiently catalyze the formation of Si-O-Si bond and

exaggerate the self-polymerization Even worse APTES-modified SiO2 is found to be

unstable in the aqueous solution and can be easily removed from the surface This problem

greatly hinders its applications in the biological application where most of reactions are

conducted in the water-soluble solution

13 Chemical Modification of Silicon (111) Surfaces

Hydrogen passivated silicon has been the starting point for many important applications in

which the oxide is not desirable due to its insulting barriers and high density of electronic

defects at the interface H-terminated crystalline silicon (111) is particularly interesting

because of its unique characteristics H-Si(111) surface is atomic flat over large area (over

hundred Angstroms) and has very well defined surface structure with three of the Si atom

tetrahedral bond tied up with bulk silicon and one Si which is normal to surface terminated

with hydrogen atom It is least sterically hindered surface available on Si crystal that can be

easily prepared by wet chemical etching in aqueous hydrofluoride and ammonium fluoride

solutions[37] H-Si(111) is stable and can be easily manipulated in the air or organic

solvent without using expensive vacuum system Finally the reactivity of H-Si toward

organic materials and the well understood interface makes it great candidate for potential

molecular-semiconductor devices or chemical biosensor

6

Even though H-terminated silicon (111) is relatively stable in pure O2 or pure water

environments an oxide layer quickly forms upon exposure to ambient environment

Consequently the oxidization reduces its electrical properties and compromises the device

performance One promising technique to overcome this oxidization problem is to form

molecular monolayers with stable surface Si-C bond

Covalently attached organic monolayers on oxide-free silicon surface get increasing

attention because of their structural order stability technique usefulness and

controllability It can be easily prepared by a reaction between 1-alkene and a hydrogen

terminated silicon surface thermally [38-40] photo-chemically[41] by employing

transition metal catalysis[42] or by Lewis acid catalyzed reactions[43 44] It also has been

accomplished using alkyl Grinard and alkyl lithium reagents in a two-step process [45-47]

The structure of monolayers formed on silicon by hydrosilylation of 1-alkenes and

1-alkynes has been studied by FTIR contact angle experiments X-ray analysis [39 48

49] impedance spectroscopy[50 51] and a variety of theoretical techniques including

molecular mechanics[52] molecular dynamics[53] and density functional computations

[52 54-57]

Two mechanisms have been proposed for hydrosilylation of H-terminated silicon

Linford suggested radical chain mechanism [38 39] A surface silyl radical is formed from

UV or thermal activation attacks the unsaturated bonds (C=C) of 1-alkenen to form Si-C

bond with formation of a new radical center on the carbon atom The carbon centered

radical of chain abstracts nearestndashneighbor H atom forming a new silyl radical and

initiating the free-radical chains reaction An alternative mechanism involving formation

of electron-hole pairs has been proposed by Buriak et al They investigated hydrosilylation

promoted with white light and suggested an unbound exciton produced by light absorption

that leads to a surface-localized supra-band gap positive charge This surface charge can

then interact with alkenes and form a silylated β-carbocation upon Si-C bond formation

This carbocation can then abstract a hydride from an adjacent Si-H bond yielding the

neutral organic termination [58]

A great interest is on how alkyl chains pack on the Si(111) surface In a simple steric

model of alkylated surface the inter-nuclear distance between adjacent atop sites on the

Si(111) crystal face is 38 Aring and a methyl group with a van der Waals diameter 25 Aring is

7

small enough to replace every H atom and link to every Si atom reaching 100 coverage

However alkyl chain with chain length longer than two carbons has van der Waals

diameter 45- 50 Aring implying that it cannot fit to every Si site because of steric restriction

A theoretical model study on alkyl packing has shown the maximum coverage for long

n-alkyl chains on Si(111) is 50-55 [52]

This work concerns with thermal hydro-silylation of H-Si(111) with a linear

1-alkene1-undecenic acid and its derivatives

14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition

SAM modified silicon substrate can be integrated into other nanoelectronics applications

because it can effectively control the surface properties One application is the application

of deposition of ultra-thin inorganic material (high-k) on the top of SAM modified surface

Atomic Layer deposition (ALD) is a thin film growth technique based on a sequential

self-limited surface reaction It has recently received considerable attention as a method for

depositing high quality thin films of insulators semiconductors and metals with

sub-nanometer control of growth process The surface-reaction-controlled binary

deposition mechanism ensures high conformal growth with precise control of the film

thickness and uniformity over large area

The ALD growth and film quality are sensitive to the starting surface The nucleation

and the interface formation are greatly affected by the surface functional group and

reactivity with ALD precursors Usually hydroxyl group -OH on SiO2 is mostly used By

controlling the surface functional group ALD process can be manipulated for

area-selective ALD growth[59 60] a controllable nucleation

Scaling down integrated circuit require to replace the SiO2 gate dielectric (dietetic ~ 34)

in metal-oxide-semiconductor field effect transistor (MOSFET) with high-k dielectrics in

order to reduce the required effective oxide thickness (EOT) without considerable leakage

Among the stable oxide Al2O3 (k~7) and HfO2 (k~25) are very promising candidates with

high dielectric constant so that have been studies intensively on different substrate such as

8

SiO2 porous silicon and H- terminated crystal silicon The popular combination includes

trimethylaluminum (TMA) and water for Al2O3 and TEMAH and water for HfO2 growth

To fully take advantage of using high-k material ALD deposition requires to use

oxide-free H terminated silicon as starting surface to obtain atomically sharp Sihigh-k

interface The existences of SiO2 will significantly compromise the benefits of using

high-k dielectrics by reducing the effective gate stack capacitance

The usage of HSi as a substrate for high-k material such as HfO2 and Al2O3 deposition

although very promising has been found to have the following problems 1) low reactivity

HSi has remarkable resistance to oxidization however it also causes the poor nucleation

characteristics of many ALD-grown high-k films resulting in nonlinear growth kinetics

and formation of discontinuous and electrically leaking gate stacks 2) interfacial SiO2

formation It is found that interfacial SiO2 is unavoidably formed during ALD growth as a

side-reaction [61] [62] The method to increase nucleation and promote linear growth by

using more reactive precursor such as replacing water with Ozone has been found increase

interfacial SiO2 formation more So to have a surface with enough reactivity toward ALD

precursor while remaining resistance to unwanted oxidizations is important to solve the

obstacle mentioned above

In this thesis we use self-assembled monolayers formed from hydrosylation of

H-Si(111) as starting substrate and use Al2O3 as an example to demonstrate SAM assisted

ALD deposition of high-k dialectics on oxide-free surface The Alkyl-SAM covalently

bond to Si substrate through Si-C bond is extremely stable and will not be oxidized in the

ambient environment up to months or under the current ALD growth condition Meanwhile

the tailorable head groups of SAM provide a neat way to study and control (either promote

or inhibit) nucleation of ALD process We research into high-k precursor adsorption and

initial ALD growth on SAM with different chemical functional groups (-OH -COOH

-NH2 -CH3) using in-situ infrared spectroscopy In addition our study also provides

deeper understanding on ALD on polymers surface

15 Summary

9

The work presented here addresses several important issuers existing in current two most

popular silicon substrate-based self-assembled monolayers systems alkylsilaneSiO2 and

alkenesH-Si(111) by using powerful FTIR spectroscopic technique We first discuss the

chemical modification of SiO2 via Si-O-Si bond through formation of siloxane monolayers

and chemical modification of oxide-free crystal Si surface by Si-C bond through one-step

thermal alkylation process using alkenes

In silaneSiO2 system particular emphasis is placed on identifying IR spectral

signature at the SAMSiO2 interface This is first time that the spectral changes of SiO2

substrate is observed after SAM modification implying Sis-O-Si formation between silane

and substrate which is described in Chapter 3 In Chapter 4 we discuss a specific example

of silane-based new method to prepare stable -NH2 terminated surface on H-Si(111)

surface without required ndashOH surface groups The new methods different from either

conventional SilaneSiO2 system or alkeneH-Si(111) system overcomes some

disadvantages in current widely used methods

Chapter 5 discusses functionalization of H-Si(111) using 1-alkene (-CH3) and

1-undecylnic acid (-COOH) and its thermal stability by using is-situ FTIR technique In

Chapter 6 we first discuss the preparation of Si-C SAM with other two types of chemical

functional groups (-OH -NH2) and atomic layer deposition of high-k metal oxide (Al2O3)

on different functional surface is described and compared in the second part of Chapter 6

Finally a general conclusion of current understanding of the mechanism of

SilaneSAM and atomic layer deposition of metal oxide on alkylated Si(111) with different

functional groups is summarized in Chapter 7

10

Bibliography

1 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev

1996 96(4) p 1533-1554 2 Finnie KR R Haasch and RG Nuzzo Formation and Patterning of

Self-Assembled Monolayers Derived from Long-Chain Organosilicon Amphiphiles and Their Use as Templates in Materials Microfabrication Langmuir 2000 16(17) p 6968-6976

3 Zheng J et al Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon Langmuir 2000 16(10) p 4409-4412

4 V V Tsukruk Molecular Lubricants and Glues for Micro- and Nanodevices Advanced Materials 2001 13(2) p 95-108

5 Jennings GK et al Effect of Chain Length on the Protection of Copper by n-Alkanethiols Langmuir 1998 14(21) p 6130-6139

6 Boulas C et al Suppression of Charge Carrier Tunneling through Organic Self-Assembled Monolayers Physical Review Letters 1996 76(25) p 4797 LP - 4800

7 Collet J et al Low-voltage 30 nm channel length organic transistors with a self-assembled monolayer as gate insulating films Applied Physics Letters 2000 76(14) p 1941-1943

8 Vuillaume D et al Organic insulating films of nanometer thicknesses Applied Physics Letters 1996 69(11) p 1646-1648

9 Lo S-H et al Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFETs Electron Device Letters IEEE 1997 18(5) p 209-211

10 Halik M et al Low-voltage organic transistors with an amorphous molecular gate dielectric 2004 431(7011) p 963-966

11 Park YD et al Low-voltage polymer thin-film transistors with a self-assembled monolayer as the gate dielectric Applied Physics Letters 2005 87(24) p 243509

12 Yoon MH A Facchetti and TJ Marks sigma-pi molecular dielectric multilayers for low-voltage organic thin-film transistors Proceedings of the National Academy of Sciences of the United States of America 2005 102(13) p 4678-4682

13 Klauk H et al Ultralow-power organic complementary circuits 2007 445(7129) p 745-748

14 Kobayashi S et al Control of carrier density by self-assembled monolayers in organic field-effect transistors 2004 3(5) p 317-322

15 Weitz RT et al High-performance carbon nanotube field effect transistors with a thin gate dielectric based on a self-assembled monolayer Nano Letters 2007 7(1) p 22-27

16 Miramond C and D Vuillaume 1-octadecene monolayers on Si(111) hydrogen-terminated surfaces Effect of substrate doping Journal of Applied Physics 2004 96(3) p 1529-1536

11

17 Kar S C Miramond and D Vuillaume Properties of electronic traps at silicon1-octadecene interfaces Applied Physics Letters 2001 78(9) p 1288-1290

18 Cui Y et al Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species

101126science1062711 Science 2001 293(5533) p 1289-1292 19 Pourmand N et al Direct electrical detection of DNA synthesis 101073pnas0601184103 PNAS 2006 103(17) p 6466-6470 20 Netzer L and J Sagiv A new approach to construction of artificial monolayer

assemblies J Am Chem Soc 1983 105(3) p 674-676 21 Lin YY et al Stacked pentacene layer organic thin-film transistors with

improved characteristics Ieee Electron Device Letters 1997 18(12) p 606-608 22 Zhuravlev LT Concentration of hydroxyl groups on the surface of amorphous

silicas Langmuir 1987 3(3) p 316-318 23 Parikh AN et al Correlation of Molecular-Organization and Substrate

Wettability in the Self-Assembly of N-Alkylsiloxane Monolayers Journal of Physical Chemistry 1995 99(24) p 9996-10008

24 Glaser A et al Investigation of the role of the interplay between water and temperature on the growth of alkylsiloxane submonolayers on silicon Langmuir 2004 20(13) p 5599-5604

25 Finklea HO et al Formation of an organized monolayer by solution adsorption of octadecyltrichlorosilane on gold electrochemical properties and structural characterization Langmuir 1986 2(2) p 239-244

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

28 Vallant T et al Formation of self-assembled octadecylsiloxane monolayers on mica and silicon surfaces studied by atomic force microscopy and infrared spectroscopy Journal of Physical Chemistry B 1998 102(37) p 7190-7197

29 Vallant T et al Investigation of the formation and structure of self-assembled alkylsiloxane monolayers on silicon using in situ attenuated total reflection infrared spectroscopy Langmuir 1999 15(16) p 5339-5346

30 Wang MJ et al Self-assembled silane monolayers Fabrication with nanoscale uniformity Langmuir 2005 21(5) p 1848-1857

31 Taub N O Krichevski and G Markovich Growth of Gold Nanorods on Surfaces J Phys Chem B 2003 107(42) p 11579-11582

32 Mieszawska AJ GW Slawinski and FP Zamborini Directing the growth of highly aligned gold nanorods through a surface chemical amidation reaction Journal of the American Chemical Society 2006 128(17) p 5622-5623

33 Blitz JP RSS Murthy and DE Leyden Ammonia-catalyzed silylation reactions of Cab-O-Sil with methoxymethylsilanes J Am Chem Soc 1987 109(23) p 7141-7145

12

34 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

35 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

36 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

37 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

38 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

39 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

40 Sieval AB et al An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces Langmuir 1999 15(23) p 8288-8291

41 Terry J et al Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift scanned-energy photoelectron diffraction Applied Physics Letters 1997 71(8) p 1056-1058

42 Holland JM et al Metal Mediated Reactions on Porous Silicon Surfaces Journal of Solid State Chemistry 147 p 251-258

43 Buriak JM and MJ Allen Lewis Acid Mediated Functionalization of Porous Silicon with Substituted Alkenes and Alkynes J Am Chem Soc 1998 120(6) p 1339-1340

44 Ohyama H et al Photoluminescence of porous silicon surfaces stabilized through Lewis acid mediated hydrosilylation Journal of Luminescence 80 p 29-35

45 Bansal A et al Alkylation of Si Surfaces Using a Two-Step HalogenationGrignard Route J Am Chem Soc 1996 118(30) p 7225-7226

46 Bansal A et al Spectroscopic Studies of the Modification of Crystalline Si(111) Surfaces with Covalently-Attached Alkyl Chains Using a ChlorinationAlkylation Method J Phys Chem B 2001 105(42) p 10266-10277

47 Webb LJ and NS Lewis Comparison of the Electrical Properties and Chemical Stability of Crystalline Silicon(111) Surfaces Alkylated Using Grignard Reagents or Olefins with Lewis Acid Catalysts J Phys Chem B 2003 107(23) p 5404-5412

48 Fidelis A F Ozanam and J-N Chazalviel Fully methylated atomically flat (111) silicon surface Surface Science 2000 444(1-3) p L7-L10

49 MP Stewart EGR TW Geders MJ Allen H Cheul Choi JM Buriak Three Methods for Stabilization and Functionalization of Porous Silicon Surfaces via Hydrosilylation and Electrografting Reactions physica status solidi (a) 2000 182(1) p 109-115

50 Allongue P et al Organic monolayers on Si(111) by electrochemical method Electrochimica Acta 1998 43(19-20) p 2791-2798

51 Yu H-Z et al Molecularly Tunable Organic Capacitors at SiliconAqueous Electrolyte Interfaces1 J Phys Chem B 2000 104(47) p 11157-11161

13

52 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

53 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

54 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

55 Kruse P et al Patterning of Vinylferrocene on H-Si(100) via Self-Directed Growth of Molecular Lines and STM-Induced Decomposition Nano Lett 2002 2(8) p 807-810

56 Kang JK and CB Musgrave A quantum chemical study of the self-directed growth mechanism of styrene and propylene molecular nanowires on the silicon (100) 2 x 1 surface The Journal of Chemical Physics 2002 116(22) p 9907-9913

57 Pei Y J Ma and Y Jiang Formation Mechanisms and Packing Structures of Alkoxyl and Alkyl Monolayers on Si(111) Theoretical Studies with Quantum Chemistry and Molecular Simulation Models Langmuir 2003 19(18) p 7652-7661

58 Buriak JM and MP Stewart Exciton-Mediated Hydrosilylation on Photoluminescent Nanocrystalline Silicon J Am Chem Soc 2001 123 p 7821-7830

59 Chen R et al Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Applied Physics Letters 2005 86(19)

60 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

61 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

62 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

14

2 Chapter 2 Experimental Detail of Silicon Surface

Modifications and Characterization Technique ndash FTIR

Spectroscopy

21 Introduction

Two classes of methods are applied to silicon surface modification based on starting silicon

surface For silicon dioxide surface organicsilane-based silanization was applied For

hydrogen terminated silicon (111) surface a thermal alkylation method adapted from

Chidsay et al was used[1 2]

22 Experimental

221 Materials

Float-Zone grown Si(100) from Silicon Valley Microelectronic (San jose CA) with thin

oxide ( ~ 60 Aring) is used for silicon oxide based silanization The native oxide was etched

away by diluted HF solution and re-oxidized in hot piranha solution It provides a dense

and uniform thin oxide layer with thickness 15-20 Aring

Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was

used for preparing hydrogen terminated surface The silicon wafer was doped with p to a

resistivity gt 30 Ω cm and polished on both sides to a thickness of 450 μm Si(111) samples

are cut to a size of 15 x 38 cm in order to fit into homemade sample holder for ALD

chamber

All solvents used in silanization and alkylation reaction were anhydrous (for detailed

information see the specific chapters respectively) stored under nitrogen-purged glove box

and used as received from Sigma-Aldrich Corp All chemicals were used as received

except where noted The deionized water with resistivity of gt182 MΩ cm obtained from a

Barnstead Nanopure system (Dubuque IA) was used at all time

15

222 Sample Preparations

2221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in a

hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yeild high quality oxide with high density of surface

hydroxyl group In addition the thickness of oxide can be appropriately controlled by

varying the time of dipping in the piranha solution

2222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into either N2 purged FTIR spectrometer

chamber for taking reference spectra or N2 purged glove box where all further chemical

functionalizations were conducted

16

2223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used for surface modification It is well

known that silanization process is very sensitive to many parameters such as water content

humidity glassware history resulting in the lack of reproducibility The experiments

reported in this work has been carried in N2 purged glove box with extreme care However

some parameters are still hardly possible to be controlled For example the mount of thin

water layer physically absorbed on the hydroxyl surface depends on the environmental

humidity In our experimental condition there was no chance to remove them or control

them The freshly cleaned silicon oxide sample was immediately introduced into the N2

glove-box after taking reference spectra The 01 (vv) silane solution (OTS BTCS TCS

APTES) is freshly prepared by pipetting 20 μL neat silane liquid into 20 mL anhydrous

toluene The sample was sitting inside the 01 APTES solution for a desired time and

rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried in

N2 (g) flow and transformed into FTIR chamber for measurement

2224 Thermal Alkaylation of H-Si(111) Surface

Neat alkene (ocatadecene (C18) (98) ) was pre-treated with 3Aring molecular sieve for

overnight and then N2 (g) bubbling for at least 1 hour right before the reaction It is very

important to have N2 bubbling for sufficient time period in order to get high quality SAM

without oxidizing the silicon surface After transferring the ocatadecene liquid into the

three-necked flask loading H-Si(111) sample and assembling the condenser tube in the N2

glove box the whole set was sealed and moved out from N2 glove box to a regular chmical

hood The octadecene was then continuously purged with N2 (g) for additional 30 min

before heating it up to reaction temperature of 200 degC in a silicon oil bath

23 Instrumentation FTIR Spectroscopy

231 Molecular Excitations and Vibrations

Not all molecular vibrations absorb infrared radiation It depends on the dipole moment of

the bond The electric field of incoming infrared beam alternately stretches and compresses

a polar bond If this alternate stretching and compressing of the bond occurs at the natural

17

frequency of vibration of bond energy may be absorbed This kind of vibration of bond

with dipole moments generally results in IR absorption (IR active) When the bond has

symmetric distribution and zero dipole moment the external electric field does not interact

with it As a consequence no IR absorption can be observed (IR inactive) However this

bond could still possibly be seen in IR spectrum whenever its symmetric becomes

non-symmetric for the part of time because of the effect from environments

232 Fourier Transform Infrared Absorbance Spectroscopy

Infrared Spectroscopy is powerful tool used for material analysis for over seventy years It

meansures the frequencies of infrared light absorbed by a compound Unlike conventional

dispersive IR where each wavelength of radiation is scanned individually and requires a

considerable amount of time an FTIR spectrum contains all frequencies scanned at the

same time (all included in the interferogram) The infrared spectrum represents a

fingerprint of a sample with absorption peaks which corresponds to the frequencies of

vibrations between the bonds of the atoms that make up the material Furthermore FTIR

offers high signal-to-noise ratio and can be used to detect even monolayer molecule on the

surface

In the surface science FTIR technique is particular useful tool for investigating the

surface-bound species and interface bonding of a chemically modified surface It provides

a non-destructive method to probe the sample surface and interface High sensitivity allows

FTIR to probe monolayer at the interface In addition with modern software algorithm it

makes FTIR a great tool for quantitative analysis

2321 Overview Figure 21 shows the overview layout inside a FTIR spectrometer (Nicolet) A

polychromatic infrared beam is emitted from source and then focused into the plane of an

adjustable aperture by an elliptical mirror The light hits a beam splitter and half of light

passes through directly to a fixed mirror and half of it reflected on a fast-moving mirror

Two beams are reflected back from mirrors and recombined at splitter forming

interferogram The encoded interferogram passes through the sample and finally reaches

the detector (Liquid nitrogen cooled MCT-A MCT-B or room temperature DTGS

18

detector) A helium-neon monochromatic laser beam is used as reference to calculate

position of moving mirror to make sure interferometer measures at exactly the same data

point

Figure 21 Inside layout of FT-IR Spectrometer (Nicloet)

2322 Interferogram The interferometer produces a unique type of signal which has all of the infrared

frequencies ldquoencodedrdquo into it The signal can be measured very quickly usually on the

order of one second or so Interferometers employ a beamsplitter which takes the incoming

infrared beam and divides it into two optical beams One beam reflects off from a flat

mirror which is fixed in place The other beam reflects off of a flat mirror which is on a

mechanism that allows this mirror to move a very short distance (typically a few

millimeters) away from the beam splitter The two beams reflect off from their respective

mirrors and are recombined when they get back at the beam splitter Because one path that

one beam travels is a fixed length and the other is constantly changing as its mirror moves

the signal which exits the interferometer is the result of these two beams ldquointerferingrdquo with

19

each other The resulting signal is an interferogram which has the unique property that

every data point (a function of the moving mirror position) which makes up the signal to

have information about every infrared frequency which comes from the source This means

that as the interferogram is measured all frequencies are being measured simultaneously

Thus the use of the interferometer results in extremely fast measurements[3] The

ldquoencodedrdquo beam passes through the sample and reaches the detector with the information

of sample A typical interferogram detected by the detector is shown in the Figure 22

υυδπυλδπυδ dBBI )2cos()()2cos()()( intint

infin

infinminus

infin

infinminus

== (Eq 1)

1000 2000 3000 4000

-8

-6

-4

-2

0

2

4

Volts

DataPoints

-002

000

002

3000

Zoom In

1000

Figure 22 Interferogram of silicon sample

2323 Fourier Transformation The signal received by detectors is still an interferogram in space domain (Figure 22) It

needs to be converted to the frequency domain by applying Fourier transformation ((Eq 2)

After employing the FT transformation to interferogram we obtain a single beam spectrum

20

as shown in Figure 24 (top two) Ideally when applying FT to interferogram it integrates

with the retardation value from negative infinite to infinite But this is physically

impossible because moving mirror travel only finite distance The setting limit on the

integration equally truncates the interferogram mathematically and the truncation results in

a finite line shape with side lobes after Fourier Transformation To eliminate the side lobes

an apodization functions is applied [4] There are different apodization functions that can

be used In this work all spectra are corrected using the Happ-Genzel apodization

algorithm

δυπδυ dIB )2cos()()( intinfin

infinminus

= (Eq 2)

2324 Experimental Set up and Absorbance Spectroscopy Processing In the conventional FTIR geometry a multiple internal reflection (MIR) geometry is often

used to increase the absorption signal ([5 6]) because the low number of total absorbers on

a crystalline Si surface (~ 1015 cm-2 monolayer -1) produce weak or undetectable signal

However this technique is in trade of increased signal with decreased detectable spectral

range so because of long path length multi-phonon absorption renders the silicon

substrate opaque at lt 1500 cm-1 Recent advance in FTIR technology have enabled the use

of transmission mode geometry to measure the low frequency infrared absorption mode of

surface specifies and its sensitivity allows measuring even a monolayer on the surface For

example on an atomically flat hydrogen terminated Si(111) surface the Si-H bond of

monolayer hydrogen on the top of surface is clearly represented by two very sharp peaks

Si-H stretching mode at 2083 cm-1 and its bending mode at 620 cm-1 [7-9] as shown in

Figure 24

In this work Nicolet Nexus 6700 Nexus 670 or Magna 760 were used in the

experiments All Infrared absorption spectra were collected in transmission absorbance

geometry as shown in Figure23 For maximum transmission signal the incident angle 74ordm

the Brewster angle for silicon is used At the Brewster angle p-polarized component (its

electric field of the light wave lies in the same plane as the incident ray and the surface

21

normal) completely transmits through the sample without reflection The sample is also

measured at 10ordm a nearly normal incident angle The incident-angle-dependence can

identify the orientation of the surface species and interface bonding The bonds with dipole

perpendicular on the surface will not be seen in the normal incidence

DetectorIR beam

interferometerθ

Sample

Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission

Geometry

Spectra are usually recorded for a surface before treatment as a reference and after

each surface modification The absorbance spectrum can be calculated with the following

equation from the single beam spectra of reference and sample (Eq 3) All positive

features in absorbance spectrum correspond to the newly formed bonds or adding materials

to the reference and all negative features correspond to the removal of material or breaking

bond of the reference sample In this way we are able to detect tiny changes of the amount

of monolayer on the surface and the interface with the removal of interference from

background(bulk silicon etc) An absorbance spectrum processed from two single beam

spectra are shown in Figure 24C

010log

IIA minus= (Eq 3)

All spectra are recorded with a resolution of 4 cm-1 with 1000 scans per loop of total 5

loops and the last three loops are averaged in order to improve the signal-to-noise ratio

(SNR) which is proportional to square root of a number of scans

In most cases absorbance spectra directly processed from single beam spectra

includes spectrum of water vapor and carbon dioxide and must be subtracted carefully A

22

Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated

Si(111) sample

This demonstrate that how we obtains the absorbance spectrum of freshly etched H-Si(111) by processing two single beam spectra recorded immediately before and after HF etching

23

water and CO2 spectrum used for spectral subtraction are generated with the same detector

under the similar experimental condition Usually we subtract two single beam spectra

from first two consecutive loops obtained for the same sample As the chamber is

constantly purged with N2 assuming sample itself is stable the spectra changes between

two loops are solely caused by the removal of water vapor and CO2 inside chamber by

purge A typical water and CO2 correction spectra are shown in Figure 25 The water and

CO2 subtraction should be processed separately All the spectra processing work

mentioned above and others such as base line correction and integration of peak area are

done using Ominc Software from Thermo Nicolet Inc

Figure 25 FTIR Spectra of water Vapor and CO2 gas

24 Instrumentation Atomic Layer Deposition Set-up

A home-built Atomic Layer Deposition reactor system with in-situ Infrared spectroscopy

is used for this study [10 11] The schematic drawing of ALD system is shown in Figure

26 The pressure in the ALD chamber is normally maintained at ~3 Torr for the deposition

and the silicon substrate is kept at 100 degC during Al2O3 deposition and at 60 degC during

24

FTIR measurements The sample temperatures are measured using a thermocouple clipped

to the substrate The H-terminated silicon samples are immediately loaded in nitrogen

(oxygen impurity lt 10-6 ppm) purged reactor chamber for Al2O3 deposition Growth is

performed by using alternative pulses of 5 sec TMA (carried with ultra-pure N2 gas) and 5

sec D2O mixture in a home-built ALD reactor connected to an FTIR spectrometer (Nicolet

Nexus 670 with external MCTB detector) for in-situ surface analysis The reactant sources

are introduced into the chamber through stain steel pipe by bubbling the sources (TMA

bubbler ot D2O bubbler) at room temperature The partial pressure of TMA (Shipley

OptoGrade) and D2O (Aldrich 999) are 1 mbar and ~10 mbar respectively The IR beam

is incidented at 70deg (~Brewster angle) and the IR transmitted KBr windows are protected

from contamination by closing gate valves during precursor exposure The shutters are

only opened to take IR measurements between depositions cycles after the chamber is fully

purged To prevent cross reaction between residual metal and D2O precursors the ALD

chamber is purged and pumped for 5 min with ultra-pure N2 gas after each precursor

exposure Post-deposition annealing is performed in-situ under N2 gas purge

Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission

FTIR spectroscopy set up

25

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Nicolet Introduction to Fourier Transform Infrared Spectrometry 2001 Thermo Nicolet Publication

4 Griffiths PR De Haseth James A Fourier transform infrared spectrometry 1986 New York Wiley

5 Boukherroub R et al Insights into the formation mechanisms of Si-OR monolayers from the thermal reactions of alcohols and aldehydes with Si(111)-H Langmuir 2000 16(19) p 7429-7434

6 Ozanam F A Djebri and JN Chazalviel The hydrogenated silicon surface in organic electrolytes probed through in situ ir spectroscopy in the ATR geometry Electrochimica Acta 1996 41(5) p 687-692

7 Rivillon S et al Chlorination of hydrogen-terminated silicon(111) surfaces Journal of Vacuum Science amp Technology A 2005 23(4) p 1100-1106

8 Rivillon S et al Gas phase chlorination of hydrogen-passivated silicon surfaces Applied Physics Letters 2004 85(13) p 2583-2585

9 Watanabe S and Y Sugita Anisotropic Dynamic Polarization of Surface Vibrations Associated with H on Stepped Si(111) Chemical Physics Letters 1995 244(1-2) p 105-110

10 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

11 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

26

3 Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study

of Surface Bonding and Interfacial Structure of

alkyltrichlorosilane-based SAMs on Silicon Dioxide

31 Introduction

Organosilane monolayers on hydroxylated oxidized silicon surfaces self-assembled

systems are one of the most studied SAMs system However there is considerable debate

on the mechanism of how SAMs forms on SiO2 surface and contradictory results are

keeping seen in different references Among them one of debated central questions is if

silane molecule is covalently anchored to the surface or instead cross-linked absorbed to

thin water layer of the surface only

The only reported spectroscopic evidence about interfacial covalent bond formed

between silane and surface is at ~1060 cm-1 (attributed to asymmetric stretching mode of

Sisubstrate-O-Si) reported by Tripp in his early work in 1995[1] In his work Tripp reported

that few if any Sisubstrate-O-Si surface bonds are formed However to our knowledge the

detailed information on the structure of SAMs on the interface especially when it forms

long range ordering on the interface is not clear and lacks spectroscopic evidence In this

work we study the direct evidence of the species covalently formed on the surface and

systematically investigate the interfacial structure of silane-based SAMs on SiO2 surface

using FTIR in transmission geometry

The key to understand surface bonding and mechanism of SAMs formation is spectral

region between 1000-1300 cm-1 which contains Sisubstrate -O-Si Si-O-Si Si-O-C modes

The overlapping multiple modes make it a very complicated band structure It may also

contain the contribution from bulk silicon Using absorbance FTIR spectra in the

transmission allows us overcome this problem and solely acquire information from the

interface

27

The advanced technology gives us enough sensitivity to detect the spectral region

below 1400 cm-1 in the transmission geometry which normally is inaccessible in

conventional multiple reflection geometry

Another topic covered in the chapter is how the chain length affects the interfacial

structure (head group cross-linking) and the packing of chains in the final self-assembled

monolayer Stevens[2] pointed out that the cross-linking must be prevented in order to get

fully covered and densely packed monolayer due to the steric interaction between

hydrocarbon chains Fontaine et al study the effect of head group cross-linking on the chain

packing in a Langmuir system without substrate influence using X-ray diffraction They

found that hexagonal structure at maximum packing density typical of long chain

amphiphiles is preserved during the polymerization process But the extent of the order is

a decreasing function of the number of cross-links [3] Using silane molecule with

different head groups which has different hydrolysis rate Fancis et al found that the faster

the cross-linking forms the less dense the film packs There is a competition between

packing of chains under van der Waals and cross-linking formation of head group When

the cross-linking process is slower the better (denser) chains packing will be achieved[4]

In this Study we use n-alkyltrichlorosilane with different chain length including

trichlorosilane (TCS) Butyltrichlorosilane (BTCS C4) Dodecyltrichlorosilane (DTS

C12) and octadecyltrichlorosilane (OTS C18) TCS is the simplest silane molecule with

only one hydrogen attached to Si atom which is used as a probe molecule to study

spectroscopic feature of interfacial bond

The use of trichlorosilane instead of alkoxysilane is based on two reasons chlorosilane

is much more reactive and can be quickly hydrolyzed which allows us solely focus on the

chain length effect and head group cross-linking Second alkoxy- head groups have larger

size than a chlorine atom It will put some additional steric restriction on the packing of

SAMs if they are not completely hydrolyzed This is very likely as the hydrolysis takes

place slowly In addition the previous studies have shown that alcohol products from

hydrolysis of alkoxysilane may react with the surface further thus introducing more

complexity on the surface As for the product HCl from chlorosilane there is no observed

significant evidence of reaction with surface [5 6]

28

Self-Assembling of alkyl chains is usually driven by the van der Waals (VDW)

attraction that favors formation of compact arrays of molecules with maximum contact

between chains and by the interaction between head-group and surface With increasing

length of alkyl chains van Der Waals attractive interaction between chains increases which

actually helps improve the pack density of chains and ordering of SAM[7] In general a

crystal structure will try to find a balance between packing as closely as possible so as to

maximize the van der Waals energy and packing as loosely as possible so as to maximize

molecular motion

The reproducibility problem still existed in forming siloxane SAMs on SiO2 substrate

since the monolayer formation is very sensitive to the reaction condition such as water

content and temperature The major intrinsic source of the reproducibility problem is the

coupling of polymerization (cross-linking) and surface anchoring (Sisubstrate-O-Si) Even

small difference in the water content for example humidity in the environment may lead

to significant difference in the monolayers quality and morphology This is one of the

reasons for a to considerable debate on how siloxane SAMs form on SiO2 [8]

32 Experimental

321 Materials

Float-Zone grown n-Si(100) from Silicon Valley Microelectronic (San jose CA) was

used The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both

sides to the thickness of 450 μm

Following chemicals were used as received except where noted (3-aminopropyl)

triethoxysilane (APTES) (98) trichlorislane (HSiCl3 TCS 99) Butyltrichlorosilane

(n-C4H9SiCl3 BTS99) Dodecyltrichlorosilane (n-C12H25SiCl3 DTS97) and

n-octadecyltrichlorosilane (n-C18H37SiCl3OTS gt90) Butyl (chloro)dimethylsilane

anhydrous toluene (998) anhydrous acetonitrile(998) (all from Sigma-Aldrich Corp)

29

322 Sample Preparations

3221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yield high quality oxide with high density of surface

hydroxyl group

3222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide layer

and produce an atomic flat H- terminated Si(111) surface During the etching step the

wafer was occasionally agitated to remove the bubbles formed on the surface After NH4F

etching sample is rinsed thoroughly with DI water and dried under a stream of N2 (g) The

sample was then immediately removed into N2 purged FTIR spectrometer chamber for

taking reference spectra or into a N2 purged glove box where all further chemical

functionalization were conducted

3223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used to engineer SiO2 surface It is well

known that silanization processes are very sensitive to many environment parameters such

30

as water content humidity glassware history etc where it usually lacks reproducibility

The experiment reported in this work had been carried in N2 purged glove box with

extreme care However some parameters can still hardly be controlled For example the

amount of thin water layer physically absorbed on the hydroxyl terminated SiO2 surface

depends on the environmental humidity In our experimental condition there is no way to

remove them or control them The freshly wet-cleaned silicon dioxide sample was

immediately introduced into the N2 glove-box after taking reference spectra The 01 (vv)

silane solution is freshly prepared by pipetting 20μL neat silane liquid into 20mL

anhydrous toluene Toluene is chosen as a solvent since it is known to dissolve an optimal

quantity of water (ie ~015 mgmL) necessary for the formation of well-packed silane

SAMs [9] The sample was then sitting inside the 01 APTES solution for a desired time

and rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried

in N2 (g) flow and transformed into FT-IR chamber for measurement

323 Characterization

Infrared absorption spectra of functionalized Si surfaces are recorded between 650cm-1

and 4000cm-1 on a Nicolet FT-IR spectrometer equipped with liquid nitrogen cooled down

MCT-A detector in transmission geometry The data acquisition is performed with an

incident IR beam at 74deg off normal (Brewster angle for silicon) and 10deg off normal

(This angle is chosen to minimize the possible reflection interferences observed at normal

incidence) A 4 cm-1- resolution is used and total 5 loops with 1000 scansloop are acquired

for each spectrum The deconvolution analysis of FTIR spectral was done using the ldquofit

multiple peak Gaussian functionrdquo and ldquoNonlinear Curve fitrdquo of ORIGIN 75 software

33 Results

331 TCS SAM on SiO2

Figure 31 shows FTIR spectra of TCS layer formed on the different oxidized silicon

substrates with different thickness of silicon dioxide The peak at 2253 cm-1 is attributed to

the stretching mode of H-SiO3 and corresponding bending mode is at 890 cm-1[10 11] The

31

band at 1000-1200 cm-1 belongs to the Si-O-Si asymmetric stretching mode typical for a

siloxane network or chains The band consists of several overlapping peaks that correspond

to Si-O-Si in different configurations The band between 800-900 cm-1 consists of at least

two peaks 830 cm-1 for stretching mode of Si-O-Si and 890 cm-1 for deformation mode of

H-SiO3 [12-14] The negative peak at 975 cm-1 is attributed to the surface Si-OH[15] The

disappearance of this mode accompanied with positive modes at 1000-1200 cm-1 directly

indicate the removal surface hydroxyl group by reacting with TCS silane

The position of peak that appeared at the range 1200-1260 cm-1 is found to be

substrate-dependent 1256 cm-1 for TCS on thick oxidized silicon 1236 cm-1 for thin

oxidized silicon substrate but it is absent for TCS film formed on H-Si(100) (Figure 31)

To quantify this dependence on substrate the thickness of SiO2 thin film is monitored by

checking the peak position of longitude optical phonon (LO) mode of asymmetric

stretching mode of Si-O-Si

As shown in the Figure 32 both LO and TO phonon mode of SiO2 blue-shifts with

increasing thickness of SiO2 film Although TO mode is believed to be more reliable than

LO mode to reflect the change of interface [16 17] LO mode is used here instead of TO

because the spectroscopic change caused by the peak shifting of TO mode locates within

the range of 1050-1065 cm-1 overlapping with the multiple vibration modes of Si-O-Si

from siloxane and is very difficult to be resolved LO mode usually between 1200 and

1260 cm-1 can be more easily differentiated from other bands of TCS and still can provide

qualitative information about SAM SiO2 interface

In Figure 33 the peak position of peak at 1200-1260 cm-1 after TCS deposition is

plotted against the peak position of LO mode of SiO2 substrate All other experiment

conditions are the same The position of this peak is linearly correlated with the peak

position of the LO phonon mode of SiO2 substrate below 1240 cm-1 spectral range This

phenomena is similar to the earlier study by Queeney on thermal SiO2Si interface[18] For

thermal thin silicon oxide the position of LO mode is positively correlated with the

thickness of thin SiO2 film within the range of 1215-1240 cm-1 When SiO2 film becomes

thicker this thickness-dependence becomes negligible the position of LO mode reaches

the plateau at ~1255 cm-1 and becomes less sensitive to the change of SiO2 thickness In our

experiment the average deviation of this peak from the original position of LO mode of

32

Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with Different Thickness FTIR spectra of TCS layer deposited on a thick SiO2 (LO1254 cm-1) b thin SiO2 (LO1225 cm-1) c oxidize free H-terminated Si(100) surface The thickness of oxidized silicon layer is monitored by the position of LO phone mode of SiO2 shown on the Figure 2 The peak at 880 cm-1 is assigned to deformation mode of H-SiO3 and 830 cm-1 is due to Si-O-Si symmetric stretching mode

33

Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 The FTIR Spectra are obtained for SiO2 substrate with different SiO2 thickness used for TCS functionalization Both Spectra are referenced to the oxide free H- terminated Si(100) surface

34

substrate is 12 cm-1 as shown in Figure 33 Keeping in mind this peak is not a real peak

but a differential peak due to the peak shifting of LO As shown in a schematic drawing in

Figure 34 the actual peak shift is less than this number This thickness-dependence

strongly suggests that TCS modification of SiO2 is equivalent to added SiO2 layer to SiO2

substrate The Si-O from TCS is coupling with Si-O-Si network in the SiO2 substrate TCS

molecule must covalently form Si-O-Si bond with substrate Si atom in order to have such

strong coupling of asymmetric stretching mode of Si-O-Si between added siloxane layer

and silicon oxide substrate Based on the size of TCS molecule it is theoretically possible

to match it to Si-O-Si network of silicon oxide of substrate This is very clear evidence for

interfacial structure formation between siloxane and SiO2 substrate

In order to further confirm that the peak within 1200-1260 cm-1 is related to interfacial

structure not contributed from the siloxane layer itself the spectra of polymerized TCS

thick film (poly-TCS) made from mixing TCS with excess water are acquired As shown

in the Figure 35 the intensity of all spectroscopic features related with polymerized-TCS

layers increase proportionally various Si-O mode including polymeric (Si-O-Si)x

stretching modes at 1000-1200 cm-1 and corresponding bending mode at 830 cm-1 the

stretching mode of H-SiO3 at 2250 cm-1 and bending mode at 871 cm-1 However the peak

within 1200-1260 cm-1 is not seen in the spectra of poly-TCS Although we cannot tell if it

exists and hides in the broad band of 1000-1200 cm-1 we could at least make the

conclusion that this peak is not contributed from the polymerized layer but from interfacial

structure The detailed analysis of spectral region 1000-1200 cm-1 will be conducted in the

following session

35

Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon

Mode of Oxidized Silicon Substrate

The X-axis is position of LO phonon mode of fresh cleaned SiO2 substrate Y-axis is position of peak at 12xx cm-1 observed in the absorbance spectra of TCSSiO2 referencing relative to the starting cleaned SiO2 surface

Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1

This drawing shows as effective SiO2-like layer is added to the initial SiO2 film by depositing TCS on SiO2 the peak of LO phonon mode is shifted to the higher frequency with increasing intensity(red) As a result a differential peak (green) depending on the

12 cm -1

36

peak position of LO phonon mode of initial SiO2 substrate is appeared at even higher frequency when spectra are referenced to the initial SiO2 surface

1000 1500 2000 2500 3000 3500

TCS 18h

973

~005

Abso

rban

ce

Wavenumber(cm-1)

10-3

b

interface

10851170

2256881

10-2

a

10701157

υH-SiO3

~058 poly-TCS2250

871

Figure 35 FTIR Spectra of Polymerized TCS film on SiO2

FTIR Spectra of a polymerized TCS film formed by mixing TCS with water and then quickly deposited on an oxidized silicon surface b TCS thin layer deposited from 01 TCS in anhydrous toluene The spectra are displayed at different scale shown by scale bars in the figure Both spectra are referenced relative to the initial clean SiO2 surface The peak of stretching mode of H-SiO3 is shifted from 2256 cm-1 for the TCS monolayer to 2250 cm-1 for polymerized TCS and the corresponding H-Si bending mode is shift from 881 to 871 cm-1 The peak at ~1230 cm-1 does not proportionally increase with increase of thickness of film indicating it is interface features

37

Since the stretching mode of H-SiO3 of TCS at 2253 cm-1 does not overlap with other

bands it is sensitive to bond environment such as the electro-negativity of the other

substituents attached to Si atom So we can use it as a probe to estimate the total amount of

absorbed TCS Figure 36a shows the correlation of integrated area of stretching H-SiO3

and integrated area of region 1000-1200 cm-1 contributed from various modes of Si-O-Si

Figure 36b shows the correlation between integrated area of stretching H-SiO3 and

integrated area of peak at 1200-1260 cm-1 A perfect linear relationship (with R=0994)

between integrated area of H-SiO3 and Si-O-Si suggests that both of them are proportional

to the amount of siloxane attached on the surface On the contrary for the integrated area of

peak at 1200-1260cm-1 it is not correlated with the amount of siloxane absorbed on the

surface and remains unchanged with average value at ~0015cm-1 when integrated area of

H-SiO3 is less than 006 cm-1 This suggests that this peak is contributed from the interface

The saturation also suggests that the number of interfacial Sis-O-Si bonds might reach its

maximum at this particular experiment condition

Is this peak at 1200-1260 cm-1 caused by the oxidization of substrate in the solvent or is

it really caused by the attachment of TCS siloxane To answer this question the effect of

solvent on the substrate is checked Figure 37 shows the spectral change of clean oxidized

silicon after the exposure to anhydrous toluene for a significantly long period of time(3

days) The tiny peak at the position of initial LO phonon mode (~1213 cm-1) of underlying

SiO2 substrate indicates that the surface oxidization caused by solvent has negligible effect

on the formation of observed peak at 1200-1260 cm-1

In summary we have identified that the peak at 1200-1260 cm-1 is a spectral feature

related to interfacial covalent Si-O-Si bond formed between TCS siloxane and SiO2

substrate It is a direct evidence that TCS forms desnly covalent bond with the SiO2 by

strong coupling with Si-O-Si network of SiO2 substrate

38

002 004 006 008 01000

02

04

06

08

10

12

Inte

grat

ed A

rea

of S

iOS

i Reg

ion

Integrated Area of υ(H-SiO3)

002 004 006 008 0100005

0010

0015

0020

0025

0030

Inte

grat

ed A

rea

of B

and

at 1

200-

1260

cm

-1

Intergrated Area υ(H-SiO3)

Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area

of H-SiO3 and Peak at 1200-1200 cm-1

39

332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2

Figure 35 shows FTIR spectra of TCS SAM and poly-TCS film We are particularly

interested in the multiple modes of Si-O-Si overlapped at 1000-1200 cm-1 and H-SiO3

mode at ~2250 cm-1 Both regions reveal important information for characterizing structure

of SAM or thick polymerized film Besides the difference discussed in the last session

about peak at 1200-1260 cm-1 a noticeable peak shift is found for both peaks of Si-O-Si

and H-SiO3 Both are blue-shifted to higher frequency for the TCS-SAM

Our approach is to use the knowledge from analyzing modes of the poly-TCS film to

help interpret the spectra of SAMs with alkyl chain on SiO2 In the spectra of monolayer

the interfacial structure becomes dominated and the difference comparing to thick

polymeric film will reflect the characteristics of interfacial structure

H-SiO3 Mode

H-SiO3 mode is centered at 2250 cm-1 for poly-TCS and blue-shiftes to 2256 cm-1 for

TCS-SAM It is well known that both stretching and bending mode of H-SiOx is sensitive

to environment of back bond Si bonding For example depending on the number of oxygen

atom to which Si atom bonded the peak position of H-Si stretching mode at 2260 cm-1 for

H-SiCl3 and it shifts to lower wavenumber at 2250 cm-1 with substitution of all Cl with O

atom[19] The different peak position of H-SiOx mode can be also explained as different

configuration of TCS on substrate and in the polymerized film In the poly-TCS TCS bond

to other silane molecule through Si-O-Si bridge forming a thick layer while on the surface

at least one or two Si atom of a TCS molecule may bond to surface silicon atom and is more

restrained The schematic drawing is shown in the Figure 38

40

Figure 37 Toluene Effect on Oxidize Silicon Substrate

The FTIR spectra of clean oxidize silicon after exposure to a TCS for 20 hours b Anhydrous Toluene for 3 days The changes in the region of 1200-1260 cm-1 induced by surface oxidization are negligible compared to the spectroscopic feature of TCSSiO2 in the same region

Si OH

H

O

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

OSi

SiOH

H OH

I II

OHSi

H

SiOH

H

OSi

H

O

O

SiO

HO O

SiO

H

On Surface Poly-TCS

Figure 38 Different TCS configuration on the Surface and polymerized film

41

Si-O-Si Mode

Because the Si-O-Si asymmetric stretching band at 1000-1200cm-1 includes multiple

contributions from Si-O-Si band at different configuration it is extremely difficult to

characterize it First we analyze the band of poly-TCS by deconvoluting it into four

Gaussian peaks centered at 1023 1063 1115 1178 cm-1as shown in Figure 39

The assignments are made partially based on Grill earlier work and references to it [20]

The 1023 cm-1 is attributed to asymmetric stretching of Si-O-Si with a small bond angle

that might be encountered in networked silicon suboxide (with non-oxygen atom H CH3

etc attached to Si) It may also include contribution from bound six-membered rings

contained in the poly-film [21 22] Depending on the density of poly-film a LO-TO

splitting may exist 1023cm-1 could be TO mode of asymmetric stretching of Si-O-Si with

small bond angle and corresponding LO mode that exists at ~1220cm-1 which may be

hidden in the broad peaks [23]The ~1060cm-1 is assigned to Si-O-Si in networking

structure and 1115 cm-1 can be assigned to Si-O-Si in cage structure with large Si-O-Si

bond angle of approximately 150deg or larger [20] [12 14 24 25] It should be noted here

first before we determine the assignment to 1178cm-1 Since Grill uses 30deg incidence angle

when he recorded IR spectra it is near normal incidence and the LO mode of Si-O-Si may

not be seen even if there is LO-TO splitting existing in amorphous poly-film[23 26]

Indeed in our further polarization study with setting incidence angle of IR beam at 10deg we

found that the higher frequency portion of band 1000-1300 cm-1 significantly reduced at

10deg incidences which makes us feel confident on assigning 1078 cm-1 to the LO mode of

asymmetric stretching mode of Si-O-Si in networked structure with small Si-O-Si bond

angle The corresponding TO mode is seen at 1063 cm-1 as mentioned above Some other

possible contributions to band at 1200-1260 cm-1 may come from the LOTO mode of

Si-O-Si in a four-membered rings structure [23 26 27]

TCS-SAM

Figure 310 shows the deconvolution of 1000-1300 cm-1 for TCS-SAM formed on SiO2

Four Gaussian peaks centered at 1061 1121 1178 1232 cm-1 are used to fitting the peaks

Compared to poly-TCS 1023cm-1 is not seen and the new peak at 1221 cm-1 becomes very

apparent The imposition of all four sub-peaks gives two dominate peaks at 1085 and 1170

42

cm-1 which is higher than 10701157 for poly-TCS film The absence of 1023cm-1 peak

may suggest that networked sub-oxide with smaller Si-O-Si angle less than 144deg (indicated

by ~1028cm-1) does not appear in the SAM

As we discussed in the last section 1232 cm-1 is induced by increasing effective

thickness of SiO2 caused by the formation of dense interfacial Si-O-Sis bond between TCS

and substrate It should have corresponding TO mode at ~1060 cm-1 Here we assign

10611232 cm-1 to the Si-O-Si of SiO2 due to modification of SiO2 substrate by attaching

TCS molecule The Si-O-Si is tightly restrained to the substrate Si-O-Si through covalent

surface bonding (Sis-O-Si) and coupled with Si-O-Si network of substrate

Based on the general knowledge the intense peak at 1063 cm-1 is likely an imposition

of two peaks at the close position with different origin One is TO mode at 1232 cm-1 and

another one is TO mode at 1176 cm-1 10601178 cm-1 could be assigned to TO-LO mode

of networking Si-O-Si between two adjacent molecules It is previously suggested that not

every (or even only few) silane molecule is bonded to surface Currently we cannot

quantify the exact ratio of amount of interface Si-O-Si to Si-O-Si between molecules

however we believe that sufficient amount of Sis-O-Si is formed in order to generate

observered coupling with SiO2 substrate 1121cm-1 could be assigned to the Si-O-Si with a

large bond angle in an open structure (cage-like) They donrsquot have LO-TO splitting

possibly because of weak dipole coupling between nearby Si-O-Si bond in such

configuration

43

1000 1100 1200 1300

0000

0002

0004

0006

0008

0010

0012

0014

0016

0018

0020

0022

TO

1028

1063

1115

Abso

rban

ce

Wavenumber (cm-1)

poly-TCS 4 peak modes LO-TO Splitting

1178

2x10-3

LO

Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode) A deconvolution of the spectral region 1000-1300 cm-1 was performed by non-linear least squares fitting methods (in Originreg Software) by means of Gaussian functions By assuming all dominated band from the film itself and not from interface we find a best fit using 4 peaks Our deconvuliton is considered both Si-O-Si in different configurations (with different bond angle) and the possible LO-TO splitting of some of Si-O-Si modes LO-TO splitting depends on the degree of long-rang interactions in the film

44

1000 1100 1200 1300

00000

00005

00010

00015

00020

1230

1178

1065

SAM-TCS 4 peak ModeAb

sorb

ance

Wavenumber (cm-1)

1125

Figure 310 Deconvolution of Si-O-Si absorption band of TCS films

The peak at 1121 cm-1 is attributed to Si-O-Si with large angle in a cage structure 1061 cm-1 and 1178 cm-1 are assigned to the stretching mode of smaller angle Si-O-Si in a networking structure 1232 cm-1 is induced by shifts of LO mode of underneath SiO2 because of increasing effective thickness of SiO2 caused by the formation of interfacial Si-O-Si bond

45

333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2

(Chain Length Effects on Head group cross-linking Structures)

TCS as the simplest trichlorosilane provides a good model to characterize the

structure of interfacial bonding and siloxane layers Because of only hydrogen atom

attached to Si there is essentially no steric restriction for TCS head group cross-linking In

this section we will further check how alkyl chain length affects the head group

cross-linking

First of all we have checked the attachment of monochlorosilane to SiO2 As shown in

Figure 311 a strong sharp peak at 1099 cm-1 is directly assigned to Sis-O-Si bond between

silane molecule and substrate Other positive peaks at 1261 and 2964 cm-1 are related to

alkyl chains and are assigned to CH3 rocking mode and its stretching modes The negative

peak at ~980 cm-1 is previously assigned to stretching mode of surface hydroxyl group

Sis-OH[15 28] It is consistent with that silanol group of hydrolyzed silane that is

condensed with surface hydroxyl group forming Sis-O-Si Another negative peak at 1230

cm-1 is associated with modification of SiO2 substrate by the attachment of silane When

silane molecule covalently bonds to surface Si atom it disturbs the longitude optical mode

of Si-O-Si network of substrate SiO2 which is sensitive to long range interaction These

negative features also strongly suggest covalent attachment of silane molecule to surface

(as shown in Figure 312)

Figure 313 shows the comparison of TCS BTS (C4) DTS (C12) and OTS (C18) SAM

formed on SiO2 substrate As a comparison a spectrum of monochlorosilane from Figure

311 is relisted on the bottom

46

1000 1500 2000 2600 2800 3000 3200

0001

0002

0003

ρCH3 1261

1236

υSi-OH 980

Si CH3CH3 Cl

CH3

Abso

rban

ce

Wavenumber (cm-1)

2964υCH

1099υSis-O-Si

2x10-4

Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2

FTIR Spectra were recorded after wet-chemistry cleaned SiO2 exposed to monochlorosilane solution for 20 hours A strong sharp peak at 1099 is assigned to Sis-O-Si bond between silane and substrate[29] Other postive peaks at 1261 and 2964 cm-1 are related to alkyl chains and are assigned to CH3 rocking mode and CH stretching mode The negative peak at 980 cm-1 is assigned to Si-O stretching of the surface isolatedgeminal groups [30] and 1236 cm-1 is assigned to LO mode of SiO2 substrate

OH

SiO

OH

SiO

OH

SiO

OH

SiO

OHSi

O

OH

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

SiCH3CH3

CH3

OH

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

O

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

ClH2O

condensation

HClH2O

Figure 312 A reaction between Monochlorine silane and SiO2 substrate

Formation of Sis-O-Si should be a dominated reaction The cross-linking between molecules is impossible Binding of silane molecule to substrate will perturb the original Si-O-Si network in substrate

47

1000 1500 2000 2500 3000

0042

1467υCH3

υCHυH-SiO3

1099υSi-OH

BTMS (monochorosilane)

OTS C18

DTS C12

BTS C4

Ab

sorb

ance

Wavenumber (cm-1)

TCS

υSis-O-Si

973 interface SiO2

10-3

0026

Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes with different chain length

FTIR spectra of SiO2 exposed to TCS solution for 18 hours and BTS (C4) solution for 48 hours DTS (C12) 48 hours and OTS (C18) 3 days were compared (longer time to allow saturation) In addition a spectrum of clean SiO2 exposed to Butyl (chloro) dimethylsilane (BCDMS) is listed on the bottom as a further comparison BCDMS have only one reactive chlorine group and cannot form cross-linking with each other The band peaked at 1100 cm-1 attributed to Sis-O-Si surface linkage provides insightful information for deconvoluting the complicate region at 1000-1200 cm-1 for trichlorosilane

48

Si-O-Si Region (1000-1200cm-1)

As what we expect TCS has highest integrated peak area of 1000-1200 cm-1 It

suggests TCS form denser Si-O-Si cross-linking BTS and DTS show lower integrated

peak area at the same spectral range suggesting less dense Si-O-Si cross-linking forms

Although for monochlorosilane (BCDMS) the band peaked at ~1100 cm-1 is

straightforwardly assigned to Si-O-Si surface linkage[28] we cannot simply assume the

same mode of Si-O-Si of TCS since BTS will be also in the same position as BCDMS at

1100 cm-1 On the contrary a shift is expected The difficulty here is that the exact position

of this mode varies depending on the bond environment The Si-O-Si-R will be different

from Si-O-Si-O- (see Figure 314 below) This may explain different value for Si-O-Si

seen in the different references For example in the earlier study by Tripp et al they found

asymmetric stretching mode of Si-O-Si at 1060 cm-1 [31] for a monochlorotrimethylsilane

which is 1080 cm-1 for trichlorosilane on silica [19]

Figure 314 Sis-O-Si in different configuration Different group attached to Si

Si

O

Si

CH3

CH3 CH3Si

O

Si

CH3

CH3Si

O

Si

CH3

OH O

A B

49

For OTS-SAM it has higher integrated area of 1000-1200 cm-1 and with intense peak

at 2250 cm-1 which is assigned to H-SiO3 stretching mode For the origin of H-SiO3 it will

be discussed later With presence of H-SiO3 mixed in the SAM it may reduce the steric

interaction and decrease the alkyl chain packing density while the density of Si-O-Si

cross-linking increases

The saturated integrated area of CH2 stretching mode at 2800-3000 cm-1 is 004 for

BTS 006 for DTS 090 for OTS (Niharika Unpublished data) The factors affecting this

value include chain length packing density and orientation

There is negative peak at ~973 cm-1 in the spectra of BTS and DTS SAM indicating

removal of surface Si-OH group Both have not shown spectral features at ~1230cm-1 as

seen in the TCS-SAM suggesting BTS and DTS donrsquot form dense interfacial Si-O-Si

linkage on the oxidize surface as TCS does This is expected because the steric interaction

may prevent BTS or DTS from forming dense cross-linking OTS-SAMSiO2 has shown

similar negative feature at ~973 cm-1 but positive feature around ~ 1230 cm-1 a similar

feature as TCS As we discussed in the previous session it is the evidence that OTS forms

dense long-ranged cross-linked Si-O-Si and is coupled with Si-O-Si of SiO2 substrate

which is equivalently increases the thickness of SiO2 by adding a layer of Si-O to the SiO2

substrate At the same time the stretching mode of CH2 group of alkyl chains is found at

2921 cm-1 suggesting formation of well ordered SAM This ldquoabnormalrdquo behavior of OTS

compared to DTS BTS will be discussed later

We have further polarization study for OTSndashSAM Figure 316 shows Si-O-Si spectral

region (1000-1300 cm-1) of OTS-SAM formed on SiO2 surface with deconvolution into

four components centered at 1061 1108 1150 1195 cm-1 The spectra were recorded at

both 74deg and 10deg incidence angle in transmission geometry The intensity of modes at 1150

and 1195 cm-1 are significantly reduced when the spectra are taken at 10deg incident

angle(near normal) suggesting both may attribute to the LO mode of asymmetric

stretching of Si-O-Si 10611195 cm-1 might be assigned to TOLO mode of Si-O-Si in

4-ring configuration 1108 and 1150 cm-1 could be assigned to TOLO mode of Si-O-Si in

a configuration with larger Si-O-Si bond angle Polarization dependence shown above

suggests the existing of LO component (a similar dependence seen in the case of infrared

spectra of SiO2)

50

1000 1500 2000 2500 3000-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005Si-C SAM (Alkene HSI111) vs SiO SAM (OTSSiO2)

~002

~05

1467ρCH3

υSiO

2083

2256υH-SiO3

υSiH~0084

~0093

Abs

orba

nce

Wavenumber (cm-1)

Si-CHSi(111)

OTSSiO2

υCH28502918

10-3

Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different

Substrate

FTIR Spectra were recorded for H-Si(111) sample after thermally alkylated with octadecyldecene (C18 Si-C SAM) The spectra was referenced relative to freshly etched H-Si(111) surface Si-O SAM was formed by immersion SiO2 sample into the OTS solution for 3 days at 4 degC The spectra were referenced relative to clean SiO2 surface Since both have same length of carbon chains (C18) we could use the Si-C SAM which is better defined as reference to predicate the packing status of OTS SAM (The tilting angle may be different and may lead to different integrated area even when both have same number density of alkyl chains)

51

Comparing to TCS-SAM Si-O-Si modes of OTS-SAM appear at higher wavenumber

(blue-shifted) This might be explained because the chain-chain VDW interactions of OTS

have impact on the head group cross-linking Si-O-Si Such cross-linking will introduce

topological constraint on the head structure resulting in shift to higher frequency

34 Discussion

The region 1000-1200 cm-1 of infrared spectra of siloxane SAMs on SiO2 provides very

useful information on SAMSiO2 interface for both inner structure of SAMs and thick

siloxane poly-film However interpreting this region of amorphous film is extremely

difficult because this region includes contribution from multiple modes of Si-O-Si whose

actual peak position is very sensitive to local bond environment and varies with different

Si-O-Si bond anglesUnlike thermal grown SiO2 the Si-O-Si may exist in more

complicated configurations for SAMs or poly-film with different bond angle and

attachments of different groups (H Cl CH3 etc) to Si atom In addition each Si-O-Si

asymmetric stretching mode may have TO-LO splitting and shows two modes instead of

one in the spectra The TO-LO splitting appears when near-by Si-O-Si can form strong

coupling This is also environmently-dependent And in an amorphous film (like siloxane)

the environment can vary a lot depending on preparation conditions

FTIR spectra of TCS-SAMSiO2 clearly show that substrate-dependent positive peak

appears at ~1200-1260 cm-1 It is assigned to LO mode of coupled Si-O-Si between

siloxane and SiO2 substrate The shift of LO mode to higher wave number with increasing

intensity strongly suggests the added siloxane layer be well cross-linked and coupled with

substrate Si-O-Si This is equally like when TCS-SAM modifies the SiO2 substrate by

adding SiO2ndashlike layer on top of it In order to have strong couple with Si-O-Si in substrate

a dense Si-O-Si covalent linkage is needed This is evidence that TCS is covalently

anchored to SiO2 substrate through Sis-O-Si by ondensation with surface Sis-OH group

Based on this fact it is unlikely that TCS is only physically absorbed on the surface

52

1000 1100 1200 1300

TO1 TO2LO2

10o

Abso

rban

ce

Wavenumber (cm-1)

LO1

υasym Si-O-Si

OTS

OTSSiO2 11-02-2006

10-3

74o

Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 Four Gaussians component with maximum at around 1061 1108 1150 1195 cm-1 are used to fit the spectra The mode at 1150 and 1195 cm-1 are significant reduced in the spectra taken at 10 incident angle(near normal) suggesting both are most likely LO mode of asymmetric stretching of Si-O-Si 10611195 might be assigned to TOLO mode of Si-O-Si in 4-ring configuration 1108 and 1150 might be assigned to TOLO mode of Si-O-Si in different less tensioned configuration Comparing to TCS-SAM Si-O-Si modes in OTS-SAM appear at higher frequency (blue-shifted) This might be attributed to the chain-chain VDW interactions of OTS that have impact on the head group (more strained in OTS) cross-linking Si-O-Si

53

The blue-shift (with increasing intensity) or red-shift (with decreasing intensity) of the

LO phonon mode of SiO2 depends on how siloxane bond to substrate at the interface In

case of monochlorosilane no cross-linking is formed The Si-O-Si network of SiO2 is not

able to couple with single Sis-O-Si linkage Instead the attachment actually disrupts the

vibration of Si-O-Si in the very first layer of SiO2 substrate (ie reduced SiO2 thickness)

As a consequence a negative peak appears at the lower wavenumber relative to the original

position of LO mode The packing density of cross-linking of Si-O-Si may also determine

the appearance of LO mode In trichlorosilane with alkyl chain like BTS DTS even

though they can form cross-linking we didnrsquot observe the positive peak at the position of

LO mode as we did for TCS-SAM Instead we observe how a negative peak at the position

with lower wavenumber relative to the LO mode of SiO2 substrates as well

This can be explained because the steric interaction prevents them from forming dense

coupled Si-O-Si This is consisted with the fact that the integrated peak area of 1000-1200

cm-1 (Si-O-Si region) is significantly less than TCS-SAM In addition the alkyl chain

attached to Si-O-Si (ie R-Si-O-Si) may decouple surface Si atom from Si-O-Si network

vibration And the spray of alkyl chain on the surface also reduces the surface attachment

of silane molecule

There is long-time debate whether silane bond is substrated or just cross-linked and

physically absorbed on the top of a thin water layer And there is only few reports on the

evidence of formation of interfacial covalent bond Sis-O-Si In earlier work by Tripp[19]

he assigned 1080 cm-1 to covalent bond Sis-O-Si by investigating TCS on silica The peak

frequency was also previously suggested at 1060 or 1100 cm-1[1] The variance of the peak

position may be due to the different bond environments of Sis-O-Si In the Tripprsquos work

however he found only few Sis-O-Si forms

The appearance of either positive or negative peaks at range of 1200-1270 cm-1

strongly suggests that silane is indeed covalently bonded to SiO2 substrate and forms

Sis-O-Si The Sis-O-Si could either help to couple (positive peak) or decouple (negative

peak) with the original LO mode of substrate depending on the packing density

54

HH H

HH

H

OO

SiO

SiO

SiO

SiO

SiO

SiO

Si

OH O OH

Si OO

Si

O

OSi

OHOH

Si OHSi OSi

OOH

Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

Si-O-Si inside the SAM and poly-siloxane film

Besides the mode at 1200-1260 cm-1 that we discussed above we further characterize

the film structure by analyzing 1000-1200 cm-1 region which is dominated by the

asymmetric stretching mode of Si-O-Si at various configurations We start our analysis

from poly-siloxane as it has higher reproducibility

The peak position of Si-O-Si asymmetric stretching mode depends on the Si-O-Si

bonding angle The peak red-shifts with reduction of Si-O-Si bond angle and blue-shifts

with increasing of Si-O-Si bond angle[32 33] In fully relaxed stoichiometric thermal

silicon oxidizes grown at temperature gt1000 degC the bond angle is reported to be ~144deg

with IR spectral absorbance at around 1080 cm-1 However in low temperature

stoichiometric silicon oxidizes this band is observed to decrease from 1080 to 1060 cm-1 as

the Si-O-Si bond angle increases A decrease in the frequency of Si-O-Si asymmetric

stretching has also been observed for the silicon atoms having one or more non-oxygen

neighbors [32 34]

FTIR spectra demonstrate that TCS poly-film has overall red-shifted peak at

1000-1200 cm-1 regions compared to that of TCS-SAM This is expected inside the

poly-TCS film the spectra are dominated by the Si-O-Si networking of the thick film and

is less constrained than that of Si-O-Si formed at the interface of SAMSiO2 with covelant

bond to substarte Si As a consequence the mode of Si-O-Si occurs at the lower

wavenumber (red-shift) inside poly-TCS compared to Si-O-Si network at the interface of

SAMSiO2 The similar red-shift trend is found for other types of poly-film system

55

compared to SAMs forming on the surface For example APTESSiO2 TOLO is

10371137 cm-1 for poly-APTES film and 10351178 cm-1 for APTES-SAMSiO2

The blue-shift of LO mode for SAMs compared to poly-film (thicker film) is

apparently different from what is observed in the case of thermal silicon oxide In a thermal

thick oxide it is found that the LO mode is red-shift with decreasing thickness of SiO2 [18]

This is because that suboxide and stoichiometric at the SiO2Si interface become

dominated with decreasing thickness of SiO2 layer and the Si-O-Si in the suboxide

structure (Si bond to some non-oxygen atom instead of O) is at the lower frequency [35]

For siloxane layers when the thickness of film reduces from thick poly-film to

monolayer the interfacial structure becomes dominated which constrained Si-O-Si and

Sis-O-Si more than the loosely networked Si-O-Si in poly-film with existence of multiple

configuration[20] Inside the poly-siloxane if the Si-O-Si bond angle is open to higher

degree in a more cage-like structure this peak will shift to higher frequency sometime as

high as 1180 cm-1 In a more network-like structure the Si-O-Si bond angle reduces to lt

144 degree and the asymmetric Si-O peak position may shift down to lower frequency at

1060 cm-1 or lower[32 36] Because both configuration might co-exist inside

poly-siloxane it maybe used to explain the split to two peaks at 1030 and 1180 cm-1 for

polymeric (Si-O-Si)x [1]

We have also compared the alkyl chainrsquos effect on poly-siloxane structure FTIR shows

LOTO of poly-OTS (C18) (10951180 cm-1) appear at much higher frequency than

poly-TCS (10701157 cm-1) but with nearly the same LO-TO separation This difference

is consistent with our analysis above The steric interaction between alkyl chains may put

extra strain on the head group cross-linked Si-O-Si and cause band blue-shifted compared

to TCS which lacks constraints

The deconvolution of Si-O-Si asymmetric stretching modes at 1000-1200 cm-1 shows

that all the following modes exists Si-O-Si in network cage suboxide configurations as

suggested by Grill[20] Our polarization study further suggests that LO-TO splitting exists

for some of Si-O-Si configuration The LO-TO splitting has been previously used to

interpret complicated 1000-1200 cm-1 region in amorphous organosilicon polymers[23 26]

and amorphous SiO2 structure [37] [38] [39] [40] although there is still controversal

concern about the existence of TO-LO splitted in disordered material

56

For thermal SiO2 the currently accepted modle about a-SiO2 is a continuous random

network in which the dihedral angle of bridging oxygen is a random variable The

asymmetric stretching mode of O in the Si-O-Si bond gives two predominate features the

transverse optical phonon (TO) at 1060 cm-1 and longitudinal optical model (LO) near

1254 cm-1 It is found that both modes will shift with the change of thin SiO2 film thickness

and it has been explained as that either compressive stress (interfacial strain) [41] or

subtioichiometry [18 35] or both [42] may influence the shift of TO and LO peaks LO-TO

splitting is considered as a consequence of long-range Columbic interactions for a given

oscillators and being sensitive to any kind of internal network structural changes

Mechanism of Formation of trichlorossilaneSiO2

Comparing to TCS SAMs form from trichlorosilane with alkyl chains (except OTS

C18) shows less Si-O-Si bond and a negative (instead of positive) peak at the range of

1200-1260 cm-1 suggesting formation of disordered and less dense siloxane film with

covalent bonding to SiO2 substrate Based on the above mentioned analysis there are

possibly two different mechanisms for formation of alkyltrichlorosilane on SiO2 surface

depending on chain length

For alkyltrichlorosilane with short chain like BTS and DTS because of the relatively

very reactive Cl- head group the Si-O-Si cross-linking quickly forms at room temperature

and as a consequence a disordered SAM forms on the SiO2 It is previously suggested that

it is more difficult to achieve ordered SAMs with shorter alkyl chain [43] and in order to

get an ordered SAM a reaction temperature must be lower than its critical temperature[44]

The silane with shorter alkyl chain has lower critical temperature (for example 0 degC for a

chain having 10 carbon and 38degC for 22 carbon chain [44 45]) This is a result of

competition between cross-linking and van der Waals attraction force At the lower

temperature cross-linking (chemical reaction) is significantly suppressed and VDW force

becomes dominant and helps to form more ordered SAMs Indeed anything that can

increase formation of cross-linking will result in a loss of coherence of the lateral order

For example a decrease in the lateral order with increasing pH is reported where it is

known that higher pH accelerates the creation of cross-linking Si-O-Si [3]

57

Stevens [2] suggested that the fully covered dense monolayer cannot be realized if the

head group is cross-linked because of the steric interaction between hydrocarbon chains

and limited Si-O-Si distance (le 32 Aring) The van der Waals diameter for C is 35Aring and for H

bonded to C is 25Aring and the minimum distance between hydrocarbon chains is 48 Aring

corresponding to minimum van der Waalsrsquo potential

The calculated distance between alkyl chains with cross-linking head group is 043nm

To minimize the system free energy the system can choose two of following possibilities

1) head groups are cross-linked with chains splaying apart implying that cross-linking

prevents the formation of full coverage layer In this scenario the disordered film is formed

(Figure 318a) 2) disordering of the chains by introduction of gauche defects to maximize

the entropy 3) Maintaining the formation of cross-linking while satisfying the steric

constraints through chain compression or selective cleavage of Si-C of long chains as

shown in the Figure 318b Energy gained from formation of siloxane bond is 8eV and the

elastic energy required to approach two chains from 048nm to 043nm is estimated as

80meV[3] The reason that we didnrsquot observe H-SiO3 in the case of BTS and DTS is

probably because the Si-C cleavage is chain length-dependent It may take place only when

the chain length is long enough and the chain-chain interaction is strong enough to meet the

requirement for cleavage of Si-C with formation of Si-H In addition the chlorine may also

play a role in promoting cleavage of Si-C bond A further investigation is needed to verify

this mechanism in the future work

OSi

OOSi

OSi

OSi

OSi

CH3 CH3

HH

CH3

OH

Si

OHSi

OH

Si

OSi

Si

CH3

OSi

O

Si

CH3

Si

OH

Si

lt 32 Aring

(a) BTS or DTS (b) OTS

Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface

In the case a attachment of disordered SAMs disrupt the Si-O-Si vibration of substrate In case b long range ordered SAMs couple with Si-O-Si substrate

58

35 Conclusion

The spectra change of LO phonon mode of SiO2 substrate provides information on

interfacial bond and structure between SAMs and surface It is first time that the spectral

signature is given for a SiO2 substrate change induced by the attachment of

alkyltrichlorosilane This is unique spectral signature only related to SAMSSiO2 interface

Comparison of FTIR spectra and other trichlorosilane with different length of alkyl

chain has shown that trichlorosilane also directly attaches to oxidized substrate instead of

the thin water layer on the top This attachment affects the SiO2 substrate LO phonon mode

It either increases LO by coupling with Si-O-Si of SiO2 or decreases LO by disrupting

Si-O-Si vibration on substrate depending on ordering and packing the density of SAMs

The formation of OTS (C18) SAM on SiO2 has unique behavior An unexpected

H-SiO3 species are observed and a higher cross-linking and chain packing is achieved A

possible mechanism for OTS SAM formed on SiO2 may involve chlorine-catalyzed

cleavage of Si-C with formation of Si-H under condition of compression of chains The

cleaved silane can play a role of spacer to reduce steric interaction and help to form denser

cross-linking and packing of alkyl chain

59

Bibliography

1 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

2 Stevens MJ Thoughts on the Structure of alkylsilane monolayers Langmuir 1999 15(8) p 2773-2778

3 Fontaine P M Goldmann and F Rondelez Influence of headgroup cross-linking on chain packing in Langmuir monolayers of n-alkyltrialkoxysilanes Langmuir 1999 15(4) p 1348-1352

4 Francis R G Louche and RS Duran Effect of close packing of octadecyltriethoxysilane molecules on monolayer morphology at the airwater interface Thin Solid Films 2006 513(1-2) p 347-355

5 Hair ML and W Hertl Reactions of chlorosilanes with silica surfaces J Phys Chem 1969 73(7) p 2372-2378

6 Hair ML and CP Tripp Alkylchlorosilane Reactions at the Silica Surface Colloids and Surfaces a-Physicochemical and Engineering Aspects 1995 105(1) p 95-103

7 Bain CD et al Formation of monolayer films by the spontaneous assembly of organic thiols from solution onto gold J Am Chem Soc 1989 111(1) p 321-335

8 Onclin S BJ Ravoo and DN Reinhoudt Engineering silicon oxide surfaces using self-assembled monolayers Angewandte Chemie-International Edition 2005 44(39) p 6282-6304

9 McGovern ME KMR Kallury and M Thompson Role of Solvent on the Silanization of Glass with Octadecyltrichlorosilane Langmuir 1994 10(10) p 3607-3614

10 Lin SY Variation of Si-H and Si-D bond-bending modes in different local bonding environments in amorphous silicon dioxide Materials Chemistry and Physics 1999 58(2) p 156-161

11 Marrone M et al A Fourier transform infrared (FTIR) study of the reaction of triethoxysilane (TES) and bis 3-triethoxysilylpropyl tetrasulfane (TESPT) with the surface of amorphous silica Journal of Physical Chemistry B 2004 108(11) p 3563-3572

12 Marcolli C and G Calzaferri Vibrational structure of monosubstituted octahydrosilasesquioxanes Journal of Physical Chemistry B 1997 101(25) p 4925-4933

13 Loboda MJ CM Grove and RF Schneider Properties of a-SiOx H thin films deposited from hydrogen silsesquioxane resins Journal of the Electrochemical Society 1998 145(8) p 2861-2866

60

14 Bornhauser P and G Calzaferri Ring-opening vibrations of spherosiloxanes Journal of Physical Chemistry 1996 100(6) p 2035-2044

15 Morrow BA IA Cody and LSM Lee Infrared studies of reactions on oxide surfaces IV Structure of chemisorbed ammonia on silica J Phys Chem 1975 79(22) p 2405-2408

16 Queeney KT et al Silicon oxidation and ultra-thin oxide formation on silicon studied by infrared absorption spectroscopy Physica Status Solidi a-Applied Research 1999 175(1) p 77-88

17 Queeney KT et al In-situ FTIR studies of reactions at the siliconliquid interface Wet chemical etching of ultrathin SiO2 on Si(100) Journal of Physical Chemistry B 2001 105(18) p 3903-3907

18 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

19 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

20 Grill A and DA Neumayer Structure of low dielectric constant to extreme low dielectric constant SiCOH films Fourier transform infrared spectroscopy characterization Journal of Applied Physics 2003 94(10) p 6697-6707

21 Lewis HGP TB Casserly and KK Gleason Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane Journal of The Electrochemical Society 2001 148(12) p F212-F220

22 Pryce Lewis HG DJ Edell and KK Gleason Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials Chem Mater 2000 12(11) p 3488-3494

23 Trasferetti BC CU Davanzo and MA BicadeMoraes LO-TO Splittings in Plasma-Deposited Siloxane Films J Phys Chem B 2003 107(39) p 10699-10708

24 Bornhauser P and G Calzaferri Normal coordinate analysis of H8Si8O12 Spectrochimica Acta Part A Molecular Spectroscopy 1990 46(7) p 1045-1056

25 Long-Hua Lee W-CC Wei-Chih Liu Structural control of oligomeric methyl silsesquioxane precursors and their thin-film properties Journal of Polymer Science Part A Polymer Chemistry 2002 40(10) p 1560-1571

26 Trasferetti BC et al Infrared Studies on Films of Carbosilazane and Siloxazane Networks Chem Mater 2005 17(18) p 4685-4692

27 Alexandra Fidalgo LMI Chemical Tailoring of Porous Silica Xerogels Local Structure by Vibrational Spectroscopy Chemistry - A European Journal 2004 10(2) p 392-398

28 Tripp CP and ML Hair Reaction of alkylchlorosilanes with silica at the solidgas and solidliquid interface Langmuir 1992 8(8) p 1961-1967

29 Awazu K Oscillator strength of the infrared absorption band near 1080 cm-1 in SiO2 films Journal of Non-Crystalline Solids 1999 260(3) p 242-244

30 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

61

31 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

32 G Lucovsky MJM JK Srivastava and EAIrene LOW-TEMPERATURE GROWTH OF SILICON DIOXIDE FILMS - A STUDY OF CHEMICAL BONDING BY ELLIPSOMETRY AND INFRARED-SPECTROSCOPY J Vac Sci Technol B 1987 5 p 530

33 Hirose K et al Structural transition layer at SiO_2Si interfaces Physical Review B 1999 59(8) p 5617 LP - 5621

34 PGPai SSC Y Takagiand G Lucovsky INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION J Vac Sci Technol A 1986 4 p 689

35 Queeney KT et al Infrared spectroscopic analysis of an ordered SiSiO2 interface Applied Physics Letters 2004 84(4) p 493-495

36 Kim YH et al Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films Journal of Applied Physics 2001 90(7) p 3367-3370

37 Kirk CT Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica Physical Review B 1988 38(2) p 1255 LP - 1273

38 Kamitsos EI AP Patsis and G Kordas Infrared-reflectance spectra of heat-treated sol-gel-derived silica Physical Review B 1993 48(17) p 12499 LP - 12505

39 Sarnthein J A Pasquarello and R Car Origin of the High-Frequency Doublet in the Vibrational Spectrum of Vitreous SiO2

101126science27553081925 Science 1997 275(5308) p 1925-1927 40 Pasquarello A and R Car Dynamical Charge Tensors and Infrared Spectrum of

Amorphous SiO_2 Physical Review Letters 1997 79(9) p 1766 LP - 1769 41 Miyazaki S et al Structure and electronic states of ultrathin SiO2 thermally

grown on Si(100) and Si(111) surfaces Applied Surface Science Proceedings of the Eighth International Conference on Solid Films and Surfaces 1997

113-114 p 585-589 42 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2

interface Physical Review B 2003 67(24) p 245305 43 Aswal DK et al Self assembled monolayers on silicon for molecular electronics

Analytica Chimica Acta Molecular Electronics and Analytical Chemistry 2006 568(1-2) p 84-108 44 Brzoska JB N Shahidzadeh and F Rondelez Evidence of a transition

temperature for the optimum deposition of grafted monolayer coatings 1992 360(6406) p 719-721

45 Wasserman SR YT Tao and GM Whitesides Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 1989 5(4) p 1074-1087

62

4 Chapter 4 Amino-Terminated Monolayer on H-

Terminated Crystalline Silicon (111) By an Self

Catalytic Reaction

41 Introduction Traditionally amino-terminated alkoxysilane-based self-assembly system is formed on

hydroxylated surfaces through the formation of a Si-O-Si surface bond between the silanol

group of the alkoxysilane chain and the surface hydroxyl group (Figure 41) In reality the

formation structure and morphology of the siloxane layer on semiconductor surfaces are

extremely sensitive to traces of water in the solvent which leads to self-polymerization

irregular cluster structures and poor structural reproducibility It is very hard to control the

amount of water that physically absorbed on the surface Moreover for widely used

aminosilane the optimized routes are still not available for preparing amine functional

surface with short chains[1 2] partially because aminosilane could interact with

hydroxyl-terminated SiO2Si through multiple reactions including ionic interactions

hydrogen bonds and covalent bond Si-O-Si that lead to more complex conformation and

disorder

SiOEtEtO

EtO

H2N

SiOHHO

HO

H2N

CH3OH

3 H2O+ +

(Hydrolysis)

SiOHHO

HO

H2N

SiOHHO

HO

H2N

+Si

HOHO

H2N

SiOHHO

O

H2N

(Condensation)

Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane

(APTES) SAM Formation

63

For hydrogen-terminated silicon surface in spite of its atomically well-defined surface

structure[3] is not suitable for direct application of silane agents because of the lack of

hydroxyl group or physical absorbed water which are commonly believed to be crucial for

the siloxane layer formation on the surface [4]

In this chapter we reported a new method to prepare ndashNH2 terminated Si (111) surface

by attaching (3-Aminopropyl) triethoxysilane (APTES) molecule to hydrogen terminated

surface through a simple one-step reaction By applying infrared spectroscopy technique

we have shown that APTES can readily react with H- terminated surface and attach to the

silicon surface forming stable layer We have found that the amine group of APTES is the

key for the reaction to take place Also the structure of the interfacial surface of SAMSi

especially the formation of interfacial SiO2 is investigated A possible mechanism of that

is proposed and discussed

42 Experimental

421 Materials Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both sides to

a thickness of 450 μm Following chemicals were used as received except where noted

(3-aminopropyl) triethoxysilane (APTES) (98) triethoxysilane (TES 95)

heterobifunctional crosslinker p-maleimidophenyl isocyanate (PMPI) anhydrous

toluene(998) anhydrous acetonitrile(998)(all from Sigma-Aldrich Corp)

422 Preparation of H-terminated Si(111) N-doped FZ silicon (Si (111)) wafers are cut into 1cm x 2cm pieces cleaned with acetone

and methanol The standard cleaning procedures (RCA) are then applied to the Si surface

de-ionized water (DI water 182M cm)H2O2NH4O (411) DI waterH2O2HCl  

(411) Both solutions are heated at 80degC for 10min with thorough rinsing with DI water

after each step The RCA cleaning removes organic and metallic contamination The

atomic flat hydrogen terminated Si(111) surface (H-Si(111)) is prepared by etching the

64

Si(111) surface in dilute HF (~10) for 30s followed by etching in ammonium fluoride

(49) for 2min 30s at room temperature [3] The prepared H- terminated silicon surface is

atomically flat and hydrophobic

423 Formation of Aminosiloxane SAM on H-terminated Si(111) (3-aminopropyl) triethoxysilane (APTES) monolayer is formed by immersing freshly

prepared H-Si (111) surface into 01 (vv) APTES in anhydrous toluene (998 both

from Aldrich) for various time in a nitrogen gas (N2) purged-glove box After the reaction

the sample is rinsed in anhydrous toluene three times and then sonicated in anhydrous

toluene for 5min Finally the functionalized sample is blown dry in N2 stream Sample is

transferred to the infrared spectrometer under ambient environment and spectra are

collected under a dry air-purge

As a control experiment other solutions are prepared One consist of HSi (111) in

solution in anhydrous toluene and the other one is 01 (vv) triethoxylsilane (TES) agent

in anhydrous toluene TES has three ethoxy groups similar to APTES But instead of having

an aminopropyl chain the Si atom is bonded to a unique hydrogen atom All experiment

procedures were the same as the above descriptions for APTES

424 Stability of Aminosilane SAM APTES modified Si (111) samples were immersed in DI water for various period of

time from 30min to 46 hours Spectra were collected after each exposure time

425 Chemical Reactivity of Amino- SAM on Si(111)

P-maleimidophenyl isocyanate (PMPI structure shown in Figure 42)solution is freshly

prepared right before the reaction with APTESSi(111) by dissolving xx mg PMPI power

in 20 ml anhydrous acetonitrile Acetonitrile was used as the solvent due to its better

suitability than NN-dimethyl formamide dimethyl sulfoxide or aqueous buffers in similar

applications [5] APTESSi (111) sample is transferred into the PMPI solution in a N2

purged glove box Reaction was carried out for 24 hours at room temperature in the sealed

test tube Sample is then rinsed with anhydrous acetonitrile and dried in a stream of N2 flow

65

All procedure is operated in a N2 purged glove box

N OO

NCO

Figure 42 p-maleimidophenyl isocyanate (PMPI)

426 Infrared Spectroscopy Characterization

Infrared absorption spectra (IRAS) of functionalized Si surfaces are recorded between

650cm-1 and 4000cm-1 on a Nicolet FT-IR spectroscometer equipped with liquid nitrogen

cooled down MCT-B detector and using a transmission set up The infrared beam is

incidented at 74 degree off normal corresponding to the Brewster angle for silicon and 10

degree off normal to minimize the possible reflection interferences A 4cm-1 (data spacing

1912cm-1) resolution has been chosen with 1000 scans

43 Results 431 Formation of APTES SAM on Hydrogen Terminated Si(111) Figure 43 shows a typical infrared spectrum of freshly deposited APTES on

hydrogen-terminated Si (111) The spectra are recorded after a 20 hoursrsquo reaction time

followed by rinsing and sonication in anhydrous toluene Figure 43 a is recorded at 74deg

incident angle off normal while Figure 43 b is recorded at 10deg After exposure to APTES

solution the sharp feature of Si-H stretching mode at 20837 cm-1 is significantly reduced

broadened and red-shifted to 2076 cm-1(Figure 43a) The total integrated area is only ~

23 of the initial fresh-etched Si-H mode (~0014) As shown in the

66

Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES

FTIR spectra of freshly-etched H-Si (111) samples exposure to the APTES in anhydrous Toluene for 20h (nov1) at room temperature in a nitrogen purged glove box Spectra were recorded for sample at a) 74 degree (Brewster angle for silicon) off normal and b) 10 degree off the surface normal with MCTA detector Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si (111) while range 1900-2400cm-1 is referenced to clean oxidized surface The spectra in the small up-left panel are compared of Si-H stretch mode between spectra a and c fresh-etched H-Si (111) surface as prepared Polarization experiments on H-Si (111) (a and b) demonstrated that Si-H bond is normal to the surface The free primary amine NH2 bending mode at 1600cm ndash1 also shows some polarization dependence indicating ndashNH2 perpendicular to the surface

67

inserted left-up panel in the Figure 43 the sharp H-Si stretching mode at 20837 cm-1

(Figure 43c) reveals a monohydride-terminated atomically flat surface[3] The

broadening and shift of this band indicates a change in the neighboring chemical

environment of surface H-Si bond A similar shift of the Si-H band to lower frequency due

to hydrogen-bonding interaction with nearby NH2 or Si-NH3 has been previously reported

by Queeney et al [6] Along with the decrease of the Si-H peak in the intensity a weak

broad bump appears around 2240 cm-1This mode has previously been assigned to the

H-SiO3 from Si-Si back bond oxidization[7] revealing that oxidation takes place during the

reaction

With reducing Si-H mode several intense and broad peaks appears in the 1000-1300

cm-1 range contributing from multiple vibration modes such as Si-O Si-O-C modes and in

the region of 2800-3000 cm-1 attributed to C-H stretching modes Since the CH2

asymmetric stretching mode is at 2933 cm-1 greater than reported ~2920 cm-1 for a

crystalline ordered film [8] it indicates that the formed APTES film is disordered A small

shoulder at 2973 cm-1 from CH3 asymmetric stretching mode indicates the existence of

partially hydrolyzed APTES We then expect that the ethoxy group (Si-O-C2H5) will also

contribute in other modes for instance Si-O-C stretching mode at 1107cm-1

The NH2 bending mode at 1604 cm-1 indicates that the amine groups are extended away

from the surface and are free from hydrogen-bonding interaction with the surface hydroxyl

groups A weak broad peak at ~3300 cm-1 is assigned to the corresponding stretching

modes of primary amine group The broad bands peaked at 11381050 cm-1 are assigned to

the various Si-O modes formed by the APTES condensation They are also overlapped

with other bands such as Si-O-C modes from ethoxy group of only partially hydrolyzed

APTES

Figure 44 displays the spectrum for fresh H-Si (111) exposed only to the anhydrous

toluene under the same experimental condition The spectrum from Figure 43a is shown

here as a comparison No absorbance features related to toluene are actually observed after

20 hr Moreover the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a

~95 of total integrated area of initial fresh H-Si(111) surface These evidence indicates

that hydrogen terminated Si(111) is stable in the anhydrous toluene An additional

experiment has shown that H-Si (111) surface is stable in anhydrous toluene even after 72

68

hours (data not shown) Thus we exclude the possibility that solvent alone will contribute

to the spectra features we observed

The stage of the reaction of H-Si (111) with APTES is examined by measuring surface

changes after various time period of immersing time in the APTES solution Figure 45

shows the spectra for fresh H-Si (111) after being exposed to APTES solution for a) 30

minutes b) 2 hours and c) 20 hours respectively Comparing spectra taken after 30 minutes

and 2 hours both 1000-1300 cm-1 region and C-H stretching mode regions increase with

increasing exposure time while the equivSi-H mode decreases and broadens with the exposure

time accompanied with the increase of a new peak at ~2240 cm-1 from oxidization species

A shoulder of CHx at 2973 cm-1 corresponding to CH3 stretching mode is seen in all of

spectra and slightly decreases in the intensity with prolonged exposure time This indicates

gradual loss of ethoxy group of APTES A series of experiments where the exposure time

is varied from 5 minutes to seven days is performed We present in Figure 413 the

integrated area of Si-H (~2083 cm-1) and H-SiOx (~2240 cm-1) as a function of reaction

time and in Figure 414 the integrated area of three regions oxide region (1000-1300 cm-1)

CHx stretching modes (2800-3000 cm-1) and -NH2 bending mode (~1604 cm-1) As shown

in Figure 413 with the increasing exposure time the integrated area of Si-H decreased

and H-SiOx increased initially and then decreased This trend is similar to what is observed

in the initial oxidization of H-Si(111) in air[9] The fact that (70plusmn8 ) of Si-H remains

after 20 hours exposure to APTES solution suggests that the majority of the surface is still

covered by the H-Si The CHx stretching modes and -NH2 bending modes have the same

time dependence initial increase and then decrease The decrease of intensity of CHx may

be due to gradual removal of ethoxy group from partially attached APTES molecule The

integrated area of 1000-1300 cm-1 region increases initially and reaches a plateau after 20

hours This suggests that the film growth is self-terminated and polymerizations are

limited

69

Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to a 01 (vv) APTES in anhydrous toluene for 20 hours b neat anhydrous toluene for 20 hours Both reactions were performed at room temperature in a nitrogen purged glove box Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si(111) and range 1900-2400cm-1 is referred to a clean oxidized surface

Table 1 Peak Assignments for APTES Layer Formed on the H-Si(111)

Peak Position (cm-1) Assignment

2973 CH3 asymmetric stretching 2933 CH2 asymmetric stretching 2878 CH3 symmetric stretching 2250 H-SiO3 stretching 2076 Si-H stretching 1640 H2 O scissoring 1604 Free NH2 bending

1138 1050 Si-O-Si stretching 887 H-SiO3 bending 740 CH3 rocking

70

Figure 45 FTIR Spectra of Time Dependence Experiments

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to 01

(vv) APTES in anhydrous toluene for various time length a 30 minutes b 2 hours c 20

hours All reactions were performed at room temperature in a nitrogen glove box All

spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to

freshly-etched H-Si(111) and range 1900-2400cm-1 are referenced to clean oxidized

surface For clarity absorbance values within region 1900-2400 cm-1 were multiplied by

the scaling factor as shown

71

432 UV experiment UV irradiation has been found to promote the hydrosilylation of alkene on the

H-terminated silicon surface by forming Si-C bond through a free radical chain reaction

[10 11] To investigate the mechanism of reaction further we irradiate the APTESH-Si

(111) solution for 30min with a 284nm UV light The spectra for APTES reaction with and

without UV are shown in Figure 46 and the integrated area values for these featuring

bands are shown in the Table 2

Figure 46 shows that both spectra are dominated by strong and broad peak

900-1300cm-1 with maximum at 1085 cm-1 and 1130cm-1 which are assigned to Si-O-Si

asymmetric stretch This region may also include contributions from Si-O-C stretch mode

at 11001085 due to non-hydrolyzed ethoxy groups (-OCH2CH3) in APTES The H-Si

stretch band at 2081cm-1 is reduced slightly broadened and a small shoulder appeared at

lower frequency ~2070cm-1 Comparing its integrated area with the freshly prepared H-Si

(111) there is ~30 less indicating a loss of H-Si bond The peaks at 2922cm-1 and 2973

cm-1 are assigned to asymmetric stretch mode of CH2 and CH3 respectively The

comparison of the integrated area value as shown differs from relative intensity of 1085 to

1133 cm-1 due to the slightly varied environment of Si-O In summary no apparent

difference is found between both spectra indicating that there is no direct relation between

UV excitation and the attachment of APTES

Table 2 Comparison of integrated area of major bands

Int Peak Area (CHx)

(2800-3000 cm-1)

Int Peak Area (H-Si)

(~2080 cm-1)

Int Peak Area

(1000-1300 cm-1)

30min wo UV 0015plusmn00015 00095plusmn00016 0162plusmn0016

30minw UV (284nm) 0014plusmn00016 00101plusmn00017 0178plusmn0018

72

Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and without UV (284nm) Illumination

Hydrogen terminated n-Si (111) samples were immersed in the 01 (vv) APTES in anhydrous toluene at room temperature for 30min a without UV illumination b 284nm UV illumination is applied during the reaction All spectra within 650-1700cm-1 and 2700-3800cm-1 have been referenced to freshly prepared H-Si(111) surface while spectra within range 1900-2300cm-1 are referenced to initial clean oxidize surface

73

433 APTESH-Si(111) Stability in the water

One important application of amine terminated self-assembled monolayer is to enhance the

attachment of bimolecule such as DNA proteins to the surface The stability of NH2 -SAM

in the biocompatible aqueous environment is crucial since most of these attachmentsrsquo

chemistry is carried in an aqueous environment Here we study the effect of water only We

leave APTES-functionalized H-Si (111) samples (annealed or fresh) in the dionized water

for various times at ambient environment and compare it to the results from conventional

APTESSiO2 surface Figure 47 shows FTIR spectra of changes of APTESSi (111) and

APTESSiO2 after being in the water for various times Figure 48 quantifies the changes of

the APTES films on both surfaces by plotting the integrated area of CHx at 2800-3000 cm-1

against the time in the water For APTES SAM formed on H-Si (111) the largest loss

~30 decrease of the integrated area of CHx at 2800-3000 cm-1 is observed within the first

30 min It then slightly increases with increasing time in the water Finally after 20 hours

it decreases again but quite slowly Nearly ~70 film still remains on the surface even

after 46 hours exposure to aqueous water indicating the film is reasonably stable in the

water

In contrast APTES film formed on SiO2 is quickly removed from the surface once exposed

to water which is consistent with other authorsrsquo previous works [1 12] As shown in Figure

47b the film continues degrades indicated by the reduced stretching modes of C-H in the

intensity with increasing time in the water Meanwhile the increase of negative bands at

1062 and 1232 cm-1 assigned to the TO and LO phonon mode of underneath SiO2 substrate

respectively suggests a continuous etching of substrate After 46 hours at least ~70 film

indicated by the integrated area of CHx (Figure 48) is removed from the surface The

remaining ~30 possibly including contributions from background level

hydrogen-carbon absorption on the solidliquid interface is not necessary only because of

the attached APTES molecules

74

Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time

FTIR spectra are recorded for a APTES SAM formed on H-Si(111) b APTES film formed on regular silicon dioxide surface after immersing in water for various time from 30 minutes to 46 hours at the room temperature

75

Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water The quick dropping in the integrated area of CHx indicates large amount of APS molecules that are quickly removed from the surface upon exposure to aqueous water while APTESH-Si (111) has significantly higher stability than APTESSiO2

76

434 Chemical Reactivity of APTESSi(111)

The heterobifunctional cross-linker p-maleimidophenyl isocyanate (PMPI) is used to

verify the chemical reactivity of APTESSi (111) surface It is known that PMPI reacts

with ndashNH2 group either through its isocynanate group to form urea linkage or through its

NHS- group to form amide bond (Scheme 1) As shown in the Figure 49 after

APTES-modified Si (111) reacted with PMPI multiple PMPI bands appeared among

1350-1800 cm-1 The strongest peak at 1722 cm-1 along with weak peak at 1781 cm-1 are

attributed maleimide asymmetric and symmetric C=O stretching respectively The 1668

and 1550 cm-1 are assigned to amide I and II of urea linkage [13] Peak at 1515 cm-1 is

assigned to the aromatic C-C stretching The integrated area from 1363 to 1430 cm-1

assigned to maleimide symmetric C-N-C centered at ~1396 cm-1 is previously used to

quantify the amount of PMPI attached to the APTES surface [14 15] This particular peak

is chosen to integrate predominantly on criteria of minimal overlap with other spectral

features For instance this region can avoid overlapping with bending mode of ndashNH2

(1500-1600 cm-1)

N OO

NCO

SiO

HO

H2N

SiOHOO

H2N

Si Si

N OO

NH

C O

SiO

HO

NH

SiOHOO

H2N

Si Si

(PMPI)

Scheme 1 The isocyanate group of PMPI forms a urea link (-N-CO-N-) with APTES amine group

77

Figure 49 PMPI 24 hours on APTESH-Si(111)

FTIR spectra of APTES functionlizated H-Si (111) were obtained a before b after exposure to PMPI solution APTES spectra are referenced relative to clean H-Si (111) surface while PMPI spectra are referenced relatively to amine-terminated surface prepared from APTES right before reaction with PMPI

78

435 Control Experiment

In order to further understand the reaction mechanism the spectra from the control

experiments are acquired as shown in Figure 410 where fresh H-Si(111) is exposed to the

similar alkoxysilane molecule propyltrimethoxysilane (TMPS) without amine- end group

(b) and anhydrous toluene only (c) under the similar experimental condition

SiOCH3H3CO

H3CO

CH3

Scheme 2 Trimethoxypropylsilane (TMPS)

No absorbance features related to toluene are actually observed after 20 hr Moreover

the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a ~95 of total

integrated area of initial fresh H-Si (111) surface This evidence indicates that hydrogen

terminated Si (111) is stable in the anhydrous toluene An additional experiment has shown

that H-Si (111) surface is stable in anhydrous toluene even after 72 hours (data not shown)

Thus we exclude the possibility that solvent alone can contribute to the spectroscopic

features of APTESH-Si (111) we observed

For alkoxysilane without amine (Figure 410b) the surface H-Si lost lt10 of initial

fresh etched H-Si (111) This loss of H-Si is slightly greater than the loss (~5) when it is

in neat anhydrous toluene but less than the loss (~30) when it is exposed to APTES It

maybe due to the reaction of H-Si (111) with alcohol produced from hydrolysis of silane

molecule It has been shown previously that H-Si (111) can readily react with methanol and

ethanol even under room temperature and dark environment[16] The FWHM of peak of

remained H-Si stretching mode is ~7plusmn07 cm-1 and 4plusmn05 cm-1 for APTES and TMPS

respectively The fresh etched H-Si (111) has FWHM at 44plusmn007 These results indicate a

significant change of chemical environment of surface H-Si bond that occurs after

exposure to APTES The results from control experiments suggest that amine group ndashNH2

in APTES plays a crucial role in the reaction of APTES with H-Si(111)

79

Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c Toluene only FTIR spectra were obtained for freshly-etched H-Si(111) surface exposed to a 01 APTES in anhydrous toluene b 01 TMPS in anhydrous toluene c neat anhydrous toluene only for 20 hours All spectra are reference relative to freshly-etched H-Si(111) surface

80

436 Reaction of H-Si(111) Surface with Propylamine In this section the reaction between ndashNH2 and H-Si (111) was checked in the toluene with

or without presence of water (wet or dry condition) A short organic molecule propylamine

with ndashNH2 as an end group is chosen The FTIR spectra after H-Si(111) is exposed to 02

propylamine for two hours are shown in the Figure 411A Figure 411B shows the zoom-in

region of H-Si and H-SiO3 stretching vibration mode at 2000-2300 of the same spectra in

Figure 411A referred relatively to an oxidized surface

In the anhydrous environment (Figure 411A-b) ~25 loss of integrated area of H-Si

stretching mode at 2083 cm-1 is associated with an appearance of new peaks at 2262 876

cm-1 attributed to H-SiO3 stretching and bending modes respectively The broad peak

centered at ~1130 cm-1 is assigned to Si-O modes This evidence suggests that local

back-bond oxidization of H-terminated Si (111) surface occurs Further quantifying

amount oxide on the surface is done by comparing the integrated area of LO phone mode

within this region to the LO mode of chemical oxidized (SC-1SC-2) SiO2 which has been

shown previously to produce an oxide layer ~10Aring in thickness[17] The total integrated

area of 900-1300 is ~016 less than ~50 peak area obtained on chemical oxidized

surface

There is no discernable peak at 3400 cm-1 and 1640 cm-1 the characteristic peaks of surface

hydroxyl group and absorbed water suggesting the absence of surface hydroxyl Si-OH

group and absorbed water In addition the sharpness of stretching mode of H-Si (Figure

411B) indicates that major surface region is unaffected by immersing in propylamine

solution and remains H- passivated where dipole coupling between neighboring Si-H

groups on a terrace remains[18-20]

The peak at 730 cm-1 could be assigned to ndashNHndash wagging vibration from formation of

surface Si-N-C bond between propylamine and Si-H The corresponding stretching mode

of NH at ~3400 cm-1 is too weak to be discernable in the spectra [21] The appearance of

various stretching modes of -CH2 and -CH3 at 2800-3000 cm-1 including 295029222964

cm-1 with total integrated area ~ 00131 and bending modes at ~ 1450 cm-1 indicates the

attachment of propylamine on surface The amine dissociative adsorption on silicon

1 As a compassion the integrated area of CH (2800-3000) is ~ 002 for H-Si(111) in APTES for 20 hours and less than 0006 for H-Si(111) in TMPS for 20 hours

81

Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine

In the top panel (A) FTIR spectra of fresh prepared H-Si(111) surface after exposure to 02 propylamine in a) regular toluene b) anhydrous toluene Both are referenced against to fresh H-Si (111) As a comparison the spectra of chemically oxidized SiO2 surface after exposure to 02 propylamine in regular toluene is given (c) For clarity the spectra b and the spectra b and c is displayed on 4 timesrsquo smaller scale than a In the bottom panel (B) same spectra shown in the top panel are referenced to clean oxidized silicon surface

82

surface with Si-N bond formation was previously studied in the UHV condition in gas

phase([22-24]) Under our experiment condition (wet chemistry environment and room

temperature) this reaction may be expected but should be significantly different from

mechanism under UHV condition The spectra show that formation of Si-N competes or

co-exists with the oxidization of Si-H catalyzed by trace amount of water and amine group

When excess water co-existed with propylamine (wet condition) H-Si surface is

oxidized much faster than in the anhydrous case As shown in the Figure 411 the

integrated area of Si-O-Si stretching modes at 1000-1200 cm-1 is nearly 10 times higher

than the case where H-Si (111) is exposed to propylamine in anhydrous environment for

the same time period Both H-SiO3 (2256 880 cm-1) and surface hydroxyl (related

vibration modes SiO-H stretching mode at ~ 3400 cm-1 Si-OH stretching mode at 950

cm-1) species are observed In addition the vibration mode related with hydrogen bonded

water is observed the stretching mode of Si-OH at ~3400 cm-1 and scissoring mode of

water at 1640 cm-1 However the surface hydrogen is not completely replaced by ndashOH

group as there is ~ 40 H-Si remained on the surface while another ~30 existed in the

form of H-SiO3 with corresponding stretching mode at 2256 cm-1 (Figure 411B)

Considering the integrated area of stretching Si-O modes at 1000-1200 cm-1 it is nearly

five times higher than SiO2 prepared from RCA chemical oxidization It suggests that

continuing oxidization may happen in an anisotropic manner The oxidization of substrate

is co-existed with other surface species including surface silicon-hydrogen bond with

insertion of oxygen into back-bonds (H-SiO3) and untouched Si-H bond The broadness

(FWHM-) and peak position (~2073 cm-1) of stretching mode of H-Si indicates a

disruption of the dipole coupling between neighboring Si-H groups on a terrace [18-20]

As a schematic drawing shown in the Figure 412 the numbers 1-4 indicate the surface

species presents on the surface In the dry condition only 1 and 2 are observed and

dominated by specie 1 (untouched H-Si) In the wet condition all three species are

observed And anisotropy oxidization happens toward underneath deeper layers The

species 4 is attributed to reaction ndashNH2 with H-Si forming Si-N linkage Both species 2

and 3 readily react with APTES

It has been found that H-Si (111) is stable in pure water up to several days Apparently

propylamine accelerates the oxidization of H-Si where H2O is a source of oxidization

83

Furthermore the direct reaction between propylamine and H-Si (111) may exist although it

is not dominated and must process at a very slow rate

CH3

NH2

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HOSi

O

OHSi

O

HSi

Si

HSi

O

HSi

O

HSi

Si

HSi

Si

HNSi

Si

CH3

H2O

3 2 1 4

Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or

without water

44 Discussion The FTIR spectra demonstrate that APTES can form stable siloxane layer on the H-

terminated Si (111) in an anhydrous toluene at room temperature without using any

accelerators or pre-hydrolysis step Amine- group of APTES is found to be crucial for the

reaction to take place An insertion of oxygen in the Si back-bond forming H-SiO3 is

observed The amount of attached APTES (CHx stretching modes and NH2 bending modes)

is correlated with the degree of loss of Si-H stretching mode and H-SiO3 stretching mode

As the reaction time in APTES solution increases the initial Si-H stretching mode

decreases in intensity while an H-SiO3 stretching mode appears simultaneously and

gradually increases indicating that oxidation occurs After a couple of hours the H-SiO3

stretching mode decreases while mode corresponding to the absorption of APTES on H-Si

(111) is now present The saturation of the Si surface is observed after 20 hours when no

more active sites are accessible to APTES due to the steric restriction

It has been long-term established that alkylsiloxane self-assembled monolayer is used

to engineer silicon oxide surface [4 25] The general accepted mechanisms although still

under considerable debates involves hydrolysis of alkoxy- groups and condensation with

active surface hydroxyl group or incorporate into a two dimensional cross-linked network

of Si-O-Si bonds in the physical absorbed thin water layer [26 27][more references]

However to our best knowledge there is no report about direct formation of alkoxylsilane

84

on oxide-free and hydrophobic H-terminated single-crystal silicon surface which are

normally alkylated through reaction with alkene forming Si-C linkage thermally or

photochemically [10 11] or it is found recently that organotrichlorosilane could possibly

form on non-oxide surface at room temperature due to the hydrosilylation of

chloride-terminated silicon surface generated by ion exchange between Si-H and chloride

ions in anhydrous toluene [28]

A possible two-step reaction mechanism has been proposed previously for

amine-catalyzed silanization of alkoxylsilane on hydrogen-terminated porous silicon

surface with water[29] the first step is an amine-catalyzed hydrolysis of H-Si to form

hydroxyl-terminated surface at the second step the surface hydroxyl groups further react

with the alkoxy (Si-O-R) or silanol (Si-OH) groups of APTES molecule under amine

catalysis The second step of amine-catalyzed siloxane condensation has been previously

reported For example a significant fraction of adsorbed aminosilane attaches covalently

to the surface silanol group in an anhydrous environment at room temperature under

catalysis of amine motifs [30-32]

This mechanism cannot be fully applied to the results we observed under the anhydrous

experiment condition First the absence (or minimized content) of surrounding water in

anhydrous toluene makes the surface hydrolysis less likely to occur It lacks evidence of

Si-OH in present FTIR data We only see Si-OH under the wet condition accompanied by

the formation of a significant large amount of silicon oxide Second this mechanism

doesnrsquot provide detailed information on the initial stage of oxidization of H- terminated

silicon surface In other words it is questionable whether surface Si-OH forms with or

without oxidization of underneath silicon

The studies of water reaction on H-Si(100) under ultrahigh vacuum have shown that

oxidation of Si-Si bonds occurs both at room temperature and elevated temperatures but

without breaking Si-H bond probably because of the bond energy of Si-H 14eV higher

than bulk Si-Si[9] The surface hydroxyl could form only after Si-O-Si formation[33] A

study of the reaction of O2 with H-Si(111) has found that no oxygen is initially inserted into

the Si-H bond to form Si-OH instead various H-SiOx species are formed[34]

From our time-dependence data in Figure 413 and Figure 414 it has clearly shown

that initially H-Si is slowly decreased while H-SiO3 appears simultaneously This indicates

85

at the first step that an insertion of oxygen into H-Si bandbonds occurs Both H-Si and

H-SiO3 modes are still observed after 7 daysrsquo exposure to APTES The vibration modes

related with absorbed silane molecules like CHx and NH2 are barely observed at the first

two hours but start increasing and then decreasing with exposure of the time Based on

these evidence we propose the following mechanism the Si(111) surface initially

H-terminated evolves into H-SiO3 due to the insertion of oxygen (possibly coming from

traces amount of water in the solvent) into the back-bond of equivSi-H as depicted in Figure

415 This step may be also the result of a catalyst process involving the amine group The

hydrogen bonding formed between water and amine group significantly weakens the O-H

bond and thus making the oxygen atom more nucleophilic and more ready to attack the

surface Si atoms either in Si-H or Si-Si with formation of surface hydroxyl Si-OH (I) or

H-SiO3 (II) respectively Our data suggest that the latter (pathway-II) is dominated over

pathway-I under anhydrous environment Silane molecule from the solution can then

readily react with the H-SiO3 group The presence of the oxygen atoms in the back-bond

polarizes the Si-H bond and weakens it making it more reactive to the APTES molecule

After 20 hours the plateau observed in the 1000-1300 cm-1 region indicates that the

saturation has been reached and the APTES layer acts as a barrier to block any further

attachment on the surface active sites H-SiO3 For extensively longer exposure time after

several days in APTES solution the APTES is removed and surface oxidization continues

corresponding to observed decrease of H-Si and CHxNH2 in the intensity Nearly constant

H-SiO3 could be explained as a result of equilibrium between H-Si oxidization and its

further converting to HO-SiO3

In this mechanism surface hydroxyl groups do not form and cannot be accounted for

the attachment of the silane molecules Instead the formation of H-SiO3 is a key in the

surface attachments of APS on HSi (111) As shown in Figure 415 because of the

inserted oxygen atoms that weaken the Si-H bond H-SiO3 has higher reactivity than Si-H

resulting reaction of H-SiO3 with alkoxy-group of APTES under catalysis of NH2 group

86

Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid triangle represents the peak area of Si-H stretch mode at ~ 2100 cm-1 and open circle represents the peak area of H-SiO3 at ~2250cm-1 X-axis (time) is plotted in logarithm scale

87

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid square in the upper panel represents the peak area within range of 1000-1300 cm-1 contributed from several overlapped bands like Si-O Si-O-C In the lower panel the open circle represents the peak area of NH2 bending ~ 1600 cm-1 and solid triangle represents peak area of CHx (X=2 or 3) stretching modes at 2800~ 3000 cm-1 For some data points the error bar was too small and hardly distinguished from the data points X-axis (time) was plotted in logarithm scale

88

Si

SiSi

H

SiSi

SiSi

H

Si

SiSi

OH

SiSi

SiSi

H

NH2EtO

HH

H2

δ+

δminus

Si

OO

H

OSi

OO

H

Si

OH3CH2C

R

O

CH2CH3δminus

δ+

δ+

δminus Si

O

R

O

CH2CH3

Si

OOO

Si

OO

H

I

II

Figure 415 Possible Mechanism of APTES reacts with surface H-SiO3

441 Amine-catalyze Oxidization of H-Si backbond and attachment of

APTES

In the present study we found that amine group APTES is crucial for the reaction For

the alkoxysilane without the presence of amine the reaction with H-Si (111) can only

proceed if there is any at a very slow rate considering ~90 H-Si remains at terrace

structure even after 20 hours Therefore the formation of H-SiO3 is most likely proceeds

under the catalysis of amine group

There are already extensive reports on that amine group catalyze direct condensation of

alkoxy- [30 35] or chlorine- [36] group to surface hydroxyl group The proposed

mechanism of the catalytic activation is that the interaction between nitrogen and hydrogen

attached to oxygen make oxygen more polar[36] The Si-OH surface mode is known to

have strong interactions with Lewis bases such as propylamine and pyridine The hydrogen

bonding due to this interaction substantially weakens the SiO-H bond and increases the

nucleophilicity of the oxygen atom (δminus) which will attack the electron deficient Si atom

(δ+) of the Si-Cl [37] or Si-O-R to form Si-O-Si linkage

89

We examined the reaction of H-Si (111) with propylamine in anhydrous toluene and

regular toluene The increasing amount of water in the solution did significantly accelerate

the oxidization of silicon substrate and formation of surface Si-OH Without the presence

of water both reactions are suppressed and H-SiO3 species was clearly observed and most

of surface H-Si remains This experiment also confirms that the existence of alkoxy group

is important for the reaction to keep going Under anhydrous environment amine group or

alkoxy group alone cannot fully account for the loss of H-Si we observed

442 Limited Interfacial Oxidization

The earlier study of the initial stage of oxidization of hydrogen-terminated silicon in

the water or in the atmosphere has proposed a two-stage oxidization with different

oxidization rates [38] [39] The Si-Si back-bond instead of Si-H is first attacked by water

molecule to form H-SiOx but the oxidization is a slow process (Induction Period) As

Si-OH coverage increases formation of Si-O-Si bridges can be formed through adjacent

Si-OH while more rapid oxidization is observed in a second stage [38] [39] In this

oxidization mechanism the initial oxidization depends strongly on the surface

concentration of ndashOH groups In the case of APTES silanization APTES could quickly

react with H-SiO3 once it is formed under amine catalyzing in the step 2 and then may

suppress any possible further oxidization The attachment of APTES might limit the

oxidization only to the first or second layer The utilization of a N2-purged glove box also

helps to minimize the oxidization Indeed the fact that there are still ~20 of H-Si and

H-SiO3 remaining after extended exposure time suggests that surface is still covered with

hydrogen existing in the form of either H-Si(Si) or H-SiO3 instead of Si-OH The less

oxidization and partially H- passivation may contribute to the observed higher stability of

APTESSi (111) in the water as well where OH- etching of SiO2 substrate or hydrolysis

Si-O-Si is considered as one of the sources of instability

443 Stability of APTES layer and Binding Capability

The stability of aminosilane is crucial for its various applications An ideal aminosilane

layer should be flat stable with a dense surface free amine functional group However the

90

optimized routes are still not available for preparing amine functional surface with short

chains[1] [2] Although APTESSiO2 system is widely used in many biomedical

applications like promoting biological adhesion (ref) it is found previously that it is not

stable at all SAM or multilayers formed on SiO2 from amino-alkoxysilane is easily

removed when the film is exposed to water or water salt solution [1]

The instability of APS on oxidized surface is explained as the existence of multiple

complicate interactions between function groups of amino-organosilane and SiO2 surface

with a thin physical absorbed water layer Thus the absorbed APTES layers are not only

observed through surface Si-O-Si covenant bonds but most likely also through ions

interaction (NH3+) hydrogen bonds (between NH2 and Si-OH) It is also been suggested

previously that the film most likely linked on the physically absorbed water layer on the

SiO2 surface instead of directly binding to the surface In addition the underneath SiO2

substrate could be etched away in the water environment when there is NH2 group around

as indicated by the increasing negative band from 1000-1300 cm-1 at Figure 47b This is

possibly because of the formation of OHminus anion (the surface ndashNH2 pKa is ~10 and ndashNH2 is

protonated under neutral environment (pH~7)

minus+ +minus⎯rarr⎯+minus OHNHROHNHR 322

By using H- terminated Si (111) surface and the method presented in this study the sources

of complicated various interactions mentioned above is minimized or does not exist at all

In addition physically absorbed water is not present on H-Si (111) surface due to the

hydrophobic nature of the surface As a consequence it minimizes the unwanted

polymerization and unwanted attachment of silane layer to the water layer with

significantly reduced stability Moreover the electrostatic interaction between amine and

surface could be minimized also due to lack of surface hydroxyl group Indeed the IR

spectra shown in Figure 43 indicate the existence of free amine groups (bending mode of

NH2 at 1604 for free amine and 1580 or less for hydrogen bonded ndashNH2)

Biological reactivity of surface with ndashNH2 functionality is further confirmed by

reaction with PMPI which forms covalent bond with surface amine group This is

confirmed by the FTIR spectra of PMPI absorbed on APTESSi (111) surface suggesting

91

the surface prepared using APTESH-Si (111) is well suitable for further biological

applications

45 Conclusion We have demonstrated that (3-aminopropyl) triethoxysilane (APTES) can form

self-assembled monlayer on the atomically flat H- terminated Si (111) surface It is first

time that we show the attachment of APTES molecule to silicon without involving oxide

substrate or appreciable oxidization of substrate APTES layer formed on the H-

terminated surface shows significantly higher stability than APTES layer formed on

SiO2Si (100) with free amine groups which are important for its further application The

prepared NH2- surface shows similar reactivity toward the further biological attachment

with other methods A possible mechanism is proposed for the reaction of APTES with

atomically flat H-Si (111) Amine- group has been demonstrated to be a key for the

reactions to take place The first involves the insertion of oxygen into the Si backbond

forming H-SiO3 under catalysis of amine group The following reaction of H-SiO3 with

APTES has been demonstrated to process very quickly The interfacial oxide silicon is

significantly limited and the surface remains partially hydrogen passivated which may

account for the higher stability of APTES layer formed on H-Si (111)

92

Bibliography

1 Wang AF et al In vitro stability study of organosilane self-assemble monolayers and multilayers Journal of Colloid and Interface Science 2005 291(2) p 438-447

2 Allen GC et al Macro- micro- and nano-investigations on 3-aminopropyltrimethoxysilane self-assembly-monolayers Thin Solid Films 2005 483(1-2) p 306-311

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

5 Xiao S-J et al Covalent Attachment of Cell-Adhesive (Arg-Gly-Asp)-Containing Peptides to Titanium Surfaces Langmuir 1998 14(19) p 5507-5516

6 Queeney KT YJ Chabal and K Raghavachari Role of interdimer interactions in NH3 dissociation on Si(100)-(2 x 1) Physical Review Letters 2001 86(6) p 1046-1049

7 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

8 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

9 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air 1994 76(4) p 2157-2163

10 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

11 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

12 BROKER B SILICON SURFACE FUNCTIONALIZATION FOR DNA ATTACHMENT in Department of Physics and Astronomy 2006 Rutgers University

Piscataway 13 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 14 Shen G MFG Anand and R Levicky X-ray photoelectron spectroscopy and

infrared spectroscopy study of maleimide-activated supports for immobilization of oligodeoxyribonucleotides Nucleic Acids Research 2004 32(20) p 5973-5980

15 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2 interface Physical Review B 2003 67(24) p 245305

16 Michalak DJ et al Infrared spectroscopic investigation of the reaction of hydrogen-terminated (111)-oriented silicon surfaces with liquid methanol Journal of Physical Chemistry B 2006 110(41) p 20426-20434

17 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

93

18 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

19 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

20 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

21 Socrates G Infrared Charateristics Group Frequencies 1997 22 Bitzer T T Alkunshalie and NV Richardson An HREELS investigation of the

adsorption of benzoic acid and aniline on Si(100)-2 x 1 Surface Science Vibrations at Surfaces 1996 368(1-3) p 202-207 23 Rummel RM and C Ziegler Room temperature adsorption of aniline (C6H5NH2)

on Si(100)(2x1) observed with scanning tunneling microscopy Surface Science 1998 418(1) p 303-313

24 Kugler T et al Chemically modified semiconductor surfaces 14-phenylenediamine on Si(100) Surface Science 1992 260(1-3) p 64-74

25 Schreiber F Structure and growth of self-assembling monolayers Progress in Surface Science 2000 65(5-8) p 151-256

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

28 Shirahata N et al Interfacial observation of an alkylsilane self-assembled monolayer on hydrogen-terminated Si Langmuir 2004 20(20) p 8942-8946

29 Xu DS et al Hydrolysis and silanization of the hydrosilicon surface of freshly prepared porous silicon by an amine catalytic reaction New Journal of Chemistry 2003 27(2) p 300-306

30 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

31 White LD and CP Tripp An infrared study of the amine-catalyzed reaction of methoxymethylsilanes with silica 2000 227(1) p 237-243

32 White LD and CP Tripp Reaction of (3-aminopropyl)dimethylethoxysilane with amine catalysts on silica surfaces Journal of Colloid and Interface Science 2000 232(2) p 400-407

33 Rivillon S RT Brewer and YJ Chabal Water reaction with chlorine-terminated silicon (111) and (100) surfaces Applied Physics Letters 2005 87(17)

34 Zhang L K Wesley and S Jiang Molecular Simulation Study of Alkyl Monolayers on Si(111) Langmuir 2001 17(20) p 6275-6281

35 Wu B G Mao and KYS Ng Stepwise adsorption of a long trichlorosilane and a short aminosilane Colloids and Surfaces A Physicochemical and Engineering Aspects 2000 162(1-3) p 203-213

94

36 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

37 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

38 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

39 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

95

5 Chapter 5 Alkylation of H-Terminated Silicon (111)

and Thermal Stability of SAMs with Different

Functionalities

51 Introduction The formation of well-defined and densely packed organic monolayers on semiconductor

surface has received increasing interests in the variety field from micronano-electronics

biosensor and biological attachments There are two main categories of methods for

forming organic layers on silicon One is silane-based SAMs via Si-O-Si bond forming

between silane on oxidized silicon and other is alkene-based SAMS via a Si-C on a H-

terminated silicon Other types of SAMs form via Si-N or Si-O-C are not dicussed here

The major drawbacks of silane-based SAMs (chloro- or alkoxy-) on oxide silicon are

the reproducibility in the synthesis and its stability The Si-O bond formed on the interface

of SAMSiO2 is susceptible to hydrolysis and is thermally liable The extensive studies

have shown that the preparation of trichlorosilane or trialkoxylsilane on silicon oxide is

very sensitive to the environment parameters such as water content humidity temperature

solution histories history of glassware resulting in the reproducibility problem under the

regular lab condition

The formation of well-defined and densely packed organic monolayers directly

attached to single crystalline silicon surface without interfacial silicon oxide layer has

received increasing interests since its invention A highly organized monolayer through

highly stable Si-C bonds can be prepared by the reaction of alkenes with H-terminated

silicon thermally photochemically or catalyticly Because of its ability to tailor the surface

for different applications SAMs with a variety of functionalities have been widely

investigated in their formation interfacial structure and decomposition In addition in the

field of farication of semiconductor and electronic devices many processes involve high

temperature anneals Therefore the thermal behavior of organic SAMs is important for

96

applications such as organicinorganic hydride device micro-nano- patterning selective

Atomic Layer Deposition (ALD)

In this chapter the formation structure and thermal stability of two types of organic

monolayers (-CH3 SAM and ndashCOOH SAM) thermally grafted on H-terminated Si (111)

are studied by infrared spectroscopy

52 Experimental

521 Materials

Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular seive 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional cross-linker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and with nitrogen bubbling for at least 1

hour in order to remove the dissolved water and oxygen before use

522 Preparation of Self Assembled Monolayers

5221 Preparation of H- Terminated Si (111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ-cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

97

123

45 6

78

91 10

23

45 6

78

911

N2 flow

cold water

Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)

peroxide at the ratio 31 at 95Cdeg for 25 minutes to remove the surface contamination

following by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into N2 purged FTIR spectrometer

chamber for taking reference spectra or into a N2 purged glove box where all further

chemical functionalizations were conducted

5222 Preparation of ndashCH3 Terminated Si (111) surface

Neat octadecene was pre-treated with 3Aring molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs without oxidizing the silicon surface

After transferring the octadecene liquid into the three-necked flask loading H-Si (111)

sample and assembling the condenser tube in the N2 glove box the whole set was sealed

and moved out from N2 glove box to a hood The octadecene was then continuously purged

with N2 (g) for additional 30 min before heating it up to reaction temperature at 200 degC in a

silicon oil bath

98

5223 Preparation of -COOH Terminated Si (111) surface

Neat 1-undecylnic acid was pre-deoxygenated for at least 1 hour while heated at 50 degC

(keep it from freezing) and then cooled to room temperature The freshly prepared silicon

H-Si (111) was then placed under N2 in a Schlenk three-necked flask containing a

previously deoxygenated neat undecylnic acid and allowed to react at 120 degC for 2 hours

The excess unreacted reagent was removed after the reaction and the sample was rinsed

with THF dichloromethane hot 10 acetic acid (at 80 degC) and DI water and then dried

under the stream of N2 (g)

53 Results

531 Preparation of Octadecylated Si (111) Surface

Figure 52 shows the typical transmission infrared spectra of freshly-octadecylated Si(111)

surface prepared by immersion of hydrogen-terminated Si(111) into the neat 1-octadecene

for 2 hours at 200 degC [1 2] The spectra acquired from two different incident angles of the

IR beam 74deg and 10deg off normal These spectra are referenced relatively to the

freshly-etched H-Si (111) surface so the negative peaks in the spectra represent features of

H-Si (111) surface removed during the alkylation reaction For the spectra collected at 74deg

off normal (Brewster angle) the negative sharp peak of Si-H stretching mode at 2083 cm-1

and Si-H bending mode at 628 cm-1 indicates removal of H atoms from surface The sharp

negative peak at 2083 cm-1 is not observed when probed with IR incident angle of 10deg off

normal while the Si-H bending mode appeared slightly more negative indicating that Si-H

bond is perpendicular to the Si(111) surface The broad low intensity peak at 2073 cm-1

shown in the spectra referenced against the oxidized surface (inserted in a small left panel)

indicates that the alkylation is not completed which is in agreement with at most ~ 50

surface Si-H which can be grafted due to the steric limitation for alkyl chain with more than

one carbon on Si (111) surface [3]

A rather low surface oxidization is observed as indicated by the weak broad peaks

centered at 1018 cm-1 with FWHM 83 plusmn 2 cm-1 in the region of 900-1100 cm-1 The

effective thickness of Si-O is less than 01 nm estimated by comparing the integrated area

99

of broad Si-O-Si phonon modes to the IR spectra of sample that has been oxidized using

standard RCA cleaning (SC-1 10min immersion in a solution of 114 30 H2O230

NH4OHDionized water at 80degC followed by a water rinse and a SC-2 clean 10min

immersion in a solution of 114 30 H2O2 39 HClDeionized water at 80degC) The RCA

clean has been shown previously to produce an oxidized layer of ~ 1 nm [4] After

alkylation the area of Si-O (~00233 centered at 1018 cm-1) corresponds to less than ~10

of regular oxide prepared from RCA method The lack of typical shape (LOTO) of

oxidized peaks at 1000-1200 cm-1 from RCA clean suggests that Si-O probably exists in

isolated Si-O form

The attachment of alkyl chain to the Si(111) surface is confirmed by the positive peaks

at 2800-3000 cm-1 29192850 cm-1 are assigned to the asymmetric and symmetric

stretching modes of CH2 group and 29662879 cm-1 are assigned to the asymmetric and

symmetric stretching modes of CH3 group respectively The peak at 1467 cm-1 is assigned

to the corresponding scissor mode of CH2 groups The υa (CH2) band is indicator of

packing of linear alkyl chains in the monolayers [5] the denser packing results in lower

wavenumber It is known that this band shifts from 2928 to 2920 cm-1 as the alkyl chains

going from disordered liquid phase to ordered solid phase[6] So the observed peak at

2919 cm-1 suggests the alkyl chains are ordered densely packed In fact as shown in the

Figure 53 the integrated area of stretching modes of hydrocarbon representing the

amount of alkyl chains on the surface is nearly linearly correlated with the wavenumber of

υa (CH2) band The denser packing indicated by the higher integrated area of stretching

modes of carbon-hydrogen corresponds to the lower wavenumber of peak of υa(CH2)

The weak band at ~ 678 cm-1 is assigned to the surface Si-C stretching mode The fact

that it disappeared from the spectra when IR beam incident angle is 10deg indicates that Si-C

bond is normal to the surface However it is worth to note that Si-C peak is quite difficult

to see since it is imposed with nearby strong silicon phonon mode at 610 cm-1 Si-H

bending mode at 626 cm-1 and CO2 at 667 cm-1 A careful spectra reprocess is needed in

order to remove other irrelatated bands

100

Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene

FTIR Spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-octadecene for 2 hours at 200 degC The spectra were measured at 74deg (top) and 10deg (bottom) off normal as indicated Both are referenced relative to the freshly-etched H-Si(111) surface

101

Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains

The packing density is represented by the integrated area of stretching modes of carbon-hydrogen It clearly shows that the denser packing of SAM leads to better ordered layer

102

532 Preparation of COOH-Terminated Monolayer on Si(111) Surface

via a Si-C bond

Figure 54 shows transmission infrared spectrum of COOH-terminated Si(111) through

Si-C bond on Si(111) surface prepared by immersion of hydrogen-terminated Si(111) into

the neat 1-undecylenic acid for 2 hours at 120 degC This is similar with the method applied

to porous silicon surface [7] The negative sharp peak of Si-H stretching mode at 20831

cm-1 and Si-H bending mode at 6267 cm-1 clearly indicates removal of H atoms from

surface

The strong peak appears at ~1718 cm-1 (FWHM 239 plusmn 03 cm-1) which is a

characteristics of a carboxylic acid is assigned to the stretching vibration mode of C=O of

a carboxylic acid The broad shoulder of this peak may contain weak stretching mode C=C

at 1634 cm-1 and stretching mode of C=O in an ester form Si-O-C(O)-R at ~1740 cm-1

Although both alkenes (C=C) and carboxyl group (-COOH) may react with H-Si(111) [8]

here we cannot completely exclude the possibility of reaction between COOH and surface

Si-H and exactly estimate the percentage of reaction through this pathway The intense

peak at 1718 cm-1 and the absence of Si-O-C at ~1100 cm-1 strongly indicate that most of

the surface Si-H was consumed by a hydrosilylation reaction with C=C rather than thermal

reaction with the hydroxyl group of acid function In addition the clear peaks at 1278 and

1413 cm-1 assigned to C-OH stretching and C-O-H bending also suggest that the acid end

group is left intact after the reaction This is similar with what reported that the dominant

hydrosilylation is observed in the reaction between undecylenic acid and porous silicon

[7]

The major bands between 2800-3100 cm-1 are directly assigned to the asymmetric and

symmetric methylene stretching υαs(CH2) at 2926 and υs(CH2) at 2854 cm-1 respectively

It is known that the asymmetric stretching mode of methylene an indicator of surface

monolayer packing order shifts from 2928 to 2919 cm-1 as the phase of monolayer shifts

from disordered liquid phase to ordered crystal phase [6] Therefore the above observed

value indicates that the COOH-terminated monolayers formed from undecylenic acid are

not as orderly and compact as long chains of CH3- terminated n-alkyl monolayers

103

Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid

Transmission infrared absorbance spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-Undecylenic Acid for 2hours at 200 Cdeg The spectrum was measured at 74deg off normal and is referenced relative to the freshly-etched H-Si(111) surface

104

Although there are other indirect methods for forming COOH- terminated monolayers ie

by hydrolysis of ester-terminated monolayers on silicon[9 10] these methods still can not

produce monolayer as orderly as n-alkyl monolayers because the hydrolysis of ester group

usually is not complete[11]

Finally the weak band at the 900-1200 cm-1 indicates a very low concentration of

Si-O-Si or Si-O-C the surface is essentially oxide free Compared to the reaction of

n-alkylation of Si(111) using 1-octadecene there is usually a certain small amount of oxide

(~10 equivalent of the chemical oxide formed by standard RCA cleaning with effective

thickness ~ 1 A) that is formed during the similar thermal reaction condition a common

problem in hydrosilylation [2] One explanation of this largely suppressed oxide formation

is that the residual water a cause of oxide formation during alkylation is trapped by

undecylenic acid [12] This chemical modification method is especially useful in the place

where oxide is unwanted Meanwhile the chemically reactive acid groupsrsquo termination

leaves the surface available for being further tailored to meet the requirements of different

applications

533 Chemical Stability Resistance of Organic Monolayer via a Si-C

bond to HFNH4F

Figure 55 shows the FTIR spectral changes of Octadecylated Si(111) after immersed

in the 10 HF solution for 30 second and 40 NH4F solution for 90 second (a typical

recipe used for removing silicon oxide and preparing atomic flat H-Si(111) surface) The

SAM has high resistance toward HFNH4F etching Not only the hydrocarbon has very tiny

loss but also the silicon oxide under SAM formed during alkylation is significantly

protected by organic layer This suggests that SAM is densely packed over the whole

surface and behaves like a very good barrier to prevent HF and NH4F from attacking the

sub-oxide of substrate This also indicates that the oxide must exit as local small patches

surrounded by alkyl chains otherwise the area without alkyl chains protection would be

etched away by HF

105

534 Thermal Stability of Octadecylated Si(111)

Figure 56 shows the typical FTIR data after octadecylated Si (111) annealed at stepped

increasing temperature from 100 to 400 degC In the Figure 56a all the spectra are

referenced against freshly SAM modified Si (111) surface As indicated by the stretching

modes of carbon hydrogen at 2800-3000 cm-1 the loss of alkyl chains starts at 150 degC This

is consistent with the results reported by Fauxheus [13] in the nitrogen environment and

Sung in the ultra vacuum environment [14] With the gradual loss of alkyl chains a peak

appears at 2080 cm-1 corresponding to the stretching mode of surface Si-H Its intensity

increases with increased annealing temperature until 350degC Further annealing to the

higher temperature 400 degC the intensity of Si-H stretching mode starts to decrease with

appearance of new peak at 2269 cm-1 which is assigned to stretching mode of H-Si with

the insertion of oxygen into the Si backbonds H-SiOx species At the same time the CH

stretching mode completely disappeared indicating complete desorption of grafted

alkyl-chains (for clarity the same spectra referenced to clean H-Si(111) are shown in the

Figure 57a) These results directly indicate that alkyl chains are desorbed as a whole with

breaking of surface Si-C bond and regeneration of Si-H in accordance with the desorption

mechanism based on results from UHV conditions[14] Consistent with appearance of

H-SiOx at higher annealing temperature above 400degC there is no oxidization of silicon

substrate observed below 400 degC which indicates that the alkyl chains or H-Si may protect

the surface from oxidization In contrast to Fauxheus et al we do clearly see the formation

of Si-H at 2080 cm-1 and do not observe the oxidization of Si or significant insertion of

oxygen into Si back-bond below 350 degC even in the normal N2 purged environments

Consider that the spectra were referenced against freshly-SAM grafted Si (111) surface

with 20-50 Si-H site untouched the positive peak at 2080 cm-1 indicates there is more

Si-H newly formed during the thermal decomposition of alkyl-chains on the surface than

on the starting freshly-alkylated Si (111) surface

In the Figure 56b the same data as Figure 56a is presented in the different manner

for each spectrum the reference spectrum is the one taken right after heating at the

preceding temperature We can clearly see the biggest loss that occurs during 250 - 300 degC

The amount of loss of CHx is proportional to the increasing intensity of Si-H band In

106

Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution

FTIR Spectra of Octadecylated SAM were obtained before and after exposure to HF (30s) and NH4F (90s) The top spectra are referenced against to Octadecylated SAM before HFNH4F treatment and bottom one are referenced relative to fresh H-Si(111)

107

Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure N2 gas from 100 to 400 degC Transmission infrared spectra were collected at 60 degC after each successive annealing with incident angle 70deg off normal The duration of heating at each temperature is 15min a) All spectra are referenced relative to fresh octadylated Si(111) surface at 60degC b) For each spectrum the reference spectrum is the one taken right after heating at the preceding temperature (differential spectra)

108

Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated Si(111) sample

a FTIR spectra of region of C-H stretching after annealing at different temperature b The dash line plots the integrated peak area of C-H stretching modes as a function of annealing temperature The points are normalized by the peak area measured prior to annealing

109

addition the sharper Si-H peak after heating from 300 to 350degC may suggest that Si-H

continues form and is less likely disturbed by the nearby environment due to removal of

more surrounding alkyl chains from the surface

The desorption behavior of octadecylated Si (111) is summarized in the Figure 57b

The dash line plots the integrated peak area normalized by the peak area of C-H stretching

modes prior to annealing as a function of annealing temperature In contrast to Sungrsquos work

at UHV condition we do not observe the alkenes probably due to the removal of alkenes

by continuous N2 purge flow during the annealing processes

535 Thermal Stability of COOH-Terminated-Si (111)

Figure 58 shows typical FTIR data after COOH-terminated Si (111) annealed at

stepped increasing temperature from 100 to 500degC In Figure 58a all the spectra are

referenced relative to freshly-grafted COOH- terminated Si (111) prior to annealing In

Figure 58b the same data as Figure 58a are presented in the differential manner ie for

each spectrum the reference spectrum is the one taken right after heating at the preceding

temperature

The peak of C=O stretching mode at 1714 cm-1 is very first mode to start decreasing in

intensity at 150 degC and a weak new peak appears at slightly higher frequency 1740 cm-1

This shift of C=O mode maybe due to the breaking of hydrogen bond between COOH-

groups upon annealing It further decreased after annealing at 200 degC simultaneously two

new peaks appeared at 1756 1823 cm-1 which can be possibility assigned to formation of

C(O)-O-C(O) between nearby COOH- groups although this reaction may not account for

all the observed loss of intensity of 1714 cm-1 A possible breaking of C=O may be also

undergoing The peaks at 1756 and 1823 cm-1 disappear after annealed at 350degC

At the 300degC the intensity of carbon-hydrogen stretching modes starts decrease and

the biggest loss occurs in the range of 400-450 degC There is no further change in intensity of

CH2 stretching mode from 450 to 500 degC indicating no further loss of alkyl chains

Comparing to the octadelyated Si(111) as we discussed earlier the COOH terminated

monolayers has higher thermal stability than n-alkylated Si(111) surface where alkyl

chains is completely desorbed from the surface at 400 degC (Note the difference of chains

110

length can not account for this higher thermal stability as the desorption donrsquot significantly

depend on alkyl chain length in the range from C6 to C18[13])

Accompanied with the loss of alkyl chains a new peak at 2072 cm-1 appears which is

assigned straightforwardly to Si-H stretching This strongly indicates that alkyl chains are

disassociated as a whole by cleavage of Si-C and regeneration of Si-H on the surface

Further annealing at 450 degC and higher temperature the intensity of Si-H stretching mode

decreases and a weak peak appears at 2270 cm-1 which is previously assigned to SiH with

insertion of oxygen into the Si-Si backbonds At the same time a broad weak band appears

in the region 900-1200 cm-1 representing the formation of SiO (with effective thickness 2

Ǻ or ~20 coverage in the form of islets of SiO) This evidence indicates that the surface is

only partially oxidized and part of surface is still covered with H-Si in the form of H-SiOx

after annealing at 500 degC

For clarity we also include the transmission infrared data recorded ex-situ using DTGS

detector with 74deg off normal incident angle transmission geometry As shown in Figure 59

the annealed COOH-SAMSi (111) is referenced against to clean H-Si (111) and

freshly-grafted COOH-SAM prior to heating respectively After hydrosilylation and

annealing there is a weak broad peak at 1664 cm-1 and 2269 cm-1 in consistent with in-situ

data And there is only less than ~5 carbon hydrogen remained on the surface As shown

in Figure 59c the negative peak of Si-H stretching mode at 2073 cm-1 has integrated area

~0007 cm-1 (~50 of integrated area of a full H-terminated Si(111) surface) implying that

there was at least ~50 of Si-H presenting on the freshly-grafted COOH-terminated

Si(111) before annealing which is in agreement with that the fact at most ~50 surface

Si-H of H-Si(111) can be substituted with alkyl chains due to the steric limit [3]

111

Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c

112

Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111) FTIR Spectra is recorded ex situ before and after COOH-terminatedSi(111) samples is annealed inside the chamber The spectra were measured at 74deg off normal using DTGS detector a freshly-grafted COOH-SAMSi(111) b annealed COOH-SAM Si(111) referenced relative to freshly-etched H-Si(111) c annealed COOH-SAM Si(111) referenced relative to freshly-grafted COOH-SAMSi(111)

113

54 Discussion

541 Alkyl Packing on the H-Si (111) surface (Theory and Model)

By comparing FTIR spectra of a freshly grafted n-alkylated Si (111) surface with spectum

of fresh H-Si (111) surface (both are referenced to SiO2 surafce) the area under the Si-H

stretching (2083cm-1) on surface revealed that 30 H-Si remains Because a slightly

oxidization is also observed during alkylation 70 is an upper limit of percentage of

hydrogen replaced by Si-C linkage In theory the maximum coverage is 50 due to the

steric interaction between fully extended chains The ordering of alkyl chains increases as

thee packing density increases The percentage of remained surface H-Si after alkylation is

~ 50 for ndashCOOH terminated surface where nearly no oxidization is discernable in the

infrared spectra This is well consistent with the theoretical calculation [15]

542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111)

We demonstrated that ndashCH3 terminated Alkyl C18 chain on silicon (111) is thermally

stable up to 150 degC Starting from 150 degC the chains start to desorb from the surface The

maximum loss of hydrocarbon occurs between 250 to 300 degC Accompanying with the loss

of hydrocarbon chains (indicated by the integrated area of CH stretching mode at

2800-3000 cm-1) a peak at 2076 cm-1 attributed to Si-H stretching mode appears

simultaneously and increases steadily in the intensity up to 350 degC Further annealing at

higher temperature above 350 degC results in loss of Si-H and reappearing of peak at 2260

cm-1 (H-SiO3) results in complete loss of hydrocarbon and appearance of a small broad

Si-O peak at ~1140 cm-1 This indicates the surface is not oxidized until annealing over 350

degC and the oxidization occurs in the way of insertion of oxygen into the Si-Si back-bond of

H-Si

A desorption mechanism has been proposed earlier for thermal decomposition of alkyl

Si(111) in the UHV environment [14] the alkyl chains are desorbed as a whole by cleavage

of surface Si-C bond accompanied by regeneration of the alkenes amd surface Si-H

114

31222322 )( )( CHCHCHCHHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (1)

Alternatively another competing pathway has also been proposed where Si-CH3 instead

of Si-H remains on the surface with cleavage of C-C bond However it was considered as a

minority mechanism [13]

322223322 )( )( CHCHCHCHCHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (2)

Our results obtained from annealing under N2 environment is consistent with the HREEL

data in UHV conditions[14] It directly supports that the alkyl chains are desorbed via

cleavage of Si-C bond with re-formation of surface Si-H The increase of Si-H peak

intensity cannot be explained by the removal of nearby alkyl chain in other mechanism (eg

pathway (2)) without cleavage of Si-C bond Because if pathway 2 is dominated remaining

Si-CH3 would still disrupt the dipole coupling of Si-H and we would not see the increasing

of Si-H mode Indeed the peak position of Si-H is observed at 2080 cm-1 with a shape of

sharp spike suggests that local formation of dipole coupling between neighboring Si-H

groups on a terrace It has been previously shown that Si-H stretching modes is red shifted

from 2083 cm-1 to 2073 cm-1 and broadened due to disruption of dipole coupling of Si-H on

a terrace [16-18] In this picture the amount of removed alkyl chains is proportional to the

re-formed Si-H The presence of Si-H suggests that desorption of the alkyl monolayer

occurs through βminushydride elimination

Annealing above 350 degC and after ~80 alkyl chains are removed Si-H surface starts

to be oxidized by insertion of oxygen into the Si-Si back-bond The source of oxygen may

be due to impurity oxidant in either purged N2 gas or water absorbed inside of chamber

The appearance of H-SiO3 is consistent with previous study of oxidization of hydrogen

terminated silicon with presence of oxidant For instance a study of reaction of O2 with

H-Si(111) found that no oxygen initially inserted into Si-H bond to form Si-OH instead

various H-SiOx species formed [19 20] Another study of water reaction on H-Si(100)

under ultrahigh vacuum has shown that oxidization of Si-Si bonds occurs at both room

115

temperature and elevated temperatures but without breaking Si-H bond likely due to

14eV stronger bond energy of Si-H than bulk Si-Si[21]

The slow oxidization of surface indicated by the small Si-O peaks at 1000-1300 cm-1

and H-SiO3 peak at 2260 cm-1 maybe attributed to the minimized oxidant impurity and

stability of H-Si surface They are not sufficient to drive fast oxidization at the annealing

temperature A previous study of initial stage of oxidization of hydrogen-terminated

silicon in the water or humidity air proposed two-stage oxidization with different

oxidization rate [22 23] The Si-Si back-bond instead of Si-H is first attacked by attracted

water molecule to form H-SiOx and the oxidization is slow (Induction Period) As Si-OH

coverage increasing it could join with adjacent Si-OH to form Si-O-Si bridge groups

These groups are argued to be responsible to more rapid oxidization at the second stage [22

23]

543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)

Comparing to n-alkyl Si(111) SAM COOH-SAMSi(111) shows higher thermal stability

The -CH2- stretching mode of alkyl chains of ndashCOOH SAM only has minor changes upon

annealing to 350 degC while at the same temperature ~80 alkyl chains is removed from

n-alkylated Si(111) surface The largest loss of -CH2- occurs between 400ndash450degC The

infrared spectra clearly show that a chemical transformation of carboxyl-termination prior

to the thermal desorption of hydrogen-carbon chains occurs At the lower temperature

between 200-250degC a decrease of integrated area of C=O mode and the simultaneous

appearance of two peaks at 1726 and 1823 cm-1 suggest a formation of anhydride from

condensation of two neighboring COOH groups (Figure 510)[24] With increasing

temperature to 300degC the largest loss of C=O occurs and the peaks at 17261823 cm-1

remain nearly unchanged instead of increasing This may be explained as anhydride group

became unstable at this temperature The fact that no spectroscopic feature related with

C=O (1400-1700 cm-1) is observed suggests the C=O is chemically transformed or

removed from surface

116

In the most recent independent study of Faucheux et al [25])2 they postulated that C-C

bond between the alkyl skeleton and the anhydride group will break first due to the C-C

bond polarization upon annealing at this temperature range 250-300 degC leaving a saturated

hydrocarbon chains bond to two surface silicon They then attributed the enhanced thermal

stability to the formation of this type of paired chain structure Although this two-end chain

mode seems reasonabe to explain observed enhanced stability there several issues with

this mechanism it lacks direct evidence that how C-C bond forms between two

neighboring chains In addition the steric interaction between chains was not considered

and it may prevent formation C-C bridge on the top of chains (C-C ~154 Aring)

Our results show a similar behavior except that the loss of majority of C=O occurs at

250-300 degC while they are observed at 200-250 degC We observed that anhydride formed at

250 degC is completely removed upon annealing to 350degC while they observed it at lower

temperature at 300 degC3

Anhydrides are formed by dehydration of carboxylic acid with eliminating water

between two carboxylic acid groups Anhydride is pretty reactive acid-derivative like acid

chlorides but less reactive than acid chlorides anhydride can undergo many of the same

kinds of reaction as acid chlorides In our experiment at 250degC ~25 C=O carboxyl

stopped accompaning with the formation of anhydride While at 300degC additional ~40

C=O was lost while there were no more anhydride forms accompanied with the loss of

hydrocarbon It could be either due to the instability of anhydride or there maybe exist

other mechanism accounted for the loss of C=O annealing at this temperature For instance

they could be attributed to removal of single non-paired carboxyl chain by cleavage of Si-C

bond This is consistent with what is found early in the case of n-alkylated Si(111)

annealing at 250-300 degC leads to removal of majority alkyl chains by cleavage of Si-C

R-COOH + R-COOH + heat --gt R-C(O)OC(O)-R + H2O

2 Our work is carried independently with their research At the time we have all data and prepared for a publication they published their results right before us 3 Note the temperature range difference between us may be attributed to the way to measure sample temperature

117

Furthermore we notice that the quantitative analysis of our experimental data in Figure

511 has a very similar pattern to the simulated result of desorption of two-end chains[25]

Similar to the thermal decomposition of n-alkyl chains on Si(111) a increase in Si-H

stretching mode accompanying with loss of -CH2- indicates that desorption of the alkyl

monolayer occurs through β-hydride elimination In contrast to thermal decomposition of

n-alkyl chains on Si(111) where the loss of H-Si and appearance of H-SiO3 is observed

upon annealing to 400 degC H-Si stretching mode decreases while H-SiO3 is barely observed

during the process of heating COOH-SAM The Si-H starts to decrease when annealing

temperature is as lower as 250 degC This might be due to the excessive oxidant existed on the

surface with hydrophilic ndashCOOH groups(eg release of pre- hydrogen bonded water upon

heating or H2O formed from transformation of carboxyl to anhydride) And the larger

amount of Si-O is observed in the range 1000-1300 cm-1 suggesting more silicon

oxidization presenting on the annealed COOH-SAM surface This higher degree of

oxidization and lack of intermediate product H-SiO3 probably is due to exist of oxidant

from decomposition products (H2O) of carboxyl group

Based on this evidence we propose the following mechanism as shown in Figure 510

At the lower temperature (150-250 degC) -COOH functional groups are undergoing

chemical transformation to anhydride at the place where two nearby ndashCOOH exist With

temperature increasing to 250-300 degC the single carboxyl alkyl chain that has no chance to

form anhydride will be removed from the surface with the removal of whole alkyl chains

through Si-C cleavage Further annealing at 350 degC leads to degradation of anhydride and

may form bridge C-C or C-O-C connecting two neighboring chains and release CO and

CO2 The paired chains have higher thermal stability can be desorbed from surface until

annealing to 400 degC and above

118

Si

OHO

Si

OOH

Si

OO

Si

O

CO2CO

O

SiSi

Figure 510 Possible Reaction during Annealing

Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen environment

Quantitative analysis of the change of integrated area stretching mode of CHx of carboxyl-C12 SAM and n-alkylated C18 SAM (Note here length of chains is not matter But for a more rigorous discussion a result from C12-SAM will be given later) Both are normalized to area of CH2 from fresh grafted SAM

119

54 Conclusion We demonstrated that organic self-assembled monolayers (with -CH3 and ndashCOOH

terminal groups) can be thermally grafted on the H-Si (111) via a Si-C bond There is much

less surface oxidation occurring during the formation of ndashCOOH SAM than that of ndashCH3

SAM possibly because trapping of trace of oxidant (ie H2O) by the -COOH terminal

group prevents it from attacking silicon substrate The ndashCH3 SAM shows crystal-like

ordered structure The ordering of alkyl chains of ndashCH3 SAM depends on the packing

density the higher packing density the better ordering is Grafted ndashCOOH SAM is found

less ordered than ndashCH3 SAM possibly due to the interaction between the ndashCOOH head

groups

The study of thermal stability of the organic layers by annealing samples under N2

atmospheres shows that both types of SAMs desorp from surface through cleavage of Si-C

bond (β-hydride elimination reaction) -CH3 SAM is thermally stable up to 200degC

Annealing to higher temperature results in desorption of alkyl chains from surface

accompanied with re-formation of Si-H Further annealing to higher temperature at 400degC

hydrocarbon is completely removed from surface confirmed by the FTIR spectra and final

surface is partially oxidized with insertion of oxygen into the Si-H back-bond

COOH-SAM shows enhanced thermal stability compared with o ndashCH3 SAM The

alkyl chains are thermally stable up to 350 degC and are completely desorped from surface

after annealing at 450 degC COOH functional group is found to play a role in enhancing

thermal stability of layers It is found that anhydride forms between -COOH groups of two

neighboring chains before the desorption of alkyl chains occurs The elimination of the

anhydride by anealing at 300-350degC may lead to formation of an end-paring of the grafted

chains with C-O-C or C-C bridging on the top of chains The end-pairing chains

correspond to the enhanced thermail stability

120

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

4 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

5 Porter MD et al Spontaneously organized molecular assemblies 4 Structural characterization of n-alkyl thiol monolayers on gold by optical ellipsometry infrared spectroscopy and electrochemistry J Am Chem Soc 1987 109(12) p 3559-3568

6 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

7 Boukherroub R et al Thermal hydrosilylation of undecylenic acid with porous silicon Journal of the Electrochemical Society 2002 149(2) p H59-H63

8 Asanuma H GP Lopinski and H-Z Yu Kinetic Control of the Photochemical Reactivity of Hydrogen-Terminated Silicon with Bifunctional Molecules Langmuir 2005 21(11) p 5013-5018

9 Sieval AB et al Highly stable Si-C linked functionalized monolayers on the silicon (100) surface Langmuir 1998 14(7) p 1759-1768

10 Boukherroub R et al New synthetic routes to alkyl monolayers on the Si(111) surface Langmuir 1999 15(11) p 3831-3835

11 Liu YJ NM Navasero and HZ Yu Structure and reactivity of mixed co-carboxyalkylalkyl monolayers on silicon ATR-FTIR spectroscopy and contact angle titration Langmuir 2004 20(10) p 4039-4050

12 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

13 Faucheux A et al Thermal decomposition of alkyl monolayers covalently grafted on (111) silicon Applied Physics Letters 2006 88(19)

14 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

15 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

16 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

121

17 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

18 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

19 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

20 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

21 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air Journal of Applied Physics 1994 76(4) p 2157-2163

22 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

23 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

24 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 25 Faucheux A et al Mechanisms of thermal decomposition of organic monolayers

grafted on (111) silicon Langmuir 2007 23(3) p 1326-1332

122

6 Chapter 6 Selective Atomic Layer Deposition (ALD) of

Metal Oxide on SAMs with Different Functional Groups

61 Introduction

Scaling down of integrated circuits requires the replacement of SiO2 gate dielectric in

the field effect transistor because leakage current exponentially increases with decreasing

SiO2 film thickness and results in device failure The high- and low- permittivity dielectrics

are been investigated as a substitutes of SiO2 for years The deposition of high quality

high-k film on the demanding geometry is essential for the applications Aomic layer

deposition (ALD) is the one which can meet these requirements

ALD is a powerful ultra-thin film deposition technique offering excellent large-area

uniformity and conformality It enables accurate control of film thickness composition

with demanding geometry at the atomic level The ALD process uses molecular precursors

such as metal complex for growth of metal-oxide film Each precursor behaves as a

self-limiting reaction at the surface and is deposited in monolayer per cycle The film

quality and growth rate of ALD process greatly depend on the initial surface chemical

condition A surface with proper chemical state is required for molecular precursor to

nucleate on the surface and to initiate a well-controlled linear growth

For this purpose a thin SiO2 surface terminated with hydroxyl group was used to as

starting surface for high-k film deposition which actually partially compromises the

advantage of using high-k by reducing the effective gate stack capacitance Recently ALD

of high-k has been found to be able to perform on oxide-free H-passivated silicon (HSi)

[1-4] However because silicon has high affinity toward oxygen interfacial SiO2 is easily

formed between the silicon and high-k layers during the ALD process[1 2] in spite that

H-passivated silicon shows high resistance to oxidization under normal lab condition in

123

ambient environment and even in pure O2 or H2O environment at temperature as high as

300degC ([2 5]) Furthermore H-terminated Si has relatively poor nucleation characteristics

comparing to hydroxyl terminated SiO2 surface resulting in non-linear film growth and

formation of discontinuous and electrically leaky gate stack To solve the problem of poor

nucleation H-terminated silicon surface a starting surface with reactive nucleation sites

and good oxygen barrier is under the demand

Semiconductor passivated by alkyl chains through Si-C bond has shown high stability

in the air or water The SAMs serve as diffusion barrier to protect surface from oxidization

and other chemical reaction The surface cannot be oxidized in the air for months In this

work we explore to use alkyl-SAM as alternative solution for the ALD issues addressed

above First SAMs could provide a well-defined interface surface and act as a barrier for

oxidant diffusion Second at the same time by using broad available organic chemistry

methods SAMs can be easily tailored with different chemical functional groups in order to

meet different applications It can enhance the nucleation process and promote a linear

growth dynamics on the top of SAMs with reactive terminal groups such as hydroxyl and

carboxyl group It can be also used as passivated inert layer with -CH3 termianl group to

block unwanted reactions

Besides the direct applications of SAMs in ALD process SAMs can be used as model

surface to study organic-inorganic interface Organic-inorganic interface plays increasing

important role in molecular electronics micro-interconnect technology such as

carbon-based low-k dielectrics and metallicinorganic diffusion barrier However

elucidation of fundamental interaction at the interface is very difficult and not well

understood because of nature of complexity and lack of precisions for the organic surface

(such as organic polymer surface having mixed terminal groups) High quality and

well-ordered organic SAMs [6] provide a known surface density of uniformly organized

functional groups at the interface and ability to tailor surface properties by varying terminal

functional group

In this study we apply ALD of Al2O3 on SAMs surface with different terminal groups

including OH- NH2- COOH- CH3- The controllable surface nucleation is studied

including both substrate-enhanced growth and substrate-inhibited growth The interaction

between functional groups and TMA precursor has been studied systematically in this

124

study Because the effect of substrate on the nucleation and growth is seen in the beginning

of the ALD growth our current study focuses on the very first 10 ALD cycles

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

SiH

SiSiH

SiSiH

SiSiH

SiSiH

SiSiH

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

ALD

High k Metal Oxide

hydrosylation

Figure 61 SAM Assisted Atomic Layer Deposition of Metal

Oxide on Silicon Surface

125

62 Experimental

621 Materials Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular sieve 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional crosslinker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and N2(g) bubbling for at least 1 hour in

order to remove the dissolved water and oxygen before use

622 Preparation of Self Assembled Monolayers

6221 Preparation of H- Terminated Si(111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95Cdeg for at least 25 minutes to remove the surface

contamination following by thoroughly DI-water rinsing The cleaned sample was then

placed in the 10 HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the

silicon oxide layer and produce an atomic flat H- terminated Si(111) surface During the

etching step the wafer was agitated occasionally to remove the bubbles formed on the

surface After NH4F etching sample is rinsed thoroughly with DI water and dried under a

stream of N2 (g) The sample was then immediately removed into N2 purged FTIR

126

spectrometer chamber for taking reference spectra or into a N2 purged glove box where all

further chemical functionalizations were conducted

6222 Preparation of ndashCH3 Terminated Si (111) Surface

Neat octadecene was pre-treated with 3Ǻ molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs with minimized oxidization of the

silicon surface After transferring the octadecene liquid into the three-necked flask loading

H-Si (111) sample and assembling the condenser tube in the N2 glove box the whole set

was sealed and moved out from N2 glove box to a hood The octadecene was then

continuously purged with N2 (g) for additional 30 min before heating it up to reaction

temperature at 200 degC using silicon oil bath

6223 Preparation of -COOH Terminated Si (111) Surface

Neat undecylenic acid was pre-deoxygenated for at least 1 hour while heating at 50 degC and

then cooled to room temperature The freshly prepared silicon H-Si (111) was then placed

under N2 in a Schlenk three-necked flask containing the previously deoxygenated neat

undecylenic acid and allowed to react at 120degC for 2 hours The excess nonreacted reagent

was removed after the reaction and sample was rinsed with THF dichloromethane hot

10 acetic acid and DI water and then dried under a stream of N2 (g)

6224 Preparation of ndashOH Terminated Si (111) Surface

-OH terminated SAM is reduced from a ndashCOOH SAM by immersing freshly prepared

ndashCOOH SAMSi(111) into the 01M LiAlH4 in anhydrous THF for 6 hours After pouring

out the excess reaction solution sample was rinsed with THF and dried under a stream of

N2 (g)

6225 Preparation of ndashNH2 Terminated Si(111) Surface

Two routes were developed to prepare ndashNH2 Terminated Si(111) surface Both are

derivatives of ndashCOOH SAM Method I first utilizes conversion of the acid functional

group to succinimidyl ester as following freshly prepared ndashCOOH SAM was activated by

127

immersing into NHSEDC solution (NHS 01M and EDC 04M in deionized water) and

allowed to react at room temperature for 1 hour to form Si-C10COONHS surface The

resulting surface was rinsed copiously with deionized water and then dried under a stream

of N2 (g) This reaction is pretty robust and the completion of conversion is confirmed by

FTIR spectroscopy Second the NHS-terminated surface was then reacted with

ammonium by immersing into 01M ammonium solution in dioxane for 2 hours with

formation of Si-C10-CONH2 a primary amide terminated surface After reaction the

sample was rinsed copiously with THF and then dried under N2 stream The last step was

involved reducing primary amide to primary amine by immersing ndashCONH2 SAM into

01M LiAlH4-THF solution for 6 hours followed by rinsing with THF 10 HCl aqueous

solution and deionized water then dried under a stream of N2

Method II is chlorination-based method The routine is shown in the Figure 62 In a

N2 purged glove box freshly prepared ndashCOOH SAM was convert to ndashCOCl by immersing

into 01M oxalyl chloride solution prepared by adding 100μL oxalyl chloride (2M in

CH2Cl2) into 20ml anhydrous CH2Cl2 then add one drop of anhydrous DMF for 3 hours

After reaction is completed the -COCl terminated sample rinsed copiously with anhydrous

CH2Cl2 and let it naturally dried in N2 glove box Then sample was transferred into a clean

test tube sealed in the N2 glove box and transferred to another glove box for further amine

modification or to FTIR chamber for IR measurement The operation process must be

careful to avoid exposure to air or moisture The freshly prepared ndashCOCl SAM sample was

placed in the Teflon beaker containing 5ml 05M NH3 dioxane solution and 2ml anhydrous

triethylamine The beaker was then covered with Para film and left for overnight in the N2

glove box After the reaction the excess solution was poured out and sample was rinsed

with deionized water and then dried in N2 flow

The chemical reactivity of -NH2 terminated SAM was confirmed by reacting it with

PMPI a common cross-linker used to covalently immobilizing DNA or protein on the

ndashNH2 terminated surface The freshly prepared -NH2 SAM was placed in the PMPI

solution prepared from dissolving 50 mg PMPI power in 20ml anhydrous acetonitrile for

24 hours Sample was rinsed with excess solvent acetonitrile and dried in a stream of N2

128

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

PCl5

NH3

LiAlH4

Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM

623 Characterization of the Self-Assembled Monolayers Transmission Fourier transform infrared spectrometry (FTIR) was carried out in both

ex-situ and in-situ For ex-situ setup a Nicolet Magna 860 spectrometer equipped with

rotating sample holder and DTGS detector was used The spectra were recoded at 4 cm-1

resolution 1000 scans for each loop of total 5 loops The spectrometer was constantly

purged with N2 (g) while acquiring data

624 Atomic Layer Deposition Chamber Set Up UHV apparatus More detailed information with schematic drawing of ALD chamber and in-situ IR setup is

described in the Chapter 2

129

63 Results 631 Preparation and Characterization of COOH- terminated

SAMSi(111) (same as Chapter 5)

632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111)

Figure 63 shows FTIR spectra of freshly-grafted COOH-SAMSi (111) after exposure to

TMA for various times and Figure 64 is zoomed in region of 1300-1900 cm-1 The spectra

are presented in the differential manner for each spectrum the reference is the one taken

after preceding exposure Upon the first 1s exposure to TMA at 100 degC the stretching

mode C=O of acid group at 1714 cm-1 completely disappears with appearance of two

strong bands centered at 1477 1581 cm-1 with a shoulder at 1610 cm-1 They can be

assigned to the stretching mode of C=O in acid salt structures [7] The peak at 1217 cm-1 is

assigned to symmetric deformation CH3 modes of Al-CH3 previously [2] [8-10] and it is

not Si-CH3 bonding The corresponding CH3 asymmetric mode at 1467 cm-1 is within the

strong peak of the stretching mode of C=O and cannot be distinguished from the spectra

The peak at 706 cm-1 is attributed to the CH3 rocking modes of Al-(CH3)3 [11 12] and small

positive features at 2800-3000 cm-1 is assigned to the C-H stretch of Al-(CH3)3

Further exposure to TMA the spectra have only slightly changes at the region around

1580 cm-1 indicating most reactions on the surface are completed after the first TMA

exposure It is possibly due to peak shift resulting from continuous change of environment

of C=O and forms more salt-like structures [7] There is no more change at 1217 cm-1

indicating no more Al-(CH3)3 absorbed or desorbed from the surface This self-terminating

growth is an important condition for ALD growth of TMA

In order to further investigate the surface bonding between Al-(CH3)3 and COOH-

group the 10s TMA exposed COOH-SAMSi (111) sample is removed from ALD

chamber and immersed into the hot HCl solution for 10 minutes Figure 65 shows the

FTIR spectra after 10s TMA exposure and HCL treatment Both are referenced relative to

fresh-grafted COOH- terminated Si (111) surface The features related to Al-CH3 706

1217 cm-1 did not appear due to the exposure of the sample to the atmosphere during the

sample unloading and

130

1000 1500 2000 2500 3000

δSiH

υCH3~706 υC=O

4th TMA 5s

3rd TMA 3s 2nd TMA 1s

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

TMA on COOH-SAM Saturation 10-18-2006

1200 Al-CH3

υCH2

1st TMA 1s

COOH-SAM

10-3

777

Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to

TMA for various time

Freshly-grafted COOH-SAMSi (111) were installed in the ALD chamber and exposed to TMA (gas phase) for various times FTIR Spectra are recorded in situ (except the bottom one) after each exposure with different exposure time using MCT-B detector The spectra are represented in a differential manner except the bottom one for each spectrum the reference is the one taken after preceding exposure The spectra on the bottom is recorded ex-situ at 74ordm incidence angle using DTGS detector and referenced against to freshly etched H-Si(111) surface

131

1500 2000

-0002

-0001

0000

0001

1200

C

OO

C

OO

Abs

orba

nce

Wavenumber (cm-1)

4th TMA 5s

3rd TMA 3s

2nd TMA 1s

1st TMA 1s

5x10-4

1477 1577

υC=O

Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900

cm-1

132

transportation resulting in quick hydrolysis of Al-CH3 to Al-OH Several bands appear at

723 870 and 1056 cm-1 They are assigned to Al-O related compounds due to hydrolysis of

Al-CH3 The two strong bands centered at 1477 and 1558 cm-1 correspond to stretching

mode of C=O in the form of stable acid salt or -C (O) OAl The small broad peak centered

at ~870 cm-1 is assigned to C-O-Al After hot HCl solution treatment as shown in the

Figure 65c both bands at 1400-1600 cm-1 and 870 cm-1 completely disappeared and the

peak corresponding to stretching mode of C=O of -COOH reappears at 1714 cm-1 This

indicates that the surface is recovered to the initial COOH- terminated Si(111) surface

(Figure 65a) with ~30 reduced concentration comparing to the initial ndashCOOH

terminated surface (ie the integrated area of 1714 cm-1 is ~70 of initial integrated value)

The recovery of COOH- groups can be possibly explained as acid salt -COO-Al+ complex

is converted back to -COOH with formation of AlCl3 under strong acid environment This

strongly suggests that TMA forms ionic bond with surface carboxyl group Meanwhile the

fact that only ~70 -COOH recovers and the bands at 1056 and 723 cm-1 which are

assigned to the C-O and Al-O stretching mode of Al-O-C respectively (may be compared

with Si-O-Al) remain unchanged suggest that the ~30 COOH loss maybe due to

permanent breaking up C=O and formation C-O-Al bond when ndashCOOH react with TMA

molecule

133

1000 1500 2000 2500 3000

c

bC=O in salts

sim870sim723 υCH2υC=O

HCl posttreated TMA on COOH-SAM 10-18-2006

δSiH

υSiH2083

Hot HCl post treated

10s TMA

COOH-SAM

Wavenumber (cm-1)

Abso

rban

ce

a

10-3

Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl

solution treatment

FTIR Spectra of COOH-C12 SAMSi(111) is recorded ex situ using DTGS detector After a freshly prepared ndashCOOH SAM b total 10s TMA exposure on COOH-SAM and c a post-treatment by immersing TMA exposed COOH-SAM sample into hot HCl solution for 10min at 80 degC All spectra are referenced relative to freshly etched H-terminated Si(111) surface The ratio of recovery of C=O at 1712 cm-1 is ~ 70 (A~00330046) The peaks at 723 cm-1 and 870 cm-1 appear after TMA exposure The 723 cm-1 remains while peak at 870 cm-1 disappeared after HCl treatment They may be assigned to Al-O-C and Al-OH respectively 723 cm-1 is not seen in the ndashCOOH-SAM but appears only after TMA exposure So it may not be due to C-C vibration from chains with four carbons and more (720-741 cm-1) [7] It may be related to the irreversible change during reaction between TMA and ndashCOOH SAM

134

633 ALD Growth of TMA on COOH-terminatedSi (111) ALD on polymer is one of its important applications However ALD on polymer is

complicated due to the absence of necessary chemical functional groups or co-existence of

various chemical groups Therefore ALD on a well defined organic self-assembly

monolayers with controllable surface chemical function groups may provide good model

for study of ALD of inorganic material on organic surface

The nucleation and growth of Al2O3 ALD on COOH- terminated Si (111) are studied

using in situ Fourier transform infrared spectroscopy COOH-terminated Si (111) has

negligible oxide formation during thermal grafting process with forming stable Si-C

surface bond in contrast to alkylated Si (111) where formation of oxide is a common

problem In addition the chemically active COOH- group can enhance nucleation of TMA and form a high quality Al2O3 film Due to the intrinsic steric limitation of alkyl chain

packing on Si (111) surface the packing density of COOH will be close to the density of

-CH3 terminated SAM TMA will not react with H-terminated silicon until 300 Cdeg at the

temperature in which interfacial oxidization becomes unavoidable By introducing

ndashCOOH SAM reactive terminal group can significantly reduce required temperature for

ALD growth to as low as 100degC in our current study which is far lower than the thermal

decomposition temperature 350degC for organic ndashCOOH SAM (Referring to previous

chapter)

Figure 66 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100

degC for the first 10 cycles All spectra except the one on the bottom are referenced to

freshly-grafted COOH- terminated Si (111) at 60degC The spectrum on the bottom is the

spectrum of freshly-grafted COOH-SAM referenced to clean H-Si (111) surface The

initial COOH terminated Si(111) surface shows its characteristic sharp peak at 1714 cm-1

the C=O stretching mode of acid group in the form of hydrogen bonded dimmer[7] After

first TMA 10s exposure at 100degC this peak completely disappears and two new bands

appear

135

1000 1500 2000 2600 2800 3000

-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

002

TMA 10cyc COOH-SAM S29A 10-13-2006

10 cyc5 cyc

D2O 3

TMA 3 D2O 2TMA 2D2O 1TMA 1

~700 ~930TOLO Al2O3

COOH-SAMHSi(111)

C=O in SaltsAl-CH31213 υC=O

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

10-3

δSiH

(a)

00057

1000 1500 2000 2400 2600 2800 3000

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

1213

Abs

orba

nce

Wavenumber (cm-1)

Al-CH31213

OD~2743

TMA 10cyc COOH-SAM S29A Differential 10-13-2006

Al-O943

10 cyc

5 cyc

D2O 3

TMA 3

D2O 2

TMA 2

D2O 1

TMA 1

(b)

1213

Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111)

a all spectra are recorded in-situ using MCT-B detector and referenced against to pre-annealed COOH-SAM right before the first TMA exposure except the bottom one Bottom one is recorded ex situ using DTGS detector and referenced relative to fresh H-Si(111) b FTIR difference spectra of each different spectrum is referenced to the spectrum recorded immediately before the exposure

136

simultaneously at 1476 and 1581 cm-1 indicating that TMA immediately reacts with all

surface accessible COOH- groups and a homogenous nucleation may be achieved As we

have also shown earlier in the Figure 63 the extended exposure to TMA does not add more

TMA to the surface This is important for a high quality layer-by-layer atomic layer

deposition The 1217 cm-1 is clearly assigned to deposited -Al(CH3)The broad peak

centered at ~740 cm-1 could be assigned to mixed Al-O modes and CH3 rocking mode of

Al-CH3 After first D2O exposure a immediately decreasing in 1217 cm-1 (Al-CH3) and

~706 cm-1 indicates hydrolysis of Al-CH3 The peaks at 1476 and 1581 cm-1 slightly

decrease in intensity accompanying with two positive peaks appearing at ~1460 cm-1 and

~1624 cm-1 This could be explained as peak shifting of 1476 and 1581 cm-1 caused by the

environment changes of C=O after hydrolysis of Al-CH3 A broad weak band centered at

2743 cm-1 is assigned to OD stretching The introducing water pulse to TMA exposed

COOH-SAM doesnrsquot result in any subsurface oxidization This differs from the growth of

Al2O3 on HSi for which a significant oxidization was observed immediately after water

exposure [2]

TMA reacts with surface Al-OD groups to form Al-O-Al-CH3 after the second exposue

The peak shifting observed after first D2O exposure now shifts back to before D2O

exposure indicated by the negative peaks at ~1460 cm-1 and ~1624 cm-1 and positive peak

at 1476 and 1581 cm-1 The second D2O exposure then replaces Al-CH3 with Al-OD again

as expected[13] and the reversible peak shifting re-appears in the region 1400-1650 cm-1

In following ALD cycles the changes in the region 1400-1650 cm-1 are continuously

observed but becoming smaller with increasing number of TMAD2O cycles This strong

suggests that these features are attributed to interfacial bonding and become less affected

with increasing thickness of Al2O3 film Two bands at ~730 and ~930 cm-1 steadily

increase in intensity with the number of cycles and slightly blue shifts They could be

assigned to amorphous TOLO phonon mode of Al2O3 film The frequency of LO mode is

slightly lower than 954 cm-1 for amorphous Al2O3 ALD grown directly on silicon surface

[2]

No measurable modes are found in the range 1000-1200 cm-1 and ~800 cm-1 which are

assigned to Si-O or Al-O-Si Si-O-Si previously [14 15] And also there is no Si-CH3 mode

found at 1266 cm-1[9] These evidences clearly indicate neither TMA nor oxygen goes into

137

the siliconSAM interface All the ALD reactions are most likely strictly limited only to top

COOH- functional surface The SAM behaves as a good barrier for preventing diffusion of

oxidant into the interface In addition there is no apparent OD stretching observed at

2700-2800 cm-1 indicating no significant D2O incorporation into the Al2O3 film even after

10 ALD cycles

Figure 67 shows FTIR spectra of post-annealing effect on 10 cycles ALD grown Al2O3

film on COOH-SAM All spectra except the bottom one are referenced to the 10 cycles

ALD grown Al2O3 film prior to annealing The spectra on the bottom are referenced

relative to the pre-annealed (120degC) COOH-SAMSi(111) surface right before the first

TMA exposure The SAM is stable upon annealing at 300degC With increasing temperature

the SAM starts to degrade indicated by the negative peaks of carbon-hydrogen stretching

modes from alkyl chains of SAM at 2850- 2950 cm-1 and the biggest loss of CH2 occurs at

300-400 degC Concurrent with the loss of carbon hydrogen a small positive peak at 2055

cm-1 and negative peak at 2073 cm-1 appear at 400degC assigned to the interfacial H-Si

stretching modes perturbed by the environments and formation of new H-Si bond Further

annealing at higher temperature leads to the complete loss of surface H-Si

After annealed at 300degC the bands at 1400-1600 cm-1 assigned to C=O stretching

modes increases with simultaneously reduced intensity of bands at 1640-1700 cm-1

suggesting more interfacial bond formation after mild thermal treatment (differential

spectra is displayed for clarity) These bands then significantly reduced in the intensity

upon thermal treatment at 400 degC most likely caused by the removal of the whole alkyl

chains under this temperature It clearly shows no oxide silicon forms even when organic

SAM barrier already started to disassociate from the surface at 400 degC In fact the

simultaneous appearance of small positive peak at 2055 cm-1 and negative peak at 2073

cm-1 suggests that formation of surface H-Si generated from the disassociation of grafted

alkyl chains by breaking of Si-C bond is a desorption mechanism proposed under UHV

condition[16] In addition oxidized H-Si back-bond is not observed at 2200-2300 cm-1

Comparing to the results from post-annealing of pure COOH-SAMSi (111) in which the

loss of hydrogen and oxidization of silicon substrates occurs at lower temperature at

300-350 Cdeg the ALD-grown Al2O3 COOH-SAMSi (111) surface clearly shows the

138

higher resistance to oxidization the loss of hydrogen and oxidization of substrate occur at

400-500 degC This may be explained as the deposited Al2O3 film provides an additional

1000 1500 2000 2500 3000

10-3

600c

500c

400c

300c

10 cyc TMAD2O

υCH2υC=O υSiH

TOLO SiO2

TOLO Al2O3

~745

Abs

orba

nce

Wavenumer (cm-1)

~955

TMA 10cyc COOH-SAM Post anealed

(a)

-00054

Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)

FTIR Spectra were recorded in-situ after annealing at each annealing temperature All spectra are referenced relative to the pre-annealed (120 degC) ndashCOOH SAMSi before ALD deposition SiO2 didnrsquot appear until 500degC when large amount of hydrocarbon chains were removed from the surface This is a clear evidence that organic SAM serve as diffusion barrier to prevent Si substrate from oxidization A negative peak centered at 2071 cm-1 with integrated peak area -0054 is attributed to surface H-Si The peak position is significantly lower than 2083 cm-1 for a fully covered H terminated Si (111) surface This indicates decoupled H-Si stretching (Note the integrated area measured at 70ordm incidence angle using MCTB inside ALD chamber is slightly different from what is measured outside using DTGS detector at 74ordm incidence angle)

139

1000 1500 2000 2500 3000

(b)

2078

υAl-O

300- 60 Co

Wavenumber (cm-1)

600-500 Co

500-400 Co

TMA 10cyc COOH-SAM Post annealed S29A Differential 10-13-2006 Ab

sorb

ance

400-300 Co

5x10-4

υSi-O-SI

2053

Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on

COOH-SAM H-Si(111) post-annealed in ultra pure N2 gas from 300 to 600 degC

The spectra are same as what displayed in the Figure 67 They are shown in a difference manner FTIR spectra were recorded at each annealing temperature and are referenced to the spectrum recorded immediately before the annealing The surface Si-H and hydrocarbon chains are completely removed from surface at 500degC

140

1800 1900 2000 2100 2200

A 000732073

10cyc + 600 Co

COOH-SAM

Abs

orba

nce

Wavenumber (cm-1)

2x10-4

10-3

H-Si(111)

S29A COOH-SAM TMA ALD + Anneal DTGS

A 001592083υSiH

Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with

COOH-SAM and following 10 cycle TMAD2O growth and 600 degC annealing

FTIR Spectra were recorded ex-situ for freshly etched H-Si(111) and after thermally functionalization with COOH-SAM The COOH-SAM is then used as substrate for 10 cycles TMAD2O ALD growth and annealed up to 600 degC All spectra are referenced relative to SiO2 surface The top spectrum is shown in the different scale as indicated in the figure After functionalization with COOH-SAM the original sharp H-Si stretching peak at 2083 cm-1 is broadened and red-shifted to 2073 cm-1 The integrated peak area is reduced by ~ 50 indicating nearly half of surface hydrogen is removed After anneal at 600 degC remaining hydrogen is completely removed

141

Oxygen diffusion barrier to protect silicon substrate from oxidization after SAM

disassociated at the lower temperature The D2O trapped in the film if there is any could

escape at the lower temperature before SAM starts to desorp from the surface The D2O

impurity incorporated in the high-k film during ALD processes might provide oxygen

source to the interfacial SiO2 formation during post-annealing [4]

Further annealing at higher temperature at 500 degC the silicon dioxide is formed as soon

as the interfacial H-Si starts to be removed (400-500 degC) The growth of silicon dioxide and

the loss of interfacial H-Si continue with increasing annealing temperature Tere is about ~

07 nm silicon oxide formed (integrated area ~030) after 600 degC annealing The LO

phonon mode of SiO2 is broader and weaker than what is observed for chemically oxidized

SiO2 from RCA cleaning procedure indicating that this interfacial oxide is not

homogeneous [4] The oxidization of silicon subsurface is probably due to oxidant from

either the gas impurity or water trapped in the Al2O3 film while annealing There is no

noticeable H-SiO3 species observed during the whole annealing process With increasing

annealing temperature the LO phonon mode of Al2O3 shifts from ~930 cm-1 (as deposited

at 100 degC) to higher frequency at ~ 955 cm-1 (600 degC ) and the shape of peak become

slightly sharper indicating denser Al2O3 film forms upon thermal treatment This is

consistent with that annealing would not significant increases the crystallizing of

amorphous Al2O3

In summary -COOH SAM is very reactive with TMA and the nucleation is almost

completely within the first TMA exposure Although the ndashCOOH SAM is less ordering

than -CH3 SAM little or no penetration has occurred and the reaction is well confined to

the top of the surface The SAM is a good barrier to prevent either TMA molecule or

oxygen penetration into the underneath siliconSAM interface No oxidization is observed

during the whole ALD process Even after post-annealing of Al2O3 film grown on ndashCOOH

SAM the significant oxidization of Si substrate occurs only at the temperature ~500 degC or

higher Interestingly the deposition of Al2O3 thin film on ndashCOOH SAM increases the

thermal stability of SAM itself as well

142

634 ALD TMA on ndashOH terminated SAMSi (111)

6341 Preparation of HO-SAM

HO-SAM is prepared by reducing carboxyl group of freshly-grafted ndashCOOH SAM to ndashOH

group The Si (111) sample terminated with ndashCOOH SAM is immersed into LiAlH4 BHF

solution for 2 hours The longer immersion time in LiAlH4 may cause degradation of the

SAM layers while the reaction may not be completed if exposure time is too short Figure

610 shows FTIR spectra of the final HO-SAM and the starting ndashCOOH SAMSi (111)

surface The top spectrum shows the spectral changes of the surface after reduction of acid

group ndashCOOH to hydroxyl group ndashOH It is clearly seen that the characteristic peak of

carboxyl group the stretching mode of C=O of acid group at 1716 cm-1 is almost

completely disappeared after LiAlH4 treatment while no other new peaks appear in the

nearby region 1400-1800 cm-1 Meanwhile a close-up check of region 2800-3000 cm-1

shows the increase of CH2 stretching modes in the intensity at 2826 and 2855 cm-1 This

evidence strongly indicates that -COOH group is reduced to ndashCH2OH with adding two

hydrogen atom to C=O (The small shoulder at 2960 cm-1 may be induced by the impurity

during the whole process)

The broad bands at 1184-1300 cm-1 are consisted of several modes It could be clearly

seen in the differential spectra After the reduction of acid group to the primary alcohol the

two negative bands at 1243 1289 cm-1 are observed along with a negative peak at ~1412

cm-1 they were previously assigned to C-O-H in plane mode of acid group At the same

time the sharp peak at 1263 cm-1 is unchanged and is clearly seen in the spectra after

ndashCOOH is reduced to ndashOH This peak could be assigned to in plane deformation of O-H

[17] The earlier study has shown that this mode is broad strong at higher frequency at

1300-1500 cm-1 for pure or concentrated alcohols and becomes narrow and weak at lower

frequency ~1250 cm-1 for the diluted primary alcohols [7] The corresponding stretching

mode of O-H which is expected at ~ 3640 cm-1 is too weak to be detected at current

sensitivity level

The broad bands 1000-1200 cm-1 might be consisted of contributions from multiple

modes the oxidization of interfacial silicon and C-O stretching mode of alcohols The total

143

integrated area ~002 is less than 10 of integrated area of chemically oxidized silicon

with ~1 nm-thick SiO2

6342 ALD of Al2O3 on HO-SAM

Figure 611 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100 degC for

10 cycles on ndashOH SAM prepared directly by reducing fresh ndashCOOH SAM by LiAlH4 All

spectra are referenced relative to freshly-converted -OH SAMSi (111) at 60degC After the

first exposure of TMA the peaks corresponding to Al-CH3 are immediately seen in the

spectra including 2942 cm-1 (stretching) 1203 cm-1 (bending) and 706 cm-1 (rocking) The

integrated area of peak at 1213 cm-1 is 00034 only ~50 of that on the - COOH SAM and

SiO2 This indicates TMA nucleation on the ndashOH SAM surface is less than nucleation on

both - COOH SAM and SiO2 surface This is kind of expected from the preparation of -OH

SAM Since ndashOH SAM is converted from ndashCOOH SAM the resulting density of ndashOH

must be not higher than the density of ndashCOOH group which actually is physically limited

by the steric interaction of alkyl chains (only ~50 Si-H sites are grafted with alkyl chains)

Therefore the estimated surface density of ndashOH group of ndashOH SAM should be less than on

hydroxyl terminated regular SiO2 surface Second the reactivity of C-O-H at the end of

alkyl chain may be less than hydroxyl group Si-OH on the silicon dioxide surface Third

the spatial distribution of ndashOH on ndashOH SAM is more regular than ndashOH on the SiO2 surface

based on the preparation of ndashOH SAM This can be supported by the shape of Al-CH3 peak

is less well defined when Al-CH3 is grown on SiO2 surface (FWHM 1998 cm-1 when

grown on ndashOH SAM) The peak position of Al-CH3 is 1202 cm-1 on ndashOH SAM and 1212

cm-1 on SiO2 respectively After first TMA exposure a complicated spectra feature appears at 2800-3000 cm-1

including positive peaks at 2942 and 2889 cm-1 and two negative peaks at 2853 and 2923

cm-1 These could be interpreted as imposition of four modes The two positive peaks are

144

1000 1500 2000 2500 3000 3500

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

υSi-H

υCH2δCO-H

1263

υC=O

1716

2952 2926

differential

COOH-SAM

HO-SAM

S28A HO-SAMSi(111) Preparation

Wavenumber (cm-1)

A

bsor

banc

e

Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM

FTIR spectra of HO-SAM prepared from reducing freshly-grafted COOH-SAM on H-Si(111) by using LiAlH4 solution The two spectra on the bottom are referenced against the freshly etched H-Si(111) surface The differential spectrum on the top of panel has shown that the spectroscopic changes after conversion from ndashCOOH SAM to ndashOH SAM Briefly negative peak at 1716 cm-1 indicates removal of C=O and positive peak at 2952 and 2926 cm-1 is attributed to the stretching mode of added -CH2 group by hydroboration

145

attributed to asymmetric and symmetric stretching mode of CH3 of Al-CH3 with

corresponding bending mode at ~1203 cm-1 The two negative peaks at the same position

of stretching modes of -CH2- from starting ndashOH SAM most likely are caused by

disturbance of original -CH2- vibration induced by the penetration of TMA precursor into

the SAM This disturbance is still presented after subsequent D2O exposure However this

spectral change is observed only in the first three TMAD2O cycles and become smaller

and smaller with increasing number of TMAD2O cycles As shown in the Figure 611b

(spectra displayed in the differential manner) after the 5 and 10 cycles of TMAD2O

exposure the changes in the region 2800-3000 cm-1 are barely seen These evidences

strongly suggest that the observed changes are mainly caused by the reaction on the

interface of SAMAl2O3 and a small amount of penetration of TMA into SAM possibly

occurs at the initial exposure The modes due to Si-CH3 at 2958 cm-1 (stretching) and 1270

cm-1 (bending) [2] are not seen in the spectra suggesting the TMA did not react at the

interface between silicon and SAM even though certain amount of TMA molecules more

or less penetrate into SAM After subsequent first D2O exposure there is no change at the

peak position 29272853 cm-1 corresponding to CH2 groups of alkyl chains of SAM while

the negative peaks are observed at the peak position 29372888 cm-1 indicating

hydrolysis of -CH3 groups of absorbed Al-CH3

As shown in the Figure 611a the sharp peak at 1264 cm-1 presented at the initial

HO-SAM (Figure 610) continually decreases in the intensity with the increasing number

of reaction ALD cycles Since this narrow peak is assigned to the O-H bending in the

primary alcohol C-OH it is reasonable to attribute this decrease to the replacement of

hydrogen with Al atom and formation of bond of C-O-Al between surface C-OH and

Al-CH3 This suggests that despite the evidence that penetration of TMA into SAM is

terminated within the first 3 cycles the nucleation of TMA on -C-OH surface is not

completed until 10 ALD cycles The incubation period is slightly longer than the growth

of TMA on ndashCOOH surface With increasing number of TMAD2O reaction cycles two

strong peaks at 690 and 908 cm-1 grow steadily in the intensity they are assigned to the

TOLO phonon modes of grown Al2O3 film respectively The integrated area of these two

modes is significantly less than that of Al2O3 film grown either on regular chemically

oxidized silicon surface or -COOH SAM terminated surface In addition the peak position

146

of LO mode of Al2O3 grown on HO-SAM located at 908 cm-1 is lower than LO mode of

Al2O3 film grown on regular silicon dioxide (940 cm-1) or COOH- SAM (938 cm-1) Since

LO phonon mode is sensitive to the density of Al2O3 film this evidence suggests Al2O3

films grown on the HO-SAM surface are less dense and have lower quality This is

consistent with HO-SAM that has fewer nucleation sites (ie surface HO- groups) than

SiO2 surface or less reactive chemicals than COOH- SAM The surface density of HO-

group converted from COOH- is no more than the initial surface density of ndashCOOH group

Even the converting reaction is not 100 completed the remaining -COOH still can react

with Al(CH3)3 precursor and hence cannot be used to explain the observed less dense

Al2O3 film formed on HO- SAM surface In summary both the number of nucleation sites

and chemical reactivity of surface function groups can affect the ALD film growth

147

1000 1500 2400 2600 2800 3000-0001

0000

0001

0002

0003

0004 (a)

~1264

minusOD2744 υCH2

ρCH3

TOLO Al2O3

10cyc TMAD2O

5cycTMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

Abs

orba

nce

Wavenumber (cm-1)

TMA 10cyc HO-SAMSi(111)

Al-CH3

~908~690

Al-CH32942

1203

1213

1000 1500 2000 2400 2600 2800 3000

-00008

-00004

00000

00004

00008

00012

00016

00020

1203

Abs

orba

nce

Wavenumber (cm-1)

υCH2υCH3

Al-CH3

TMA 10cyc HO-SAMSi(111) [Step Differential]

10cyc TMAD2O

5cycTMAD2OD2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

(b)

1213

Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on HO-SAMSi(111) a all spectra are referenced against to the pre-annealed HO-SAM right before 1st TMA exposure b the same spectra are shown in a differential manner Each difference spectrum is referenced to the spectrum recorded immediately before the exposure

148

635 ALD TMA on ndashNH2 terminated SAMSi(111) 6351 Preparation of NH2 -SAM

Because the reactivity of ndashNH2 with hydrogen terminated silicon surface either formation

of Si-N bond or possibly -NH2 catalyzed oxidization of surface the bi-functional NH2

terminated alkene can not be directly applied to the H-terminated silicon surface We

developed a new simple two-step route to produce -NH2 terminated monolayer with stable

Si-C bond starting from COOH- terminated Si (111) surface

N-hydroxysuccinimide (NHS) reagents act as cross-linkers and activate the carboxyl

group by forming an NHS-ester intermediate This is a characteristic esteriication reaction

widely used to prepare NHS-activated esters Figure 613 displays the FTIR spectra of

chemically modified Si(111) at each intermediated step starting from freshly grafted

COOH-SAMSi(111) surface The acid-terminated surface is chemically activated by

NHSEDC for 1 hour at room temperature It shows complete disappearance of C=O

stretching vibration mode of acid group at 1712 cm-1 and appearance of new peaks at the

nearby region 1815 1787 1747 cm-1 they are characteristics of the succinimidyl ester

group and assigned to the stretching modes of carbonyl Two strong peaks at 1211 and

1070 cm-1can be assigned to the C-O-C stretching modes in the succinimidyl ester group

These evidences further confirm that the majority of ndashCOOH group instead of C=C is

extended away from the surface and available for the subsequent chemical modification

because there does not appear to be any residual absorption at ~1715 cm-1 that would be

expected if more than 10 of the monolayer was in the form of silyl ester The NHS

activated surface is further reacted with ammonium in the dioxane solution at room

temperature As shown in the Figure 613 the disappearance of all characteristic features

of NHS at 1700-1820 cm-1 and 1000-1200 cm-1 and appearance of two bands at 1670 and

1620 cm-1 which are assigned to amide I (carbonyl stretching) and II (N-H deformation) of

primary amide ndashCONH2 group indicate that NHS is completely replaced by the amine

group -NH2 and forms primary amide termination After immersing in the LiAlH4 THF

solution for 2 hours the amide I and II bands are significantly reduced indicating the

majority of C=O of ndashCONH2 is reduced to amine -CH2NH2 The small peaks remaining at

1670 cm-1 is due to the incomplete reduction reaction The conversion rate of primary

amide to primary amine is not 100 under current experimental condition However the

149

longer immersing time in LiAlH4 will not be able to further increase the conversion rate

but might lead to the degradation of SAM (data are not shown) We have checked the

chemical reactivity of the resulting amine- terminated surface by applying PMPI a widely

used cross-linker molecule used for biological molecular attachments

Figure 614 shows the FTIR spectra of freshly prepared amine- terminated Si(111)

from NHS method mentioned above and the change of surface after immersing in PMPI

solution for 24 hours The appearance of features of PMPI at 1720 cm-1 indicates the

attachments of PMPI to the amine-Si (111) surface By comparing the integrated area of

strongest peak at 1720 cm-1 to the case where PMPI absorbed on APTESSiO2 surface at

the same experimental condition (24 hours room temperature) (Lapinrsquos unpublished data)

we found that they both give similar integrated area of 1720 cm-1 suggesting that the amine

terminated Si (111) via a Si-C at least has the similar surface chemistry reactivity in term of

reactivity with PMPI Even though the conversation efficiency of amide to amine may not

be 100 the surface should have similar chemical reactivity for the further applications

NO O

OH

N-Hydroxysuccinimide NHS

150

1000 1500 2000 2500 3000 3500-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

-CH2NH2SAM

-CONH2SAM

-COCl SAM

-COOH SAM

Amide II

1715

1801

1460

υSiO

Wavenumber (cm-1)

υSiH υCH2

Amide I

υC=O

υC=O

S31A-11092006- NH2-SAM [COCl Method]

Abs

orba

nce

1670

Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) FTIR spectra were recorded after each step After chlorination of -COOH the C=O in COOH at 1715 is completely disappeared with simultaneous appearance of new sharp peak at 1801 cm-1 corresponding to C=O in ndashCOCl Further ammonia treatment converts -COCl to -CONH2 with replacement of Cl by NH2 indicated by appearing of two characteristic peaks of amide show at 1670 and 1610 cm-1 and disappearing of peak at 1801cm-1 Final hydroboration step adds two hydrogen to C=O in the amide group ndashCONH2 forming -CH2NH2 Although the monolayer of amine is too weak to be detected in the IR spectra the disappearance of amide I and II indicates C=O is converted to CH2

151

1000 1500 2000 2500 3000 3500-0012

-0010

-0008

-0006

-0004

-0002

0000

0002

0004

~1420υC-N

12111070

υCH2 υSiH

υC=O

NH2CO-SAM

S35A-11152006- NH2-SAM [NHS] A

bsor

banc

e

Wavenumber (cm-1)

NH2-SAM

NHS+EDC

COOH-SAM

Amide II ~1600 Amide I

1670

Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

FTIR Spectra of freshly prepared H-Si(111) after functionalization with undecylenic acid (-COOH SAM) and COOH surface is activated by NHS + EDC for 2hours (NHS-SAM) The NHS activated surface further reacts with ammonium in dioxane solution to form primary amide (CONH2-SAM) Finally the amide terminated surface is reduce to form NH2-SAM by LiAlH4 (NH2-SAM) All spectra are referenced against to freshly prepared H-Si(111)

152

1000 1500 2000 2500 3000 35000000

0001

0002

0003

0004

0005

0006

0007

0008

NH2-SAM

S30A-11062006- NH2-SAM [NHS] + PNPI

Wavenumber (cm-1)

PMPI

A

bsor

banc

e

Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II) are verified by reacting with PMPI

The FTIR spectra of fresh NH2 ndashSAM is referenced relative to freshly etched H-Si(111) surface while the top spectra is recorded after immersed in PMPI solution and referenced relative to NH2 ndashSAM before PMPI immersion

153

6352 ALD TMA on NH2-SAM Figure 615 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashNH2 SAM prepared directly by reduction of primary amide ndashCONH2

SAM by LiAlH4 The ndashCONH2 terminated SAM can be prepared from ndashCOOH SAM

through two different routes as described in the last preparation section All spectra are

referenced against the spectrum of freshly prepared NH2-SAM recorded at 60 degC Similar

to other surface with reactive termination groups -OH or -COOH after the first TMA

exposure the peaks corresponding to Al-CH3 are immediately seen in the spectra

including 2942 cm-1 (stretching) 1202 cm-1 (bending) and 706 cm-1 (rocking) The

integrated peak area of 1202 cm-1 is close to that of film grown on the ndashCOOH surface but

nearly twice higher than that of the film grown on the ndashOH SAM surface indicating higher

surface nucleation rate or higher surface chemical reactivity toward TMA for ndashCOOH and

ndashNH2 terminated surface than ndashOH terminated surface

After immediate exposure to TMA a negative peak at ~ 1676 cm-1 and two positive

peaks at ~1580 and ~ 1500 cm-1 appear simultaneously they are assigned to the changes

related with residual ndashCONH2 left from incomplete reduction of ndashCONH2 to -CH2NH2

during -NH2 SAM preparations After TMA exposure -CONH2 possibly reacts with TMA

forming -CONH- bond The N-H deformation (Amide II) is shifted from 1600 cm-1 for

primary amine to around ~1550 cm-1 for the secondary amine These spectral changes

become less noticeable with increasing ALD reaction cycles suggesting that the related

reactions are mainly limited to SAMAl2O3 interface

The small peak periodically appearing at 2740 cm-1 is unambiguously assigned to OD

stretching vibration It appears after every D2O exposure and disappears after every TMA

exposure indicating a nearly completed ALD TMA-D2O cycle reaction The integrated

peak area of LOTO phonon modes of Al2O3 increases steadily with increasing number of

ALD cycles indicating the steady growth of Al2O3 film After 10 cycles the peak of LO

phonon mode is as sharp as what observed for Al2O3 film grown on the chemical oxides

SiO2 surface or -COOH surface with peak position at 940 cm-1 These evidences suggest

the Al2O3 film grown on -NH2 surface may be as dense as Al2O3 film grown on other types

of reactive surface including ndashCOOH SAM or regular SiO2

154

1000 1500 2000 2500 3000-0006

-0004

-0002

0000

0002

0004

0006

υOD2740

Abs

orba

nce

Wavenumber (cm-1)

2854

1214

734

10cyc TMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA NH2-SAMSi-C Si(111) S30A 11-10-2006

TMA 1

940Well defined TOLO peak of Al2O3

2927

1211

Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) FTIR spectra of 10 cycles TMAD2O ALD-Grown on NH2-SAMSi(111) All spectra are referenced against to the pre-annealed NH2-SAM right before first TMA exposure A growth of Al2O3 is immediately observed after the very first TMAD2O cycle and has developed into a well-defined Al2O3 film indicating by the sharp peaks of LOTO mode at 734 and 940 cm-1 after 10 cycles The Al-CH3 mode at 1211 cm-1 is only slightly shifted to 1213 cm-1 with increasing number of ALD cycles This suggests initial bonding status of TMA at SAM interface is similar to bonding to the existing Al2O3 film

155

636 ALD TMA on ndashCH3 Terminated SAMSi(111)

Figure 616 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashCH3 SAM prepared directly by immersing freshly prepared H-Si(111)

sample into the deoxygenated neat alkene at 200 degC for 2 hours All spectra are referenced

against to the freshly grafted and pre-annealed at 120 degC ndashCH3 SAM All spectra are

recorded at 60degC Unlike other SAMs with the chemically reactive group the first

exposure of ndashCH3 SAM to TMA does not show any significant absorption of TMA on the

surface The characteristic peak of AlndashCH3 at ~1202 cm-1 is not seen There are minor

negative features observed in the region of 2800-3000 cm-1 the stretching modes of

hydrogen-carbon This is most likely caused by the perturbations induced by the small

amount of physically absorbed TMA trapped inside alkyl chains of SAM The result is

expected for ndashCH3 terminated SAM the reaction with AlndashCH3 requires overcome very

high energy barrier[18] and a small amount of TMA molecule could only physically

trapped inside the SAM without chemical reaction The first several TMAD2O cycles (1-3)

do not give apparent spectral features for growth of Al2O3 The only noticeable change is

that the peaks at 2800-3000 cm-1 corresponding to the stretching modes of -CH2- and -CH3

groups continue decreasing in the intensity with increasing numbers of ALD cycles This

suggests that more and more TMA molecule penetrates into the densely packed SAM and

perturb the original vibration modes of -CH2- The physically pre-absorbed TMA

molecules then serve as nucleation sites for the subsequent ALD growth In fact after 5

cycles TMA D2O exposure the phonon modes of Al2O3 film appear at lower frequency

region (~770 and ~ 880 cm-1) and increase in the intensity with increasing number of ALD

cycles After 10 cycles two dominated peaks appear at ~770 and 887 cm-1 assigned to the

TO and LO phonon modes of Al2O3 film respectively Comparing to the Al2O3 film grown

on ndashOH SAM -NH2 SAM and ndashCOOH SAM the broadened and lowered frequency of

peak of LO phonon mode indicates the film is more amorphous and less well defined It

might be due to the lack of nucleation sites on the -CH3 SAM surface at the beginning and

the nucleation sites occurring at physically absorbed TMA molecules are distributed in a

sporadic way Consequently the lower quality of Al2O3 film is obtained

With increasing number of ALD reaction cycles the continuous loss of intensity of

hydrogen-carbon stretching modes at 2800-3000 cm-1 suggests SAM might still not be

156

1000 1500 2000 2400 2600 2800 3000-0004

-0003

-0002

-0001

0000

0001

0002770 Al2O3

10cyc TMAD2O

5cyc TMAD2O

TMA 2

D2O 2

D2O 1

TMA 1

υOD

A

bsor

banc

e

Wavenumber(cm-1)

TMAD2O 10cyc CH3-SAM(C18) 10-24-2006

υCH887

Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on CH3-SAMSi(111) All spectra are referenced against to the pre-annealed CH3-SAM right before first TMA exposure Unlike to SAM with reactive terminal group the feature of TMA is nearly not observed during the first two TMAD2O cycles A broad band attributed to LOTO mode of Al2O3 appears after 5 cycles with much less well-defined peaks Negative peaks appeare at 2800-3000 cm-1 and increase with increasing number of ALD cycles This suggests TMA precursor continuously penetrates into the SAM and leads to screeching of original C-H stretching modes

157

fully covered by previously deposited Al2O3 film and incoming TMA molecule could still

attack the SAM and get inside it The film may grow in a way of island-mode All these

evidence strongly suggests that a vertically inhomogeneous growth instead of a

homogenous layer-by-layer growth of Al2O3 film is undergoing on the ndashCH3 SAM

An increasing band centered at ~2740 cm-1 is assigned to OD stretching mode

indicating non-reacted D2O molecule trapped and accumulated inside the SAM layer and

the subsequent incoming TMA molecule cannot efficiently reach it This is not seen in the

ALD growth of TMA on the chemical reactive surfaces like SiO2 -NH2 -COOH

terminated SAMs) It is possibly due to the inaccessibility for some D2O trapped in the

SAMs During the 10 ALD reaction cycles there are no spectral features observed in the

region of 1000-1300 cm-1 indicating no interfacial silicon oxide forms on the SAMSilicon

interface TMA does not reach the SAMSilicon interface and react with the substrate at the

interface even though it may penetrate into SAM more or less This is reasonable as the

buried SAMSi(111) which is still partially hydrogen passivated lack of reactivity with

TMA at current reaction temperature 100degC Previous study has shown TMA will not react

with H-terminated silicon up to 300 degC [2] In summary the ALD growth of Al2O3 film on

non-reactive ndashCH3 SAM is observed 1) The physically absorbed TMA molecule during

the first several TMA exposures most likely initiates the subsequent growth The initial

absorption might be in a sporadic way with low absorption rate As a consequence the

growth is inhomogeneous and the grown-film has lower quality No spectral features found

for growth on the SAMSi suggests that the growth of TMA on the ndashCH3 terminated

surface may not be attributed to the reaction at the SAMSi interface

637 ALD Growth of TMA on SiO2

Figure 617 shows the FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC

for the first 10 TMAD2O cycles on SiO2 surface All spectra are referenced relative to

freshly wet chemistry (RCA) cleaned silicon dioxide surface at 60degC The surface hydroxyl

group has density 7 x 1014 OHcm2 [19] After the initial TMA exposure at 100degC three

bands appear at 1100-1300 cm-1 The 1213 cm-1 can be assigned to Al-CH3 and it decreases

after the subsequent D2O exposure and reappears after TMA exposure The other two

bands 1270 and 1188 cm-1 didnrsquot change with increasing number of ALD cycles indicating

158

they are features attributed to the interface of SiO2 and Al2O3 They are assigned to Si-CH3

The peaks at 1084 and 1005 cm-1 are related to the Si-O-Si or Al-O-Si The broad

low-frequency band around 700-1000 cm-1 increasing with number of ALD cycles can be

assigned to grown- Al2O3 LO and TO phonon modes After 10 cycles the grown- Al2O3

film has similar spectral features of Al2O3 film at 700-1000 cm-1 as Al2O3 film grown on

the -COOH SAM surface indicating similar nucleation and growth of Al2O3 on both

surfaces

159

1000 1500 2000 2500 3000-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

703 Al-O-SiSiO2

TOLO Al2O3

1088Al-CH3

10cyc5cyc

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

Abso

rban

ce

Wavenumber (cm-1)

10-3

TMA 1

TMA 10cyc on SiO2 11-01-2006

1005

933

1000 1500 2000 2500 3000-0002

-0001

0000

0001

0002

0003

0004

0005

10cyc TMAD2O on COOH-SAMSi(111)

10cyc TMAD2O on SiO2

Y A

xis

Title

X Axis Title

10-3

Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post annealed from 300 to 600c

FTIR spectra of 10 cycles TMA ALD-Grown on chemical oxidized SiO2 All spectra are referenced against to the pre-annealed SiO2 right before first TMA exposure 1213 cm-1 (Al-CH3) and 1270 cm-1 (Si-CH3) are seen after first TMA exposure 1000-1200 cm-1 regions are mixed with contributions from formation of Si-O-Al (positive) 1004 and 1088 cm-1 and destruction of Si-O-Si (negative) on the top of SiO2 layer The spectral features in this region(1000-1200cm-1 ) is unchanged with increasing number of ALD cycles indicating they indeed are features related to interface After10 cycles a similar quality of Al2O3 film forms as Al2O3 grown on ndashCOOH SAM as shown in the insert top-right panel

160

64 Discussion The FTIR spectra demonstrate that ALD TMA can proceed on all organic SAMs surface

with different terminal groups -COOH -OH -NH2 and ndashCH3 It is expected for the surface

terminated with chemically reactive groups like -OH -COOH and -NH2 But it is kind of

unexpected for chemically inert -CH3 terminal group

641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM The FTIR Spectra demonstrate that the freshly-grafted -COOHSAM on Si (111) surface

can react immediately with Al(CH3)3 (TMA) molecule and the nucleation process is nearly

completed within as short as 10 seconds All -COOH groups are reacted The reaction is

self-terminated and the absorption of TMA on the surface saturates within a few seconds

After HCl solution treatment significant percentage of ndashCOOH is recovered After 10

ALD cycles a high quality Al2O3 film forms indicated by the sharp LO and TO phonon

mode of Al2O3

Unlike deposition of Al atoms on -COOH SAM where 20-25 of COOH remain

unreacted [20] extremely reactive TMA is much more reactive than Al atoms toward

ndashCOOH group and react with all surface ndashCOOH groups The recovery of ndashCOOH peak

after strong acid HCl treatment and the intense bands at 1470 cm-1 (asymmetric stretching

of COOminus) and 1580 cm-1 (symmetric stretching of COOminus) which are typical characteristic

feature of C=O bond in carboxyl anion group [7] strongly suggests that the Al(CH3)3

forms acid-salt like complex on the interface as shown in the Scheme 3 The reaction of

TMA with hydroxyl terminated surface is presumed to go by an abstraction of hydrogen

atom from ndashOH group and combine with methyl group form methane (CH4) which

involved a Lewis acid-base interactions with a group III alkyl (TMA) acting as the Lewis

acid (electron receptor) and a group VI hydride (OH) acting as the Lewis base [18] The

reaction of TMA with ndashCOOH is undergoing similarly but much easier The disassociated

proton H+ from carboxylic acid easily combine with ndashCH3 forming methane (CH4) while

long pair electron of oxygen transfer to -Al(CH3)2 forming C(O)-O-Al(CH3)2 complex

Because after giving proton carboxylate ion has the negative charge spread out equally

over two oxygen atoms each oxygen atom bears half of negative charge The long pair of

161

electron of oxygen is harder to transfer to the electron receptor of Al atom in other words

the bond O-Al is more polar After strong acid post-treatment carboxylate ion exiting in

this complex can be converted back to carboxylic acid

In the meantime there also possibly co-exists a reaction between Al (OH)x and C=O

with formation of Al-O-C covalent bond In the study of aluminum atom on methyl Ester

terminated surface it is found that Al can react with the ndashCO2CH3 group

Al+

CH3 CH3

O-O

R

C

OO

C

OO

Asymmetric stretching symmetric stretching

Scheme 3 Possible interaction on TMA-COOH SAM interface

In addition the high quality Al2O3 film and limit surface number density of ndashCOOH

(because of steric restriction) suggest that most likely more than one TMA molecule can

bond to one ndashCOOH group initially

642 Mechanism for ALD growth on ndashCH3 SAM The observed growth of Al2O3 film on ndashCH3 SAM is not unexpected Although the

reaction between ndashCH3 or ndashCH2 groups and TMA can not take places in theory [21] The

previous studies proposed that a non-perfect SAM which cannot prevent ALD precursor

from reacting on underneath SAMsubstrate interface is accounted for the observed growth

A good quality SAM can efficiently block ALD growth [22]

Chen and coworkers investigated the block mechanism In their study of SAM as

barrier for blocking ALD of halfnium oxide it is found that the quality or packing density

of the ndashCH3 SAM layer determine the block efficiency[23] Only SAMs with carbon

chains longer than C12 can efficiently block the growth of halfnium oxide They attributed

162

the observed ALD growth to the defects and pinhole in the SAMs These defects and

pinhole make it possible for the precursor penetrates into the organic film and react with

active hydroxyl or oxygen atoms at the interface Furthermore they proposed a

deactivation mechanism in which alkyl monolayer hinders the nucleation at the interface

by removing the surface reactive sites (Si-H) and preventing oxidization of substrate[24]

Another study of ALD of Ti[N(CH3)2]4 on ndashCH3 terminated alkoxysilane SAM found that

the density of absorbed Ti is negatively correlated with the density of ndashCH3 SAM[25]

Hence they proposed that there is no reaction between Ti[N(CH3)2]4 and ndashCH3 terminal

groups of SAM the reaction confined completely to the SAMSiO2 interface and the

absorption rate of Ti depends on its ability to penetrate the SAM In both study the ALD

growth is attributed to the initial nucleation at the reactive organicsubstrate interface It

occurs whenever SAM resists is not sufficient to block the penetration

In our study we would like to propose another mechanism for the observed growth on

the inertial surface (-CH3) We believe that the growth is determined by the precursor and

SAM structure (conformation and defects) but it less depends on the reactivity of

underneath interface The reactivity of interface may play a role in shortening incubation

time and speeding nucleation but may not determine whether reaction occurs or not In

other words ALD growth can possibly occur on an organic SAMs surface with completely

non-reactive interface underneath

In our experiment the reaction on the SAMSilicon interface less likely occurs for the

following reasons first no Si-O-Al (1000-1100 cm-1) or Si-CH3 (1270 cm-1) modes are

observed in the FTIR spectra second at the interface of SAMsilicon the place where no

alkyl chain attached is still passivated by hydrogen and less reactive than SiO2 site in the

SAMSiO2 In the previous study it is found that TMA will not react with H- terminated

silicon until heating up to 300 degC [2] Thus at our current experiment condition (growth at

100degC) the reaction at interface is expected to not take place Also the IR signal of

absorbed TMA molecule is too weak to be detected in the first three cycles suggesting the

absorption process quite slowly In addition the spectral change of -CH2- stretching mode

due to the perturbation induced by the incorporation of TMA into the SAMs continuously

increases with increasing ALD cycles

163

In the mechanism we proposed here for ALD growth of Al2O3 on ndashCH3 SAM initially

TMA molecule is physically absorbed on the surface sporadically either staying on the

surface or penetrating into the SAMs This is supported by the evidence that a immediate

loss of -CH2 stretching mode at 29232853 cm-1 appears upon the first TMA exposure and

the loss of ndashCH3 stretching mode appears later and quickly increase with increasing

number of ALD cycles These pre-absorbed TMA molecules then serve as nucleation sites

for the subsequent ALD growth As suggested by the IR data the absorption rate is quite

low and nucleation sites are not available over the whole surface As a consequence the

grown Al2O3 film is quite patched and amorphous suggested by the broad not well

defined LOTO mode of Al2O3 film in the FTIR spectra This is similar to ALD growth of

Al2O3 on H- terminated surface where although no reaction take place after the initial

exposure TMA can physically absorb on the surface and serve as nucleation sites for the

subsequent ALD growth[3] In fact H-terminated silicon pretreated with longer time

exposure to TMA ie more physically absorbed TMA leads to more linear ALD growth

of Al2O3 film [3]

A detailed analysis of changes of hydrocarbon stretching mode at 2800-3000 cm-1 with

increasing TMAD2O ALD cycle reveals how TMA interact with ndashCH3 SAM As shown

in the Figure 618 the changes of hydrocarbon stretching mode in terms of relative ratio of

-CH3 to -CH2 at the first 5 cycle is quite different from the second 5 cycles (ie from 5-10

cycles) This suggested that initially TMA precursor gets inside the SAM and perturbs with

-CH2- groups of backbone and later on more perturbation occurs on the top of surface

with the ndashCH3 instead of going inside SAM This could be explained as with formation of

TMA islands on the SAM surface the incoming TMA gains more chances to grows on the

top of SAM and interacts with -CH3 groups instead of penetrating into the SAM Since

perfectly ordered SAM would not have sufficient space between chains to allow

penetration by 275Aring diameter Al atoms the diffusion mechanism for larger TMA

molecule complex must involve defects Two types are considered static and dynamic

[26]

In a model of SAMs with static defects one may expect the island growth in which

growth TMA occurs only at the defective or low-density area of the SAMs In this case [27

28] the density of these defects limits the initial deposition Therefor instead of assuming

164

2600 2700 2800 2900 3000-00002

-00001

00000

00001

00002

00003

υOD

υCH2

10-5th cyc

Abs

orba

nce

Wavenumber (cm-1)

TMA on CH3-SAM Differential Spectra

5 - 0 cyc

υCH3

Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA Growth on -CH3 SAM FTIR spectra recorded after 5 cycles of TMAD2O and 10 cycles are referenced relative to the surface before the previous ALD growth Top spectra show the spectral net changes for last 5 cycles of total 10 cycles and bottom one shows changes of first 5 cycles of 10 cycles Clearly initial 5 cycles have more effects on -CH2- stretching modes and subsequent 5 cycles have more effects on -CH3 (which is on the top of SAM)

165

the diffusion occurs in the way of randomly and uniformly over the whole surface the

defects of SAMs determine the initial deposition

In a model of dynamic defects the defects appear transiently caused by the thermally

activated lateral hopping process of the SAsM (the deposition temperature is 100 degC) The

creation of transient holes allows transport of nearby TMA molecule to inside SAMs or

even reach the interface[20 26]

Currently we are not able to conclusively exclude any one of two types of defects We

have found post-treatment of -CH3 SAMSi(111) with HFNH4F has shown HF nearly has

no effect on the silicon oxide at the interface formed during thermal hydrosilylation This

suggests that SAM is densely packed and can efficiently prevent penetration of HF

Therefore it is reasonably presume there are no significant static defects exiting in the

SAM and SAM can equally efficiently prevent penetration of bigger TMA molecule at

room temperature The dynamic mode may mainly account for the trapping of precursor

inside the SAM at 100 degC

643 Initial Reaction Mechanism for ALD growth on ndashOH SAM On the one hand the ALD growth of TMA on ndashOH SAM should be similar to the other

type of regular hydroxylated surface because of presence of reactive ndashOH group Initial

TMA depositions on -OHSiO2 are both kinetically and thermodynamically favorable as

compared to those on H-terminated surface[29] Comparing to ALD TMA on H terminated

silicon surface the incubation period is reduced for OH-terminated Si(100) surface[30]

And it is also found that the Al2O3 films grown on OH-terminated surface have much

smoother morphology than those grown on H-terminated surface [30]

On the another hand however the ndashOH SAM is unique and different from other

ndashOHSi surface in term of surface distribution and number density of ndashOH group due to the

steric restriction existing in the alkylation of H-Si(111) The density of ndashOH should be

lower than hydroxylated silicon dioxide The spacing between adjunct reactive surface

ndashOH sites on SAM is estimated at least 72 Aring assuming converting efficiency from

ndashCOOH to -OH is 100 and initial ndashCOOH uniformly distributed over the whole surface

This spatial limitation makes some reaction pathway impossible for TMA absorption

on ndashOH SAM For example the ring closing reaction proposed on OHSi(100) surface [31]

166

where one TMA molecule could bond to two surface ndashOH sites forming two interfacial

Al-O bond (Figure 620II) will less likely appear on ndashOH SAM In addition since the

way of ndashOH distributed is more uniform (spatial orientation etc) on ndashOH SAM than SiO2

surface the absorbed TMA may have more uniform spatial distribution on the ndashOH SAM

surface It is indicated by the CH3 bending mode of Al-CH3 at ~1203 cm-1 which is

sensitive to how Al bond A very sharp single peak centered at 1203 cm-1 is observed on

ndashOH SAM surface On the SiO2 surface multiple bands appear at 1270 1213 1187 cm-1

after initial TMA exposure 1270 cm-1 is assigned to the Si-CH3 due to methyl transferring

to silicon [2] The fact that as the band at 1213 disappears after subsequent water exposure

it confirms the assignment of 1213 cm-1 to Al-CH3 In summary TMA on HO-SAM exist

in the structure as shown in Figure 620I with one TMA bond to one ndashOH while TMA on

hydroxylated silicon dioxide exists in the form II with one TMA bond to at least two ndashOH

and in other forms like Si-CH3 through ligand exchange as well

A further discussion is on correlating surface density ndashOH with growth rate[32 33]

They donrsquot have direct spectroscopic data to reveal which types of initial TMA reaction on

the surface with different surface ndashOH concentration One TMA molecule could bond to

one two or three ndashOH sites and also ligand exchange could lead to the formation of

Si-CH3 Our data clearly shows that both Al-CH3 and Si-CH3 existed on the chemical

oxidized surface after initial TMA exposure(Figure 617) Therefore the single model

(ligand exchange) given by Puurunen may not enough to explain the cause of steric

hindrance which accounting for saturation of precursor Instead it could be a mix of Model

II (ligand Si-CH3) and Model III (chemically absorbed precursor Si-O-Al-CH3) [33]

For chemical oxides the Si-OH density is most likely at the level of 7 x 1014 OHcm2

[19] (7 -OH per nm2 this number may vary with substrate temperature) For ndashCOOH SAM

the surface density of alkyl chains is quantified as ~27 x 1014 cm2 (27-OH per nm2) [17]

The lower concentration of surface ndashOH groups the lower growth-per-cycle (GPC) during

ALD growth of aluminum oxide[33] Based on the empirical linear relationship given by

Puurunen the growth of Al2O3 on SiO2 is estimated as much as three times more than

Al2O3 grown on ndashOH surface This is consistent with our infrared data as shown in Figure

624 where the integrated area of Al2O3 phonon modes at ~734 and 930 cm-1 is used to

estimate the total amount of absorbed Al2O3 layers

167

Comparing to regular chemically oxidized silicon surface ndashOH SAM provides ideal

model to control the concentration of surface ndashOH in order to study the correlation between

ALD growth and concentration of ndashOH It eliminates other side reaction such as formation

of Si-CH3 on silicon dioxide SAM-directed assembly makes it different from growth on

chemical oxide Different orientation (cubic hexagonal) could produce different saturation

coverage

644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM FTIR spectra demonstrated TMA immediately absorbs on the ndashNH2 SAM The

characteristic peaks of absorbed TMA are clearly seen at 2940 2888 cm-1 (-CH3

asymmetric and symmetric stretching) 1201 cm-1 (CH3 bending) and 703 cm-1 (CH3

rocking) They disappear upon subsequent water exposure The peak at 803 cm-1 is

previously assigned to Al-N bond The possible mechanism for TMA absorbed on the

ndashNH2 SAM is shown in Figure 621 This reaction mechanism is similar to that of TMA on

a hydroxyl-terminated surface Both are Lewis base-acid interaction with a group III alkyl

(TMA) acting as the Lewis acid and a group ndashNH2 or ndashOH acting as the Lewis base

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Figure 619 -COOH SAM terminated Si(111)

~72 Aring

~72 Aring

168

O

Si

AlCH3 CH3

O

Si

Al

CH3

O

Si I Initial absorption II Closing ring

Figure 620 Possible absorbed TMA on ndashOH terminated Surface

NH2

AlCH3 CH3

CH3

AlCH3 CH3

NH

CH4 AlCH3

CH3

N

Al

CH3

CH3OR

Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM

Because of the way we prepared ndashNH2 is based on ndashCOOH SAM on Si(111) the spacing

between -NH2 group is similar with the sapceing of ndashOH groups of ndashOH SAM (shown in

the Figure 620) and with same surface density of reactive sites (limited by the chemical

conversation rate) However it may be possible that two TMA molecules bind to one ndashNH2

site and double the surface density of absorbed TMA The spectra have shown that the

integrated area of Al-CH3 peak at ~1210 cm-1 is nearly double for growth on ndashNH2 SAM

(~00064) than that on ndashOH SAM (~00035) which indirectly supports the initial reaction

mechanism that we proposed In addition a higher nucleation rate on ndashNH2 SAM is

consistent with the fact that higher quality Al2O3 film forms on ndashNH2 SAM surface than on

ndashOH SAM surface after 10 ALD cycles indicated by the sharper LOTO phonon mode of

amorphous Al2O3

By first look our experiment results seem not consistent with theoretical calculations

[18] in which ndashOH SAM is favor both thermodynamically and kinetically over the

169

reaction with ndashNH2 SAM toward TMA The reaction of TMA with ndashNH2 SAM is relative

slow compared to the on ndashOH SAM The initial probability of reaction is in the order of

SiO2 gt -OHgt -NH2 gt -CH3 In our study the film quality observed is like that in the order

of -COOHgt -NH2 gt SiO2 gt -OH gt -CH3 But the quality of ALD grown film is not solely

determined by how fast or easily TMA forms bond with surface groups but overall how it

nucleates on the surface The latter involves other consideration like available nucleation

sites etc Even though TMA can easily form bond with ndashOH the behavior of TMA on

ndashNH2 may be more complex than just forming Al-N bond as on ndashOH surface

Our experimental result is consistent with other on SAM with different terminal group

For instance ALD Ti[N(CH3)] on ndashNH2 SAM[34] found that comparing to ndashOH SAM and

ndashCH3 SAM -NH2 SAM gives the cleanest growth where essentially all reactivity could be

associated with the terminal amine group

645 Comparing Initial Reaction of TMA on SAMs with different

terminal group

The FTIR spectra of first several ALD cycles reveal the initial nucleation and growth on

the SAM surface in detail As shown in the Figure 622 for the ndashOH SAM we immediately

observe the spectroscopic features belong to Al-CH3 appear after the first TMA exposure

indicating absorption of TMA on the surface The symmetric deformation CH3 modes of

Al-CH3 is ~12001209 cm-1 for TMA absorbed on ndashNH2 SAM 1203 cm-1 for -OH SAM

1213 cm-1 for chemically oxidized silicon and 1215 cm-1 for ndashCOOH SAM The band

shape analysis has shown that nonoxygen-containing Si-Al-CH3 coexists on the H-Si(111)

surface at high TMA exposure On H-terminated Si surface a homogenous Al2O3

nucleation is achieved by extending the initial TMA exposure until methyl saturation

For ndashCOOH SAM the chemical reaction with acid group takes place immediately and

has no penetration The presence of two major peaks at 1400-1600 cm-1 suggests that

majority of C=O still remain and the recovery of COOH group after immersing in HCl

solution suggests the weak acid group react with weak base Al(OH) to form salt like

structure CO(δminus)-O-Al(δ+) The formation of this complex on the surface blocks the

170

penetration The C=O is not 100 recovered may be due to the reaction of C=O with

Al(OH) forming C-O-Al

When penetration happens C-H stretching mode shows a blue shift and loss in the

intensity at the original position The blue shift indicates the alkyl chains undergo

significant disordering upon interaction with TMA The decreasing in the intensity of C-H

stretching mode indicates a possible screening effect due to the incorporation of TMA into

SAM The disorder presumably is induced by repulsive steric interactions between

neighboring TMA clusters For ALD on SAM with reactive terminal groups (-COOH

-NH2 -OH) the nucleation occurs immediately and no incubationinduction period

646 Comparing the Nucleation and Al2O3 films grown on the different

substrate

By comparing the FTIR spectra of a Al2O3 film grown on the SAMs with different

terminal groups the peak position peak area and peak shape of LOTO phonon mode of

Al2O3 films reveal the dependence of film quality and properties on the terminal groups

Figure 624 shows the comparison of FTIR spectra of 10 TMAD2O cycles grown Al2O3

film on all surfaces The C-H stretching mode of alkyl chains of SAM at 2800-3000 cm-1 is

used as indicator of the reactions As shown in the Figure 624b for the ndashCOOH SAM

there is no significant spectral change observed in this region indicating little or no

penetration occurrs and reaction is well confined to the terminal groups on the top of

surface For the ndashNH2 and ndashOH SAM a little spectral changes indicate a few more

penetrationsinterference may occur For the non-reactive ndashCH3 SAM the change is

observed immediately upon first TMA exposure and keep increasing with increasing

number of ALD cycles Al2O3 nucleation occurs slowly at the sites (may near surface but

not necessary must be at SAMSilicon interface) where precursor are trapped Because of

the dense packing of well ordered solid-like alkyl SAM the penetration is relatively

difficult for a large size of TMA molecule and only limited amount of TMA could be

trapped into SAM at each exposure (Figure 623)The detailed diffusion mechanism need

to be further elaborated and beyond the study here

171

1000 1500 2000 2600 2800 3000 3200

Wavenumber (cm-1)

00038

00067

00060

~ 1210Al-CH3

-CH3

SiO2

1st TMA on SAMs with different functional group

Abso

rban

ce

-OH

-COOH

-NH2

initial TMA exposure

29392894

Al-CH3

00039

5x10-4

Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different terminal groups

In-situ FTIR spectra were recorded immediately after first 10 second TMA exposure All reactive surfaces show sharp peak at ~1210 cm-1 attributed to Al-CH3 The exact peak position depends on Al-CH3 bond to surface 1213 cm-1 (on SiO2) 1203 cm-1 (on HO-SAM) 1200 cm-1 (on NH2 ndashSAM) and 1215 cm-1 (on COOH-SAM) The corresponding stretching mode of CH3 of Al-CH3 is seen at 2894 and 2939 cm-1

172

We could apply the diffusion mechanisms proposed earlier time for metal atom diffusion

on SAM-Au system to our system

The Al2O3 nucleation cluster can only form near around the sites with pre-trapped

TMA Even after 10 cycles the coalescence of Al2O3 cluster is still undergoing locally and

no continuous film forms on the top of SAM Incoming TMA molecule is still able to

diffuse into the uncovered SAM However after 5 cycle growth the larger percentage of

TMA starts to nucleate at the region near the top of SAM These can be seen from change

of C-H stretching mode and the formation of very broad LOTO mode of Al2O3 in the IR

spectra

The reactivity of terminal group toward TMA has largely determined the efficiency of

diffusion blocking As we have shown earlier time the ndashCOOH SAM apparently is less

ordered than ndashCH3 SAM due to the interaction of ndashCOOH groups However as we can see

here the diffusion is still completely blocked by ndashCOOH SAM even though it possibly has

larger free volume between more disordered alkyl chains comparing to ndashCH3 SAM

Si Si I with reactive terminal group II with ndashCH3 terminal group

Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group

Al2O3 nucleation clusters forming from D2O reaction with TMA trapped in the near surface region but this absorption rate is processed slowly [35]

173

1000 1500 2000 2400 2600 2800 3000

-0006

-0004

-0002

0000

0002

0004

0006

0008(a)

2740υOD

TOLO Al2O3

Al-CH3 υCH2

S30A

10 cyc TMAD2O on SAMs with different functional group

Wavenumber

Abs

orba

nce

-OH

-CH3

SiO2

-COOH

-NH2

2500 2600 2700 2800 2900 3000

-00010

-00005

00000

00005

00010

υCH2υOD

10 cycle TMAD2O

SiO2

-COOH

-NH2

-OH

Abso

rban

ce

Wavenumber (cm-1)

-CH3

(b)

Figure 624 Comparison of ALD TMA on the surface with different termination group

174

65 Conclusion The ALD of high-κ metal oxide (TMA TEMAH) on the silicon functionalized with

self-assembled monolayers (SAMs) processing -COOH -OH - NH2 and -CH3 terminal

groups have been investigated using in situ infrared spectroscopy As a comparison the

data of ALD of TMA on silicon oxide is examined as well The ALD process are found to

depend on all following factors 1) chemical reactivity of terminal groups 2) polarity of

group charges 3) surface number density 4) chains packing density and 5) subsurface

reactivity (ie SAMsilicon interface)

These studies demonstrate that the terminal grous of the SAM significantly affect the

selectivity toward TMA adsorption and subsequent ALD of Al2O3 These studies can be

also extended to ALD deposition of other high-k metal oxide for example TEMAH

Understanding the underlying reactions between precursors and various surface functional

groups gives us the control over these surface reactions that eventually leads to a technique

to tailor the ALD As a model system our current study can be further applied to study

ALD nucleation organic-inorganic interface for future nanoelectronics and molecular

electronics applications

175

Bibliography

1 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal

oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

2 Frank MM YJ Chabal and GD Wilk Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides Applied Physics Letters 2003 82(26) p 4758-4760

3 Frank MM et al Hydrogen barrier layer against silicon oxidation during atomic layer deposition of Al2O3 and HfO2 Journal of the Electrochemical Society 2007 154(2) p G44-G48

4 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

5 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

6 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

7 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 8 Glass JA EA Wovchko and JT Yates Reaction of Methanol with Porous

Silicon Surface Science 1995 338(1-3) p 125-137 9 Fidelis A F Ozanam and JN Chazalviel Fully methylated atomically flat (111)

silicon surface Surface Science 2000 444(1-3) p L7-L10 10 Puurunen RL et al Successive reactions of gaseous trimethylaluminium and

ammonia on porous alumina Physical Chemistry Chemical Physics 2001 3(6) p 1093-1102

11 Ferguson JD AW Weimer and SM George Atomic layer deposition of Al2O3 films on polyethylene particles Chemistry of Materials 2004 16(26) p 5602-5609

12 Gow TR et al Decomposition of trimethylaluminum on silicon(100) Chem Mater 1989 1(4) p 406-411

13 Ott AW et al Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 1997 292(1-2) p 135-144

14 Mozgawa W M Sitarz and M Rokita Spectroscopic studies of different aluminosilicate structures Journal of Molecular Structure 1999 512 p 251-257

15 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

16 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

176

17 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

18 Xu Y and CB Musgrave A DFT Study of the Alltsubgt2ltsubgtOltsubgt3ltsubgt Atomic Layer Deposition on SAMs Effect of SAM Termination Chem Mater 2004 16(4) p 646-653

19 Haukka S and T Suntola Advanced materials processing by adsorption control Interface Science 1997 5(2-3) p 119-128

20 Fisher GL et al The interaction of vapor-deposited Al atoms with CO2H groups at the surface of a self-assembled alkanethiolate monolayer on gold Journal of Physical Chemistry B 2000 104(14) p 3267-3273

21 Xu M et al Mechanism of interfacial layer suppression after performing surface Al(CH3)(3) pretreatment during atomic layer deposition of Al2O3 Journal of Applied Physics 2006 100(10)

22 Chen R et al Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics Applied Physics Letters 2004 84(20) p 4017-4019

23 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

24 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

25 Killampalli AS PF Ma and JR Engstrom The reaction of tetrakis(dimethylamido)titanium with self-assembled alkyltrichlorosilane monolayers possessing -OH -NH2 and -CH3 terminal groups Journal of the American Chemical Society 2005 127(17) p 6300-6310

26 Hooper A et al Chemical Effects of Methyl and Methyl Ester Groups on the Nucleation and Growth of Vapor-Deposited Aluminum Films J Am Chem Soc 1999 121(35) p 8052-8064

27 Alam MA and ML Green Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO[sub 2] gate dielectric layers Journal of Applied Physics 2003 94(5) p 3403-3413

28 Puurunen RL and W Vandervorst Island growth as a growth mode in atomic layer deposition A phenomenological model Journal of Applied Physics 2004 96(12) p 7686-7695

29 Halls MD and K Raghavachari Atomic Layer Deposition Growth Reactions of Alltsubgt2ltsubgtOltsubgt3ltsubgt on Si(100)-2amp2151 J Phys Chem B 2004 108(13) p 4058-4062

30 Lee SS et al Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Alltsubgt2ltsubgtOltsubgt3ltsubgt J Phys Chem B 2004 108(39) p 15128-15132

31 Ghosh MK and CH Choi The initial mechanisms of Al2O3 atomic layer deposition on OHSi(1 0 0)-2 x 1 surface by tri-methylaluminum and water Chemical Physics Letters 2006 426(4-6) p 365-369

32 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

177

33 Puurunen RL Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Applied Surface Science 2005 245(1-4) p 6-10

34 Killampalli AS PF Ma and JR Engstrom The Reaction of Tetrakis(dimethylamido)titanium with Self-Assembled Alkyltrichlorosilane Monolayers Possessing -OH -NHltsubgt2ltsubgt and -CHltsubgt3ltsubgt Terminal Groups J Am Chem Soc 2005 127(17) p 6300-6310

35 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

178

7 Chapter 7 Summary

71 General Conclusions In the work described above we have demonstrated that 1) FTIR provides adequate

spectral information for interfacial Si-O-Si bond formation for silane-based SAMs on

silicon oxide surfaces and for chain effects on head group cross-linking 2) three

functionalization routes can be used for HSi(111) surfaces to produce -NH2 terminated

surfaces with high free -NH2 group surface density and with higher stability in aqueous

solution for biological sensor applications than on silicon oxide substrates 3) carboxyl-

terminated SAMs have higher thermal stability than CH3-SAM and both types of SAMs

are thermally stable during the ALD growth conditions and 4) ALD of metal oxide can be

performed on a variety of SAM-terminated Si surfaces in a controllable manner without

formation of unwanted interfacial SiO2

Surface-sensitive transmission infrared spectroscopy captures the spectral changes of

SiO2 surfaces after modification with trichlorosilane A substrate-adsorbate mode at

1200-1260 cm-1 is attributed to the LO phonon mode induced by the coupling of newly

formed Sis-O-Si bonds with the Si-O-Si network of SiO2 Formation of high density

Sis-O-Si is equivalent to adding a layer to SiO2 thus increasing the thickness of the SiO2

layer Consequently the LO mode of SiO2 is blue-shifted and its intensity is increased [1

2] For an organosilane with alkyl chain steric restrictions prevent the formation of dense

head group cross-linking Si-O-Si and interfacial Sis-O-Si suppressing the vibrational

coupling between the siloxane layer and SiO2 substrate In this case the Si-O-Si mode is

decoupled from the SiO2 LO phonon Such spectroscopic information and understanding

provides a tool towards controlling the interfacial structure and a means to better define the

growth mechanisms operative for the silaneSiO2 system In particular this tool will make

it possible to develop method to achieve a densely packed SAMs for use as dielectrics

Among the many known organosilane molecules used for SAMs amino-terminated

SAMs have been used for the fabrication of patterned surfaces Such surfaces are important

to promote the absorption of enzymes and peptides and to achieve selective electroless

179

deposition of metals and nano-particles on semiconductors An immense problem exiting

in fabrication of amino- terminated surfaces either on SiO2 or on H-terminated Si surfaces

is the acid-base interaction between NH2 head group of precursor and the surface silanol

groups on SiO2 surfaces or catalyzed oxidization of H-Si surfaces These side reactions

degrade the stability and quality of the films In this study we have developed three new

approaches to prepare high quality and stable amine-terminated surfaces and verified the

presence of free amine by monitoring its reactivity with PMPI linker molecules It enables

controllable specific attachment of biomolecule to the surface

From FTIR spectra of CnH2n-1-terminated and COOH-(CH2)10-terminated surfaces

spectral features have been identified which could be ascribed to the Si-H stretching mode

confirming that a Si-H group is present on the alkylated surface The peak corresponding to

Si-H stretching mode is centered at 2073 cm-1 ie red-shifted from its common position at

2083 cm-1 for freshly prepared H-Si(111) surface[3] and also much broader than the sharp

feature seen on the ideal flat H-Si(111) surface indicating that Si-H is isolated (surrounded

by atomsmolecules other than H) as is expected if the surface functionalization is uniform

and incomplete The integrated area of this peak is ~25 and ~55 of the integrated area

(0014 cm-1) of Si-H on freshly prepared H-Si(111) surfaces for CnH2n-1-terminated and

COOH-(CH2)10 terminated surfaces respectively Since a lower value of the Si-H

integrated area is correlated with a higher degree of partial oxidization COOH-terminated

SAMs can therefore form denser layers than CH3-terminated SAMs All these observations

confirm that Si-H bonds can react to for Si-C bonds but only partially (up to 50) due

steric interactions [4]

In situ FTIR spectroscopy makes it possible to compare the thermal decomposition

pathways of CnH2n-1-terminated and COOH-(CH2)10-terminated Si(111) surfaces The

simultaneous appearance of H-Si bonds at ~2076 cm-1 with reduction of CH2 mode

intensity on both surfaces indicates that the chain removal proceeds though βminuselimination

by cleavage of the Si-C bond instead of the C-C bond with formation of Si-H The higher

decomposition temperature of COOH-terminated SAMs indicates that they have a higher

thermal stability than CH3-terminated SAMs Both SAMs are thermally stable during the

ALD growth condition (typically from 100-150 degC)

180

In situ infrared spectroscopy also reveals that the ALD of high-κ metal oxide

(TMAH2O) can be performed on the silicon functionalized with self-assembled

monolayers (SAMs) with -COOH -OH - NH2 or -CH3 terminal groups The study shows

that the nature of the end groups significantly affects the selectivity toward TMA

adsorption and subsequent ALD growth of Al2O3 These studies can be also extended to

ALD deposition of other high-k metal oxides such as HfO2 using TEMAH and H2O to

understand the mechanism of growth of interface formation

The ALD process on SAM is found to depend on all following factors 1) the chemical

reactivity of terminal groups 2) the polarity of terminal group charges 3) the end group

surface density 4) the chain packing density and 5) the accessibility and reactivity of the

siliconASM interface The results show that Al2O3 can grow on all surfaces but in a

different manner forming more homogeneous film on the -NH2 and -COOH surfaces and

very non-homogeneous films on -CH3-terminated surfaces due to a lack of initial reactive

nucleation sites Silicon functionalization with all SAMs not only efficiently eliminates the

formation of unwanted interfacial silicon oxide during ALD growth but also prevent SiO2

formation during post-annealing up to 400 degC (ie SAM decomposition temperature) This

provides a potential method to avoid the formation of SiO2 during ALD processing

The understanding of underlying reactions between precursors and various surface

functional groups obtained from this study gives us a better control over these surface

reactions that may constitute an important method to tailor ALD growth for fabricating

nanoelectronic devices These studies of ALD on SAMs also establish a foundation to

understand the ALD nucleation and growth on more complicate organic films such as

ALD on polymers [5] and selective ALD on patterned surfaces [6 7] In addition the

stability of ultra-thin metal oxide films grown on SAMs demonstrated here provides a basis

for the development of a protective nano-coating method

In contrast to conventional methods using thermal pretreatment to control the ndashOH

density on silica and alumina surfaces SAMs provide a convenient way to control the

surface reactivity and surface density of reactive sites which can be applied to a number of

systems

181

Bibliography

1 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

2 Quayum ME et al Formation of organic monolayer on a hydrogen terminated Si (111) surface via silicon-carbon bond monitored by ATR FT-IR and SFG spectroscopy Effect of orientational order on the reaction rate Chemistry Letters 2002(2) p 208-209

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Sieval AB et al Amino-terminated organic monolayers on hydrogen-terminated silicon surfaces Langmuir 2001 17(24) p 7554-7559

5 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

6 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

7 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

182

CURRICULUM VITAE

Meng Li Rutgers University

Department of Physics amp Astronomy Piscataway NJ 08856 Phone (732) 910-7247

mengliphysicsrutgersedu EDUCATION PhD in Physics (Surface Science) Oct 2007 GPA 37540 Rutgers University New Brunswick NJ (Advisor Prof Yves J Chabal Director of Laboratory for Surface Modification) Master of Science in Computational physics Oct2003 GPA 37340 Rutgers University New Brunswick NJ (Advisor Dr Bernie Yurke Bell Labs) MS and BS in Physics Jun 19991997 GPA 8810 Nanjing University Nanjing PR CHINA (Advisor Prof Qiuhe Peng) WORK EXPERIENCE Thick Film Group AZ Electronic Materials USA Corp Branchburg Process Engineer Jul 2007 ~ Aug 2007 (Internship) bull Develop and test photoresists for thick film applications

- Developed and optimized the formulation of thick film photoresists for desired performance

- Test performance of thick film photoresists on different substrates including silicon copper and gold using standard micro lithography methods

Department of Physics amp Astronomy Rutgers University New Brunswick NJ Lab Instructor (Aug2000 ~ May 2003) bull Instructed over 50 engineering students and 120 college students in classical and

modern physics experiments computational physics and experimental data analysis using MatlabExcel

bull Tutored high school students in advanced mathematics and physics and helped them succeed in gaining admission to Princeton Stanford and Cornell

183

RESEARCH EXPERIENCE Research Assistant (Advisor Prof Yves J Chabal) Laboratory for Surface Modification Rutgers University New Brunswick NJ Aug 2003 ~ Aug 2007 bull FTIR study of Atomic layer deposition (ALD) of high-kmetal oxide on semiconductor

surface modified by organic Self-Assembled Monolayers (SAMs) - Examined the chemical and thermal stability of SAMs under the conditions of

ALD processes - Characterized the ALD-grown HfO2 and Al2O3 on organic SAMs with different

functional termination - Investigated ALD precursors chemistry involved in the HfO2 and Al2O3 at

SAMsSilicon interface and optimized the reaction conditions - Studied mechanistically the effects of surface active sites on nucleation and

growth dynamics of ALD high-k metal oxide by using SAMs as model with controllable surface chemistry

- Tested condition of SAM-assisted area-selected ALD on silicon bull Investigation of phase transition in Poly(N-isopropylacrylamide) (PNIPAM) films on

silicon surfaces for applications in controllable micro- nano- fluidic systems - Characterized thin Poly(N-isopropylacrylamide) (PNIPAM) film end-tethered on

surface and investigated the conformational changes during phase transition using in-situ FTIR spectroscopy

bull Modification and characterization of silicon surfaces using organic self-assembled

monolayers for applications in optimization of attachment of biomolecules to silicon surface and nanoelectronics - Fabricated silicon surface with a variety of SAMs through Si-O-Si or Si-C surface

linkages - Studied surface morphology and chemical properties of silicon surface modified

by SAMs - Analyzed chemical bonding and interfacial structure of SAMsSilicon - Developed novel surface chemistry to prepare high quality bio-reactive surface

for biotechnology Research Assistant (Advisor Dr Bernie Yurke) Bell Laboratories Lucent Technologies Murray Hill NJ Apr 2003 ~ Apr 2004 bull DNA Self-Assembly

- Designed DNA strands for formation of DNA nano-complexes - Assembled and Analyzed DNA complexes and imaged the resulting

nano-structures - Developed computer programs to assist designing and optimizing desired

nano-patterns

184

Research Assistant (Advisor Dr Bernie Yurke) California Institute of Technology Computation and Neural Systems Pasadena CA (Prof Eric Winfreersquos Lab) Jun 2003 bull DNA Self-Assembly and Algorithmic principles in biological systems

- Designed DNA strands to generate nano-patterns through DNA self-assembly - Utilized biochemistry techniques to prepare DNA samples and to form synthetic

nano-complexes quantified DNA samples using UV spectrometer formed complicated DNA building blocks to achieve nano-patterns in Polymerase chain reaction (PCR) machine checked structure formation using Gel electrophoreses imaged DNA self-assembled nano-patterns on mica surface using Atomic Force Microscopy (AFM)

- Assembled bio-inorganic nano-systems a periodic gold nano-particle arrays (with L~25nm) using the self-assembled DNA lattice as scaffold imaged the pattern using AFM

EXPERIMENT SKILLS Clean Room Fabrication bull Semiconductor wafer clean and processing bull Micro lithography Suss Coater ACS300 FLEXIFAB coater ASM-L Stepper

Nanospec 8000 film thickness system Suss MA200 Aligner OptiTrac CoaterDeveloper Amray 4200L SEM

Surface Characterization bull Fourier Transform Infrared Spectrometry (FTIR) using a variety of probing

geometries bull Scanning Probe Microscopy (SPM AFM) tapping amp contact mode (in air and in

liquids) bull Scanning Electron Microscopy (SEM) bull Rutherford Back-Scattering (RBS) bull X-Ray photoemission spectroscopy (XPS) bull UHV systems   ChemistryOrganic Polymer Synthesis bull Wet Chemical methods semiconductor sample cleaning (RCA Piranha HF) and

specialized sample handing in inert atmosphere using a glove box bull Functionlization of silicon surfaces with organic material (using both gas and liquid

phase methods) bull Chemistry for thin film deposition (CVD ALD) Computer Skills bull Computer Language C++FortranJava Matlab bull Scientific Software Origin Labview Latex bull Office application MS office 2000

185

bull Operating System UNIX Linux Windows XPNT200098 SUN Solaris on Sparc5Ultra30 workstations SGI R4400 Dell Precision workstation cluster

PUBLICATION bull Meng Li Min Dai Yves J Chabal ldquoIn-situ infrared spectroscopy of Atomic Layer

Deposition high-κ dielectrics on organic self assembled monolayersrdquo Applied Physics Letter 2007 (manuscript in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoSpectroscopic Signature of Self Assembled Monolayer SAMSilicon interfacerdquo 2007 (manuscripts in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFTIR study of Self Assembly of Aminopropyltriethoxysilane on Atomic Flat Hydrogen Terminated Silicon(111) surfacerdquo 2007 (manuscripts in preparation)

bull Guillaume Paumier Anne-Marie Gue Franccediloise Vinet Meng Li Yves J Chabal Alain Estegraveve Mehdi Djafari-Rouhani Jan Sudor ldquoDynamic control of electrokinetic flows on stimuli-responding Surafcerdquo 2007 Langmuir (Acceped )

bull Meng Li Xinlian Luo Qiuhe Peng Zou ZG Chou CK ldquoA new method to determine the thickness of spiral galaxies Apply to Galaxy M31rdquo Chinese Physics Letter 2000 17 (6) 466-468

PRESENTATIONS bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFormation of Aminosilane

self-assembled monolayers on Hydrogen terminated Si(111) surfacerdquo 2006 20th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Alexandra Langner Sandrine Rivillon Yves J Chabal ldquoFTIR Study of Structure and Growth (3-Aminopropl) Triethoxysilane and Triethoxysilane Self Assembly on Silicon Investigated by FTIRrdquo 2005 19th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Bernie Yurke Yves J Chabal ldquo DNA templated nanofabricationrdquo 2004 18th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

PROFESSIONAL AFFILITIES bull Member of American Physics Societies (since 2005) bull Member of American Chemistry Societies (since 2006) REFERENCES Available upon request

  • CoverMeng-fromLatex
  • MengLi_Final_NoCover_10012007
Page 2: MODIFICATION OF SILICON BY SELF …

ii

ABSTRACT OF THE DISSERTATION

MODIFICATION OF SILICON BY SELF-ASSEMBLED MONOLAYERS

FOR APPLICATION IN NANOELECTRONICS AND BIOLOGY

By MENG LI

Dissertation Director Prof Yves J Chabal

Fourier Transform Infrared Spectroscopy (FTIR) is employed to investigate surface and

interface properties of several self-assembled monolayers (SAMs) systems on silicon and

applications in optimizing attachment of biomolecules and Atomic Layer Deposition

(ALD) of high-k metal oxides are explored The SAM systems include silane-based SAMs

on SiO2 and alkene-based SAMs (with different terminal groups) on H-terminated Si (111)

Modification of SiO2 by silane-based SAMs is presented first with emphasis on SAMSiO2

interfacial characteristics Spectral changes in the longitudinal-optical (LO) phonon mode

of the SiO2 substrate after modification with silane-based SAMs suggest the formation of a

dense cross-linked SAM chemically attached to the SiO2 substrate through Sisubstrate-O-Si

bonds A novel method is developed to prepare ndashNH2 terminated surface for optimized

biomolecules surface attachment using (3-Aminopropyl) triethoxysilane (APTES) and

hydrogen-terminated Si (111) It is demonstrated that APTES can form more stable

siloxane layers on hydrogen-terminated Si (111) without extra pre-hydration or

pre-oxidization of surface required by conventional silane-based methods

The formation structure and stability of alkene-based SAMs thermally grafted on H-

terminated silicon (111) via Si-C bond (Si-C SAMs) has been investigated by infrared

iii

spectroscopy The SAM with reactive terminal group (-COOH) shows higher thermal

stability than SAM with -CH3 termination The decomposition of alkyl chains at high

temperature is through β-hydride elimination with cleavage of Si-C bond The

alkene-based SAMs are further used as model systems to study reaction and nucleation

processes in ALD The ALD of aluminum oxide on SAM-functionalized silicon with

various terminal groups (-CH3 - NH2 -COOH and -OH) was systematically investigated

using in situ FTIR The results show that all Si-C bound SAMs with different terminal

groups efficiently eliminate the formation of unwanted interfacial silicon oxide during

ALD growth The results also show that aluminum oxide can grow on all terminations of

SAM surfaces but in a type-dependent manner forming more homogeneous films on the

-NH2 -COOH surfaces and very non-homogeneous films on -CH3-terminated surfaces due

to a lack of reactive nucleation sites at the starting CH3-terminated surface

iv

Acknowledgements

Foremost I would like to express my deep gratitude to my advisor Prof Yves Chabal

for providing me with the extraordinary opportunity to complete my PhD work at

Laboratory for Surface Modification at Rutgers Yvesrsquos continuous strong support and

guidance made my thesis work possible He has been always actively interested in my

work and has always been available to advise me I am very grateful for his patience

openness motivation enthusiasm and immense knowledge all these taken together make

him a great mentor What I have learned from Yves is far beyond the knowledge only

I would also thank Dr Bernie Yurke at Bell Laboratories for introducing me into the

wonderful world of DNA self-assembly which finally lead me to my initial PhD work

Bernie was very patient and always ready to help It was he who taught me how to start the

fundamental chemistry and biological lab work from the beginning We had worked

closely for three months and spent half of the summer at Caltech There were lots of funs I

still remember during our stay at Caltech we went out during the weekend to search for the

fossil in the California Mountain and got some pieces of stone from nature for our DNA

surface attachment experiments I would also like to thank Prof Eric Winfree at Caltech

for providing me the opportunity to work in his DNA computing algorithm group There I

got to know many interesting and enthusiastic people and learned lots from them A sincere

appreciation needs to go to my PhD committee members Prof Eric Garfunkel Prof Harry

Kojima Prof Larry Zamick and Prof Sobin Kim for their years-long support and valuable

suggestions

A journey is a lot easier when you travel together This thesis is the result of four years

of work whereby I have been accompanied and supported by many people It is a nice

opportunity that I have now to express my gratitude to all of them

I want to thank present and previous members of our lab Norman Lapin Melissa Stick

Min Dai Alexander Langner Chien-Lan Hsueh Ming-Tsung Ho Dr Sandrine Rivillon

Amy Dr Yu Wang Dr David Michalak and Dr Jean-Francois Veyan It was Norman

who first introduced me to Yvesrsquos lab in 2003 He helped a lot in setting up chemical and

biological equipment which make our life in the lab much easier and smoother Thanks to

v

Melissa for continuous sharing of lots of useful information and research resources with us

It is particularly valuable in an interdisciplinary lab Thanks to Min We worked closely on

the ALDSAM surface project during the last six months of my PhD research He helped

me to extend my research into the field of atomic layer deposition efficiently which was

great adventure and exploration I am grateful to Dr Sandrine Rivillon Amy who helped

me to become better chemical experimentalist in the lab and to Dr Jean-Francois Veyan

who provided me with lots of help to setup and maintain lab instruments from vacuum

chamber to air compressor Thanks to Nancy Pamula who made all administrative issues

during my stay at LSM very easy Thanks to my colleagues and friends in LSM and

Physics departments who made my life at Rutgers more colorful and enjoyable

I feel a deep sense of gratitude for my father and mother who formed part of my vision

and taught me the good things that really matter in life When I was a child my father

taught me all kinds of material which I could not learn enough from the school from

calligraphy music instrument and nature science The happy memory of my father still

provides a persistent inspiration for my journey in my life I have also my deepest gratitude

to my mother She has shouldered all the heavy burden of taking good care of two children

when my father passed away Without her great caring and strong endless support and love

it was impossible for me to get a high quality education and achieve my goals in life I

dedicate this work to both of them to honor their love patience and support during these

years I am very grateful to my wife Julia for her love and patience during the period of

study and to my sister Xin for helping my mother and the family

Last I would like to express my sincere gratitude to Dr Yan Xin who offers me the

precious opportunity to learn traditional advanced Chinese qigong - Yan Xin Qigong

Learning Yan Xin Qigong has accompanied me during my whole academic period since

1994 soon after I entered the Nanjing University at China It has maken my life much

healthier and more energetic and helped to handle any challenge on my way to seek a

higher goal of life and its true meaning

vi

Table of Contents

ABSTRACT OF THE DISSERTATION ii Acknowledgements iv Table of Contents vi List of Figures ix Chapter 1 Introduction to Silicon Surface Modification Using Self-Assembled

Monolayers 1 11 Introduction 1 12 Chemical Modification of Silicon Dioxide 4 13 Chemical Modification of Silicon (111) Surfaces 5 14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition 7 15 Summary 8 Bibliography 10

Chapter 2 Experimental Detail of Silicon Surface Modifications and Characterization

Technique ndash FTIR Spectroscopy 14 21 Introduction 14 22 Experimental 14

221 Materials 14 222 Sample Preparations 15

23 Instrumentation FTIR Spectroscopy 16 231 Molecular Excitations and Vibrations 16 232 Fourier Transform Infrared Absorbance Spectroscopy 17

24 Instrumentation Atomic Layer Deposition Set-up 23 Bibliography 25

Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study of Surface Bonding and

Interfacial Structure of alkyltrichlorosilane-based SAMs on Silicon Dioxide 26 31 Introduction 26 32 Experimental 28

321 Materials 28 322 Sample Preparations 29 323 Characterization 30

33 Results 30 331 TCS SAM on SiO2 30 332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2 39 333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2 (Chain Length Effects on Head group cross-linking Structures) 45

34 Discussion 51 35 Conclusion 58 Bibliography 59

vii

Chapter 4 Amino-Terminated Monolayer on H- Terminated Crystalline Silicon (111) By an Self Catalytic Reaction 62 41 Introduction 62 42 Experimental 63 43 Results 65

441 Amine-catalyze Oxidization of H-Si backbond and attachment of APTES 88 442 Limited Interfacial Oxidization 89 443 Stability of APTES layer and Binding Capability 89

45 Conclusion 91 Bibliography 92

Chapter 5 Alkylation of H-Terminated Silicon (111) and Thermal Stability of SAMs with

Different Functionalities 95 51 Introduction 95 52 Experimental 96

521 Materials 96 522 Preparation of Self Assembled Monolayers 96

53 Results 98 531 Preparation of Octadecylated Si (111) Surface 98 532 Preparation of COOH-Terminated Monolayer on Si(111) Surface via a Si-C bond 102 533 Chemical Stability Resistance of Organic Monolayer via a Si-C bond to HFNH4F 104 534 Thermal Stability of Octadecylated Si(111) 105 535 Thermal Stability of COOH-Terminated-Si (111) 109

54 Discussion 113 541 Alkyl Packing on the H-Si (111) surface (Theory and Model) 113 542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111) 113 543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)115

54 Conclusion 119 Bibliography 120

Chapter 6 Selective Atomic Layer Deposition (ALD) of Metal Oxide on SAMs with

Different Functional Groups 122 61 Introduction 122 62 Experimental 125

621 Materials 125 622 Preparation of Self Assembled Monolayers 125 623 Characterization of the Self-Assembled Monolayers 128 624 Atomic Layer Deposition Chamber Set Up UHV apparatus 128

63 Results 129 631 Preparation and Characterization of COOH- terminated SAMSi(111) (same as Chapter 5) 129 632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111) 129 633 ALD Growth of TMA on COOH-terminatedSi (111) 134

viii

634 ALD TMA on ndashOH terminated SAMSi (111) 142 635 ALD TMA on ndashNH2 terminated SAMSi(111) 148 636 ALD TMA on ndashCH3 Terminated SAMSi(111) 155 637 ALD Growth of TMA on SiO2 157

64 Discussion 160 641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM 160 642 Mechanism for ALD growth on ndashCH3 SAM 161 643 Initial Reaction Mechanism for ALD growth on ndashOH SAM 165 644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM 167 645 Comparing Initial Reaction of TMA on SAMs with different terminal group 169 646 Comparing the Nucleation and Al2O3 films grown on the different substrate 170

65 Conclusion 174 Bibliography 175

Chapter 7 Summary 178

71 General Conclusions 178 Bibliography 181

CURRICULUM VITAE 182

ix

List of Figures

Figure 11 A Diagram of Field Effect Transistor 3 Figure 21 Inside layout of FT-IR Spectrometer (Nicloet) 18 Figure 22 Interferogram of silicon sample 19 Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission Geometry 21 Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated Si(111) sample

22 Figure 25 FTIR Spectra of water Vapor and CO2 gas 23 Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission FTIR

spectroscopy set up24 Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with

Different Thickness 32 Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 33 Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon Mode of Oxidized

Silicon Substrate35 Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1 35 Figure 35 FTIR Spectra of Polymerized TCS film on SiO2 36 Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area of H-SiO3 and Peak

at 1200-1200 cm-138 Figure 37 Toluene Effect on Oxidize Silicon Substrate 40 Figure 38 Different TCS configuration on the Surface and polymerized film 40 Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode)43 Figure 310 Deconvolution of Si-O-Si absorption band of TCS films 44 Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2 46 Figure 312 A reaction between Monochlorine silane and SiO2 substrate46 Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes

with different chain length47 Figure 314 Sis-O-Si in different configuration Different group attached to Si 48 Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different Substrate 50 Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 52 Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

54 Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface 57 Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane62 Figure 42 p-maleimidophenyl isocyanate (PMPI)65 Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES 66 Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect 69 Figure 45 FTIR Spectra of Time Dependence Experiments70 Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and

without UV (284nm) Illumination72 Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time74 Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water

75 Figure 49 PMPI 24 hours on APTESH-Si(111) 77 Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c

Toluene only79 Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine81 Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or without water

83 Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I) 86

x

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II) 87 Figure 415 Possible Mechanism of APTES reacts with surface H-SiO388 Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)97 Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene 100 Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains 101 Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid103 Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution 106 Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure

N2 gas from 100 to 400 degC 107 Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated

Si(111) sample108 Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c111 Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111)112 Figure 510 Possible Reaction during Annealing 118 Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen

environment118 Figure 61 SAM Assisted Atomic Layer Deposition of Metal 124 Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM 128 Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to TMA for various time

130 Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900 cm-1 131 Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl solution treatment

133 Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111) 135 Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)138 Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on COOH-SAM H-Si(111)

post-annealed in ultra pure N2 gas from 300 to 600 degC 139 Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with COOH-SAM and

following 10 cycle TMAD2O growth and 600 degC annealing 140 Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM 144 Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)147 Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) 150 Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

151 Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method

(Method II) are verified by reacting with PMPI 152 Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) 154 Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111) 156 Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post

annealed from 300 to 600c 159 Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA

Growth on -CH3 SAM164 Figure 619 -COOH SAM terminated Si(111) 167 Figure 620 Possible absorbed TMA on ndashOH terminated Surface 168 Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM168 Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different

terminal groups171 Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group172 Figure 624 Comparison of ALD TMA on the surface with different termination group 173

1

1 Chapter 1 Introduction to Silicon Surface Modification

Using Self-Assembled Monolayers

11 Introduction

Silicon chips have been the backbone of modern electronic technology and computing for

several decades As the size of devices on silicon wafers scales down to sub-nanometer the

surface characteristics become dominant and play an increasingly crucial role in device

operation As the ratio of surface area-to-volume increases in smaller objects precise

control of interface properties is required in the many fields not only in microelectronics

but in other applications including sensors MEMSNEMS molecular electronics and

biologically active surfaces The conventional SiO2Si passivation widely used in

microelectronics solely is not able to fully meet the need of developments It becomes very

exciting field to integrate molecular scales devices solid state inorganic structure with

biological active interface

The conventional ldquotop-downrdquo fabrication technologies become more limited at such a

small scale and prohibitively expensive with impractically low throughput Alternatively

self-assembly an inspiration from the nature could provide a very promising solution to

building nanostructures from the ldquobottom uprdquo Self-Assembled Monolayers (SAMs) of

organic molecule are highly ordered two-dimensional structures on the surface and forms

spontaneously They have been widely used as surface modifiers for practical applications

and basic research since they offer a means of altering and controlling the chemical nature

of surfaces They also have a great potential to satisfy many requirements for a new

nanofabrication technology Self-assembly for instance could make it possible to produce

devices with nanometer precision (even in three dimensions) with parallel processing using

simple wet-chemistry or gas-phase technique for cost-effective manufacturing

SAMs have been widely used in the many areas [1] and particular useful in the

nanotechnology and biotechnology including wetting adhesion friction chemical

2

sensing nano-scale lithography surface patterning[2 3] molecular lubrication[4] and

corrosion prevention [5]

There are different SAM systems have been investigated The most common

adsorbatesubstrate combinations are sulfur-containing molecule on gold (forming Au-S

bonds) organosilanes such as alkylchlorosilanes and alkylalkoxysilanes on oxide surface

(forming Si-O-Si bonds) alkenes on hydrogen terminated silicon surface(forming Si-C

bonds) alcohols on H-terminated silicon surface (forming Si-O-C bonds) Although thiol

Au constitutes the most studied model system metal substrates are less useful or versatile

for fabricating electronics than semiconductor materials In this study we therefore focus

on self-assembly on silicon substrates a system with beneficial properties for future

applications such as fabrication of organic-inorganic hybrid devices biochips (protein

array and DNA array) and biosensor We consider attachment of alkoxysilane molecules

on oxidized silicon (SiO2) as an important model of SAMS on glass We then consider the

interaction of two important classes of molecules on H-terminated silicon surfaces first

amino-terminated SAMS (with ethoxy termination) such as APTES and chlorosilanes such

as octodecylchlorosilanes

Consideration of these systems is important for electronics Let us consider for instance a

conventional field effect transistor with a SiO2 gate oxide (Figure 11) It has been shown

that a dense packed organic monolayer alkyl chain with only a few nanometer thickness is

a very efficient insulating barrier [6] It has a high tunneling barrier (45 eV) for charge

carriers that reduces the tunneling current density at a negligible level (10ndash8 Acm2 at 4

MVcm) [7 8] In comparison the SiO2 insulating layer within equivalent nanometer

thickness range (lt3nm) has leakage current as large as 10-1-10-3 Acm2 [9] SAM-dielectric

organic transistors have been successfully demonstrated on silicon [7 10-12] and on

substrate of metal aluminum terminated with hydroxyl groups [13] The advantage of using

SAMs is not only that is reduces the leakage current but also that it allows an organic thin

film transistor to operate at low voltage which is intrinsic requirement for the device made

of organic semiconductor material [10] Furthermore the tailorable SAM surface can be

modified with methyl- carboxylic amine and hydroxyl group terminations with different

surface energy which can be used to control the carrier density in a device such as organic

3

field-effect transistors [14] SAMs are also widely used as gate dielectrics in fabricating

novel nanoeletronic devices such as carbon nanotube field effect transistors [15]

Figure 11 A Diagram of Field Effect Transistor

Determination of the electrical properties of the organic monolayers and their

interfaces is a key issue for both molecular and silicon nanoelectronics For this purpose

the presence of the 10ndash15 nm thick SiOx layer in the alkylsiloxane SAMs formed from

n-alkyltrichlorosilane on oxidized silicon surfaces precludes the study of a true

siliconorganicndashmonolayer interface The direct formation SAMs on H-Si by

hydrosilylation with alkenes allows direct measurement of SAM-Si interface [16 17] The

interface trap density obtained in the case of the silicon1-octadecene interface was more

than an order of magnitude lower than that obtained in the case of the alkyl chain

monolayer on the oxidized silicon surface [17]

The interaction of biomolecule such as DNA proteins or cells with semiconductors

such as silicon is of great interest for applications such as electronic biosensing To

achieve this silicon need to be properly functionalized by using SAMs with desired end

groups SAMs can be used to either enhance or inhibit adsorption of biomolecules The

SAMs can be also used to control the electronic properties of the surface For example a

single nanowire modified with amine-terminated SAMs is used to detect the pH change in

the solutions [18] It is also shown the direct electrical detection of DNA synthesis can be

done by applying SAMs[19]

4

12 Chemical Modification of Silicon Dioxide

Because silicon dioxide has been widely used in the microelectronics industry for decades

as a method to passivate silicon surface control surface electronic and chemical properties

and pattern surface the first interest of this work focuses on the modification of SiO2 with

SAMs The study of SAMSiO2 has grown rapidly since Sagiv and his co-workerrsquos

pioneered working on SAMSiO2[20] In general understanding silane-based SAMs are

believed to be bonded to SiO2 substrate through Si-O-Si bond and thus can be integrated

into current SiO2-based micronano electromechanical systems (MEMSNEMS) SAMs

also play important roles in fabricating novel hybrid organic-inorganic devices For

instance formation of the SAMs onto the SiO2 gate insulators is known to be a very

effective method to increase the field-effect mobility of organic thin film field

transistors[21]

In spite of wide applications of alkylsiloxane SAMs on SiO2 the actual mechanism of

monolayer formation on SiO2 remains a subject of debate Many studies have been carried

out to investigate the underlying mechanism but the results are often conflicting

In general picture the silane molecule first must be hydrolyzed to form reactive silanol

groups and further they condense with surface hydroxyl groups [22] to form Sis-O-Si bond

or with other hydrolyzed silane molecule to form Si-O-Si cross-linking Unlike SAMs of

alkanethiols and fatty acids in which the ultimate film structure is determined by

substrate-molecule and van der Waals chain-chain interactions the reactive head-groups in

organosilanes introduce potential lateral film growth mechanisms at substrates Formation

of head group cross-linking is very sensitive to environment such as water content

temperature [23] [24] The competition between head group cross-linking and surface

bond formation leads to poor reproducibility and inconsistent results

It is also argued that cross-linking and thin adsorbed water layer are keys to form high

quality SAMs but the bond between the chains and substrate is not necessary [25] [26]

The earlier IR study suggests few Sis-O-Si forms if there is any[27] In addition to the

existing debate on the formation of interface Sis-O-Si bond the growth dynamics of SAMs

whether it is uniform growth or island growth is also under the debate Overall results

suggest that it depends on water content [28 29] and self-assembly process strongly favors

5

island-type growth with increasing water content However the island-growth is still found

in the condition of absence of traces of water in solution [30]

Amine-terminated surface is very useful in the biological applications The important

applications of APTES-modified surface include promotion of adhesion and

immobilization of membrane and biological molecule physically or chemically Aminated

surface is also used for directing growth of the nanoparticles and nanorodes [31] [32] on

the surface One of widely used method is using aminosilane (APTES) on SiO2 substrate

However compared to the other alkylsilaneSiO2 the ndashNH2 functional group introduces

more complexity into already complicated SilaneSiO2 system The interaction between

NH2 group and surface hydroxyl groups or silanol groups makes it even more difficult to

form ordered layers NH2 group acts like build-in catalyst for the reaction of alkoxy [33]

[34] and chlorosilane [35 36] It can efficiently catalyze the formation of Si-O-Si bond and

exaggerate the self-polymerization Even worse APTES-modified SiO2 is found to be

unstable in the aqueous solution and can be easily removed from the surface This problem

greatly hinders its applications in the biological application where most of reactions are

conducted in the water-soluble solution

13 Chemical Modification of Silicon (111) Surfaces

Hydrogen passivated silicon has been the starting point for many important applications in

which the oxide is not desirable due to its insulting barriers and high density of electronic

defects at the interface H-terminated crystalline silicon (111) is particularly interesting

because of its unique characteristics H-Si(111) surface is atomic flat over large area (over

hundred Angstroms) and has very well defined surface structure with three of the Si atom

tetrahedral bond tied up with bulk silicon and one Si which is normal to surface terminated

with hydrogen atom It is least sterically hindered surface available on Si crystal that can be

easily prepared by wet chemical etching in aqueous hydrofluoride and ammonium fluoride

solutions[37] H-Si(111) is stable and can be easily manipulated in the air or organic

solvent without using expensive vacuum system Finally the reactivity of H-Si toward

organic materials and the well understood interface makes it great candidate for potential

molecular-semiconductor devices or chemical biosensor

6

Even though H-terminated silicon (111) is relatively stable in pure O2 or pure water

environments an oxide layer quickly forms upon exposure to ambient environment

Consequently the oxidization reduces its electrical properties and compromises the device

performance One promising technique to overcome this oxidization problem is to form

molecular monolayers with stable surface Si-C bond

Covalently attached organic monolayers on oxide-free silicon surface get increasing

attention because of their structural order stability technique usefulness and

controllability It can be easily prepared by a reaction between 1-alkene and a hydrogen

terminated silicon surface thermally [38-40] photo-chemically[41] by employing

transition metal catalysis[42] or by Lewis acid catalyzed reactions[43 44] It also has been

accomplished using alkyl Grinard and alkyl lithium reagents in a two-step process [45-47]

The structure of monolayers formed on silicon by hydrosilylation of 1-alkenes and

1-alkynes has been studied by FTIR contact angle experiments X-ray analysis [39 48

49] impedance spectroscopy[50 51] and a variety of theoretical techniques including

molecular mechanics[52] molecular dynamics[53] and density functional computations

[52 54-57]

Two mechanisms have been proposed for hydrosilylation of H-terminated silicon

Linford suggested radical chain mechanism [38 39] A surface silyl radical is formed from

UV or thermal activation attacks the unsaturated bonds (C=C) of 1-alkenen to form Si-C

bond with formation of a new radical center on the carbon atom The carbon centered

radical of chain abstracts nearestndashneighbor H atom forming a new silyl radical and

initiating the free-radical chains reaction An alternative mechanism involving formation

of electron-hole pairs has been proposed by Buriak et al They investigated hydrosilylation

promoted with white light and suggested an unbound exciton produced by light absorption

that leads to a surface-localized supra-band gap positive charge This surface charge can

then interact with alkenes and form a silylated β-carbocation upon Si-C bond formation

This carbocation can then abstract a hydride from an adjacent Si-H bond yielding the

neutral organic termination [58]

A great interest is on how alkyl chains pack on the Si(111) surface In a simple steric

model of alkylated surface the inter-nuclear distance between adjacent atop sites on the

Si(111) crystal face is 38 Aring and a methyl group with a van der Waals diameter 25 Aring is

7

small enough to replace every H atom and link to every Si atom reaching 100 coverage

However alkyl chain with chain length longer than two carbons has van der Waals

diameter 45- 50 Aring implying that it cannot fit to every Si site because of steric restriction

A theoretical model study on alkyl packing has shown the maximum coverage for long

n-alkyl chains on Si(111) is 50-55 [52]

This work concerns with thermal hydro-silylation of H-Si(111) with a linear

1-alkene1-undecenic acid and its derivatives

14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition

SAM modified silicon substrate can be integrated into other nanoelectronics applications

because it can effectively control the surface properties One application is the application

of deposition of ultra-thin inorganic material (high-k) on the top of SAM modified surface

Atomic Layer deposition (ALD) is a thin film growth technique based on a sequential

self-limited surface reaction It has recently received considerable attention as a method for

depositing high quality thin films of insulators semiconductors and metals with

sub-nanometer control of growth process The surface-reaction-controlled binary

deposition mechanism ensures high conformal growth with precise control of the film

thickness and uniformity over large area

The ALD growth and film quality are sensitive to the starting surface The nucleation

and the interface formation are greatly affected by the surface functional group and

reactivity with ALD precursors Usually hydroxyl group -OH on SiO2 is mostly used By

controlling the surface functional group ALD process can be manipulated for

area-selective ALD growth[59 60] a controllable nucleation

Scaling down integrated circuit require to replace the SiO2 gate dielectric (dietetic ~ 34)

in metal-oxide-semiconductor field effect transistor (MOSFET) with high-k dielectrics in

order to reduce the required effective oxide thickness (EOT) without considerable leakage

Among the stable oxide Al2O3 (k~7) and HfO2 (k~25) are very promising candidates with

high dielectric constant so that have been studies intensively on different substrate such as

8

SiO2 porous silicon and H- terminated crystal silicon The popular combination includes

trimethylaluminum (TMA) and water for Al2O3 and TEMAH and water for HfO2 growth

To fully take advantage of using high-k material ALD deposition requires to use

oxide-free H terminated silicon as starting surface to obtain atomically sharp Sihigh-k

interface The existences of SiO2 will significantly compromise the benefits of using

high-k dielectrics by reducing the effective gate stack capacitance

The usage of HSi as a substrate for high-k material such as HfO2 and Al2O3 deposition

although very promising has been found to have the following problems 1) low reactivity

HSi has remarkable resistance to oxidization however it also causes the poor nucleation

characteristics of many ALD-grown high-k films resulting in nonlinear growth kinetics

and formation of discontinuous and electrically leaking gate stacks 2) interfacial SiO2

formation It is found that interfacial SiO2 is unavoidably formed during ALD growth as a

side-reaction [61] [62] The method to increase nucleation and promote linear growth by

using more reactive precursor such as replacing water with Ozone has been found increase

interfacial SiO2 formation more So to have a surface with enough reactivity toward ALD

precursor while remaining resistance to unwanted oxidizations is important to solve the

obstacle mentioned above

In this thesis we use self-assembled monolayers formed from hydrosylation of

H-Si(111) as starting substrate and use Al2O3 as an example to demonstrate SAM assisted

ALD deposition of high-k dialectics on oxide-free surface The Alkyl-SAM covalently

bond to Si substrate through Si-C bond is extremely stable and will not be oxidized in the

ambient environment up to months or under the current ALD growth condition Meanwhile

the tailorable head groups of SAM provide a neat way to study and control (either promote

or inhibit) nucleation of ALD process We research into high-k precursor adsorption and

initial ALD growth on SAM with different chemical functional groups (-OH -COOH

-NH2 -CH3) using in-situ infrared spectroscopy In addition our study also provides

deeper understanding on ALD on polymers surface

15 Summary

9

The work presented here addresses several important issuers existing in current two most

popular silicon substrate-based self-assembled monolayers systems alkylsilaneSiO2 and

alkenesH-Si(111) by using powerful FTIR spectroscopic technique We first discuss the

chemical modification of SiO2 via Si-O-Si bond through formation of siloxane monolayers

and chemical modification of oxide-free crystal Si surface by Si-C bond through one-step

thermal alkylation process using alkenes

In silaneSiO2 system particular emphasis is placed on identifying IR spectral

signature at the SAMSiO2 interface This is first time that the spectral changes of SiO2

substrate is observed after SAM modification implying Sis-O-Si formation between silane

and substrate which is described in Chapter 3 In Chapter 4 we discuss a specific example

of silane-based new method to prepare stable -NH2 terminated surface on H-Si(111)

surface without required ndashOH surface groups The new methods different from either

conventional SilaneSiO2 system or alkeneH-Si(111) system overcomes some

disadvantages in current widely used methods

Chapter 5 discusses functionalization of H-Si(111) using 1-alkene (-CH3) and

1-undecylnic acid (-COOH) and its thermal stability by using is-situ FTIR technique In

Chapter 6 we first discuss the preparation of Si-C SAM with other two types of chemical

functional groups (-OH -NH2) and atomic layer deposition of high-k metal oxide (Al2O3)

on different functional surface is described and compared in the second part of Chapter 6

Finally a general conclusion of current understanding of the mechanism of

SilaneSAM and atomic layer deposition of metal oxide on alkylated Si(111) with different

functional groups is summarized in Chapter 7

10

Bibliography

1 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev

1996 96(4) p 1533-1554 2 Finnie KR R Haasch and RG Nuzzo Formation and Patterning of

Self-Assembled Monolayers Derived from Long-Chain Organosilicon Amphiphiles and Their Use as Templates in Materials Microfabrication Langmuir 2000 16(17) p 6968-6976

3 Zheng J et al Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon Langmuir 2000 16(10) p 4409-4412

4 V V Tsukruk Molecular Lubricants and Glues for Micro- and Nanodevices Advanced Materials 2001 13(2) p 95-108

5 Jennings GK et al Effect of Chain Length on the Protection of Copper by n-Alkanethiols Langmuir 1998 14(21) p 6130-6139

6 Boulas C et al Suppression of Charge Carrier Tunneling through Organic Self-Assembled Monolayers Physical Review Letters 1996 76(25) p 4797 LP - 4800

7 Collet J et al Low-voltage 30 nm channel length organic transistors with a self-assembled monolayer as gate insulating films Applied Physics Letters 2000 76(14) p 1941-1943

8 Vuillaume D et al Organic insulating films of nanometer thicknesses Applied Physics Letters 1996 69(11) p 1646-1648

9 Lo S-H et al Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFETs Electron Device Letters IEEE 1997 18(5) p 209-211

10 Halik M et al Low-voltage organic transistors with an amorphous molecular gate dielectric 2004 431(7011) p 963-966

11 Park YD et al Low-voltage polymer thin-film transistors with a self-assembled monolayer as the gate dielectric Applied Physics Letters 2005 87(24) p 243509

12 Yoon MH A Facchetti and TJ Marks sigma-pi molecular dielectric multilayers for low-voltage organic thin-film transistors Proceedings of the National Academy of Sciences of the United States of America 2005 102(13) p 4678-4682

13 Klauk H et al Ultralow-power organic complementary circuits 2007 445(7129) p 745-748

14 Kobayashi S et al Control of carrier density by self-assembled monolayers in organic field-effect transistors 2004 3(5) p 317-322

15 Weitz RT et al High-performance carbon nanotube field effect transistors with a thin gate dielectric based on a self-assembled monolayer Nano Letters 2007 7(1) p 22-27

16 Miramond C and D Vuillaume 1-octadecene monolayers on Si(111) hydrogen-terminated surfaces Effect of substrate doping Journal of Applied Physics 2004 96(3) p 1529-1536

11

17 Kar S C Miramond and D Vuillaume Properties of electronic traps at silicon1-octadecene interfaces Applied Physics Letters 2001 78(9) p 1288-1290

18 Cui Y et al Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species

101126science1062711 Science 2001 293(5533) p 1289-1292 19 Pourmand N et al Direct electrical detection of DNA synthesis 101073pnas0601184103 PNAS 2006 103(17) p 6466-6470 20 Netzer L and J Sagiv A new approach to construction of artificial monolayer

assemblies J Am Chem Soc 1983 105(3) p 674-676 21 Lin YY et al Stacked pentacene layer organic thin-film transistors with

improved characteristics Ieee Electron Device Letters 1997 18(12) p 606-608 22 Zhuravlev LT Concentration of hydroxyl groups on the surface of amorphous

silicas Langmuir 1987 3(3) p 316-318 23 Parikh AN et al Correlation of Molecular-Organization and Substrate

Wettability in the Self-Assembly of N-Alkylsiloxane Monolayers Journal of Physical Chemistry 1995 99(24) p 9996-10008

24 Glaser A et al Investigation of the role of the interplay between water and temperature on the growth of alkylsiloxane submonolayers on silicon Langmuir 2004 20(13) p 5599-5604

25 Finklea HO et al Formation of an organized monolayer by solution adsorption of octadecyltrichlorosilane on gold electrochemical properties and structural characterization Langmuir 1986 2(2) p 239-244

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

28 Vallant T et al Formation of self-assembled octadecylsiloxane monolayers on mica and silicon surfaces studied by atomic force microscopy and infrared spectroscopy Journal of Physical Chemistry B 1998 102(37) p 7190-7197

29 Vallant T et al Investigation of the formation and structure of self-assembled alkylsiloxane monolayers on silicon using in situ attenuated total reflection infrared spectroscopy Langmuir 1999 15(16) p 5339-5346

30 Wang MJ et al Self-assembled silane monolayers Fabrication with nanoscale uniformity Langmuir 2005 21(5) p 1848-1857

31 Taub N O Krichevski and G Markovich Growth of Gold Nanorods on Surfaces J Phys Chem B 2003 107(42) p 11579-11582

32 Mieszawska AJ GW Slawinski and FP Zamborini Directing the growth of highly aligned gold nanorods through a surface chemical amidation reaction Journal of the American Chemical Society 2006 128(17) p 5622-5623

33 Blitz JP RSS Murthy and DE Leyden Ammonia-catalyzed silylation reactions of Cab-O-Sil with methoxymethylsilanes J Am Chem Soc 1987 109(23) p 7141-7145

12

34 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

35 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

36 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

37 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

38 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

39 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

40 Sieval AB et al An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces Langmuir 1999 15(23) p 8288-8291

41 Terry J et al Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift scanned-energy photoelectron diffraction Applied Physics Letters 1997 71(8) p 1056-1058

42 Holland JM et al Metal Mediated Reactions on Porous Silicon Surfaces Journal of Solid State Chemistry 147 p 251-258

43 Buriak JM and MJ Allen Lewis Acid Mediated Functionalization of Porous Silicon with Substituted Alkenes and Alkynes J Am Chem Soc 1998 120(6) p 1339-1340

44 Ohyama H et al Photoluminescence of porous silicon surfaces stabilized through Lewis acid mediated hydrosilylation Journal of Luminescence 80 p 29-35

45 Bansal A et al Alkylation of Si Surfaces Using a Two-Step HalogenationGrignard Route J Am Chem Soc 1996 118(30) p 7225-7226

46 Bansal A et al Spectroscopic Studies of the Modification of Crystalline Si(111) Surfaces with Covalently-Attached Alkyl Chains Using a ChlorinationAlkylation Method J Phys Chem B 2001 105(42) p 10266-10277

47 Webb LJ and NS Lewis Comparison of the Electrical Properties and Chemical Stability of Crystalline Silicon(111) Surfaces Alkylated Using Grignard Reagents or Olefins with Lewis Acid Catalysts J Phys Chem B 2003 107(23) p 5404-5412

48 Fidelis A F Ozanam and J-N Chazalviel Fully methylated atomically flat (111) silicon surface Surface Science 2000 444(1-3) p L7-L10

49 MP Stewart EGR TW Geders MJ Allen H Cheul Choi JM Buriak Three Methods for Stabilization and Functionalization of Porous Silicon Surfaces via Hydrosilylation and Electrografting Reactions physica status solidi (a) 2000 182(1) p 109-115

50 Allongue P et al Organic monolayers on Si(111) by electrochemical method Electrochimica Acta 1998 43(19-20) p 2791-2798

51 Yu H-Z et al Molecularly Tunable Organic Capacitors at SiliconAqueous Electrolyte Interfaces1 J Phys Chem B 2000 104(47) p 11157-11161

13

52 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

53 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

54 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

55 Kruse P et al Patterning of Vinylferrocene on H-Si(100) via Self-Directed Growth of Molecular Lines and STM-Induced Decomposition Nano Lett 2002 2(8) p 807-810

56 Kang JK and CB Musgrave A quantum chemical study of the self-directed growth mechanism of styrene and propylene molecular nanowires on the silicon (100) 2 x 1 surface The Journal of Chemical Physics 2002 116(22) p 9907-9913

57 Pei Y J Ma and Y Jiang Formation Mechanisms and Packing Structures of Alkoxyl and Alkyl Monolayers on Si(111) Theoretical Studies with Quantum Chemistry and Molecular Simulation Models Langmuir 2003 19(18) p 7652-7661

58 Buriak JM and MP Stewart Exciton-Mediated Hydrosilylation on Photoluminescent Nanocrystalline Silicon J Am Chem Soc 2001 123 p 7821-7830

59 Chen R et al Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Applied Physics Letters 2005 86(19)

60 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

61 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

62 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

14

2 Chapter 2 Experimental Detail of Silicon Surface

Modifications and Characterization Technique ndash FTIR

Spectroscopy

21 Introduction

Two classes of methods are applied to silicon surface modification based on starting silicon

surface For silicon dioxide surface organicsilane-based silanization was applied For

hydrogen terminated silicon (111) surface a thermal alkylation method adapted from

Chidsay et al was used[1 2]

22 Experimental

221 Materials

Float-Zone grown Si(100) from Silicon Valley Microelectronic (San jose CA) with thin

oxide ( ~ 60 Aring) is used for silicon oxide based silanization The native oxide was etched

away by diluted HF solution and re-oxidized in hot piranha solution It provides a dense

and uniform thin oxide layer with thickness 15-20 Aring

Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was

used for preparing hydrogen terminated surface The silicon wafer was doped with p to a

resistivity gt 30 Ω cm and polished on both sides to a thickness of 450 μm Si(111) samples

are cut to a size of 15 x 38 cm in order to fit into homemade sample holder for ALD

chamber

All solvents used in silanization and alkylation reaction were anhydrous (for detailed

information see the specific chapters respectively) stored under nitrogen-purged glove box

and used as received from Sigma-Aldrich Corp All chemicals were used as received

except where noted The deionized water with resistivity of gt182 MΩ cm obtained from a

Barnstead Nanopure system (Dubuque IA) was used at all time

15

222 Sample Preparations

2221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in a

hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yeild high quality oxide with high density of surface

hydroxyl group In addition the thickness of oxide can be appropriately controlled by

varying the time of dipping in the piranha solution

2222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into either N2 purged FTIR spectrometer

chamber for taking reference spectra or N2 purged glove box where all further chemical

functionalizations were conducted

16

2223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used for surface modification It is well

known that silanization process is very sensitive to many parameters such as water content

humidity glassware history resulting in the lack of reproducibility The experiments

reported in this work has been carried in N2 purged glove box with extreme care However

some parameters are still hardly possible to be controlled For example the mount of thin

water layer physically absorbed on the hydroxyl surface depends on the environmental

humidity In our experimental condition there was no chance to remove them or control

them The freshly cleaned silicon oxide sample was immediately introduced into the N2

glove-box after taking reference spectra The 01 (vv) silane solution (OTS BTCS TCS

APTES) is freshly prepared by pipetting 20 μL neat silane liquid into 20 mL anhydrous

toluene The sample was sitting inside the 01 APTES solution for a desired time and

rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried in

N2 (g) flow and transformed into FTIR chamber for measurement

2224 Thermal Alkaylation of H-Si(111) Surface

Neat alkene (ocatadecene (C18) (98) ) was pre-treated with 3Aring molecular sieve for

overnight and then N2 (g) bubbling for at least 1 hour right before the reaction It is very

important to have N2 bubbling for sufficient time period in order to get high quality SAM

without oxidizing the silicon surface After transferring the ocatadecene liquid into the

three-necked flask loading H-Si(111) sample and assembling the condenser tube in the N2

glove box the whole set was sealed and moved out from N2 glove box to a regular chmical

hood The octadecene was then continuously purged with N2 (g) for additional 30 min

before heating it up to reaction temperature of 200 degC in a silicon oil bath

23 Instrumentation FTIR Spectroscopy

231 Molecular Excitations and Vibrations

Not all molecular vibrations absorb infrared radiation It depends on the dipole moment of

the bond The electric field of incoming infrared beam alternately stretches and compresses

a polar bond If this alternate stretching and compressing of the bond occurs at the natural

17

frequency of vibration of bond energy may be absorbed This kind of vibration of bond

with dipole moments generally results in IR absorption (IR active) When the bond has

symmetric distribution and zero dipole moment the external electric field does not interact

with it As a consequence no IR absorption can be observed (IR inactive) However this

bond could still possibly be seen in IR spectrum whenever its symmetric becomes

non-symmetric for the part of time because of the effect from environments

232 Fourier Transform Infrared Absorbance Spectroscopy

Infrared Spectroscopy is powerful tool used for material analysis for over seventy years It

meansures the frequencies of infrared light absorbed by a compound Unlike conventional

dispersive IR where each wavelength of radiation is scanned individually and requires a

considerable amount of time an FTIR spectrum contains all frequencies scanned at the

same time (all included in the interferogram) The infrared spectrum represents a

fingerprint of a sample with absorption peaks which corresponds to the frequencies of

vibrations between the bonds of the atoms that make up the material Furthermore FTIR

offers high signal-to-noise ratio and can be used to detect even monolayer molecule on the

surface

In the surface science FTIR technique is particular useful tool for investigating the

surface-bound species and interface bonding of a chemically modified surface It provides

a non-destructive method to probe the sample surface and interface High sensitivity allows

FTIR to probe monolayer at the interface In addition with modern software algorithm it

makes FTIR a great tool for quantitative analysis

2321 Overview Figure 21 shows the overview layout inside a FTIR spectrometer (Nicolet) A

polychromatic infrared beam is emitted from source and then focused into the plane of an

adjustable aperture by an elliptical mirror The light hits a beam splitter and half of light

passes through directly to a fixed mirror and half of it reflected on a fast-moving mirror

Two beams are reflected back from mirrors and recombined at splitter forming

interferogram The encoded interferogram passes through the sample and finally reaches

the detector (Liquid nitrogen cooled MCT-A MCT-B or room temperature DTGS

18

detector) A helium-neon monochromatic laser beam is used as reference to calculate

position of moving mirror to make sure interferometer measures at exactly the same data

point

Figure 21 Inside layout of FT-IR Spectrometer (Nicloet)

2322 Interferogram The interferometer produces a unique type of signal which has all of the infrared

frequencies ldquoencodedrdquo into it The signal can be measured very quickly usually on the

order of one second or so Interferometers employ a beamsplitter which takes the incoming

infrared beam and divides it into two optical beams One beam reflects off from a flat

mirror which is fixed in place The other beam reflects off of a flat mirror which is on a

mechanism that allows this mirror to move a very short distance (typically a few

millimeters) away from the beam splitter The two beams reflect off from their respective

mirrors and are recombined when they get back at the beam splitter Because one path that

one beam travels is a fixed length and the other is constantly changing as its mirror moves

the signal which exits the interferometer is the result of these two beams ldquointerferingrdquo with

19

each other The resulting signal is an interferogram which has the unique property that

every data point (a function of the moving mirror position) which makes up the signal to

have information about every infrared frequency which comes from the source This means

that as the interferogram is measured all frequencies are being measured simultaneously

Thus the use of the interferometer results in extremely fast measurements[3] The

ldquoencodedrdquo beam passes through the sample and reaches the detector with the information

of sample A typical interferogram detected by the detector is shown in the Figure 22

υυδπυλδπυδ dBBI )2cos()()2cos()()( intint

infin

infinminus

infin

infinminus

== (Eq 1)

1000 2000 3000 4000

-8

-6

-4

-2

0

2

4

Volts

DataPoints

-002

000

002

3000

Zoom In

1000

Figure 22 Interferogram of silicon sample

2323 Fourier Transformation The signal received by detectors is still an interferogram in space domain (Figure 22) It

needs to be converted to the frequency domain by applying Fourier transformation ((Eq 2)

After employing the FT transformation to interferogram we obtain a single beam spectrum

20

as shown in Figure 24 (top two) Ideally when applying FT to interferogram it integrates

with the retardation value from negative infinite to infinite But this is physically

impossible because moving mirror travel only finite distance The setting limit on the

integration equally truncates the interferogram mathematically and the truncation results in

a finite line shape with side lobes after Fourier Transformation To eliminate the side lobes

an apodization functions is applied [4] There are different apodization functions that can

be used In this work all spectra are corrected using the Happ-Genzel apodization

algorithm

δυπδυ dIB )2cos()()( intinfin

infinminus

= (Eq 2)

2324 Experimental Set up and Absorbance Spectroscopy Processing In the conventional FTIR geometry a multiple internal reflection (MIR) geometry is often

used to increase the absorption signal ([5 6]) because the low number of total absorbers on

a crystalline Si surface (~ 1015 cm-2 monolayer -1) produce weak or undetectable signal

However this technique is in trade of increased signal with decreased detectable spectral

range so because of long path length multi-phonon absorption renders the silicon

substrate opaque at lt 1500 cm-1 Recent advance in FTIR technology have enabled the use

of transmission mode geometry to measure the low frequency infrared absorption mode of

surface specifies and its sensitivity allows measuring even a monolayer on the surface For

example on an atomically flat hydrogen terminated Si(111) surface the Si-H bond of

monolayer hydrogen on the top of surface is clearly represented by two very sharp peaks

Si-H stretching mode at 2083 cm-1 and its bending mode at 620 cm-1 [7-9] as shown in

Figure 24

In this work Nicolet Nexus 6700 Nexus 670 or Magna 760 were used in the

experiments All Infrared absorption spectra were collected in transmission absorbance

geometry as shown in Figure23 For maximum transmission signal the incident angle 74ordm

the Brewster angle for silicon is used At the Brewster angle p-polarized component (its

electric field of the light wave lies in the same plane as the incident ray and the surface

21

normal) completely transmits through the sample without reflection The sample is also

measured at 10ordm a nearly normal incident angle The incident-angle-dependence can

identify the orientation of the surface species and interface bonding The bonds with dipole

perpendicular on the surface will not be seen in the normal incidence

DetectorIR beam

interferometerθ

Sample

Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission

Geometry

Spectra are usually recorded for a surface before treatment as a reference and after

each surface modification The absorbance spectrum can be calculated with the following

equation from the single beam spectra of reference and sample (Eq 3) All positive

features in absorbance spectrum correspond to the newly formed bonds or adding materials

to the reference and all negative features correspond to the removal of material or breaking

bond of the reference sample In this way we are able to detect tiny changes of the amount

of monolayer on the surface and the interface with the removal of interference from

background(bulk silicon etc) An absorbance spectrum processed from two single beam

spectra are shown in Figure 24C

010log

IIA minus= (Eq 3)

All spectra are recorded with a resolution of 4 cm-1 with 1000 scans per loop of total 5

loops and the last three loops are averaged in order to improve the signal-to-noise ratio

(SNR) which is proportional to square root of a number of scans

In most cases absorbance spectra directly processed from single beam spectra

includes spectrum of water vapor and carbon dioxide and must be subtracted carefully A

22

Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated

Si(111) sample

This demonstrate that how we obtains the absorbance spectrum of freshly etched H-Si(111) by processing two single beam spectra recorded immediately before and after HF etching

23

water and CO2 spectrum used for spectral subtraction are generated with the same detector

under the similar experimental condition Usually we subtract two single beam spectra

from first two consecutive loops obtained for the same sample As the chamber is

constantly purged with N2 assuming sample itself is stable the spectra changes between

two loops are solely caused by the removal of water vapor and CO2 inside chamber by

purge A typical water and CO2 correction spectra are shown in Figure 25 The water and

CO2 subtraction should be processed separately All the spectra processing work

mentioned above and others such as base line correction and integration of peak area are

done using Ominc Software from Thermo Nicolet Inc

Figure 25 FTIR Spectra of water Vapor and CO2 gas

24 Instrumentation Atomic Layer Deposition Set-up

A home-built Atomic Layer Deposition reactor system with in-situ Infrared spectroscopy

is used for this study [10 11] The schematic drawing of ALD system is shown in Figure

26 The pressure in the ALD chamber is normally maintained at ~3 Torr for the deposition

and the silicon substrate is kept at 100 degC during Al2O3 deposition and at 60 degC during

24

FTIR measurements The sample temperatures are measured using a thermocouple clipped

to the substrate The H-terminated silicon samples are immediately loaded in nitrogen

(oxygen impurity lt 10-6 ppm) purged reactor chamber for Al2O3 deposition Growth is

performed by using alternative pulses of 5 sec TMA (carried with ultra-pure N2 gas) and 5

sec D2O mixture in a home-built ALD reactor connected to an FTIR spectrometer (Nicolet

Nexus 670 with external MCTB detector) for in-situ surface analysis The reactant sources

are introduced into the chamber through stain steel pipe by bubbling the sources (TMA

bubbler ot D2O bubbler) at room temperature The partial pressure of TMA (Shipley

OptoGrade) and D2O (Aldrich 999) are 1 mbar and ~10 mbar respectively The IR beam

is incidented at 70deg (~Brewster angle) and the IR transmitted KBr windows are protected

from contamination by closing gate valves during precursor exposure The shutters are

only opened to take IR measurements between depositions cycles after the chamber is fully

purged To prevent cross reaction between residual metal and D2O precursors the ALD

chamber is purged and pumped for 5 min with ultra-pure N2 gas after each precursor

exposure Post-deposition annealing is performed in-situ under N2 gas purge

Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission

FTIR spectroscopy set up

25

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Nicolet Introduction to Fourier Transform Infrared Spectrometry 2001 Thermo Nicolet Publication

4 Griffiths PR De Haseth James A Fourier transform infrared spectrometry 1986 New York Wiley

5 Boukherroub R et al Insights into the formation mechanisms of Si-OR monolayers from the thermal reactions of alcohols and aldehydes with Si(111)-H Langmuir 2000 16(19) p 7429-7434

6 Ozanam F A Djebri and JN Chazalviel The hydrogenated silicon surface in organic electrolytes probed through in situ ir spectroscopy in the ATR geometry Electrochimica Acta 1996 41(5) p 687-692

7 Rivillon S et al Chlorination of hydrogen-terminated silicon(111) surfaces Journal of Vacuum Science amp Technology A 2005 23(4) p 1100-1106

8 Rivillon S et al Gas phase chlorination of hydrogen-passivated silicon surfaces Applied Physics Letters 2004 85(13) p 2583-2585

9 Watanabe S and Y Sugita Anisotropic Dynamic Polarization of Surface Vibrations Associated with H on Stepped Si(111) Chemical Physics Letters 1995 244(1-2) p 105-110

10 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

11 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

26

3 Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study

of Surface Bonding and Interfacial Structure of

alkyltrichlorosilane-based SAMs on Silicon Dioxide

31 Introduction

Organosilane monolayers on hydroxylated oxidized silicon surfaces self-assembled

systems are one of the most studied SAMs system However there is considerable debate

on the mechanism of how SAMs forms on SiO2 surface and contradictory results are

keeping seen in different references Among them one of debated central questions is if

silane molecule is covalently anchored to the surface or instead cross-linked absorbed to

thin water layer of the surface only

The only reported spectroscopic evidence about interfacial covalent bond formed

between silane and surface is at ~1060 cm-1 (attributed to asymmetric stretching mode of

Sisubstrate-O-Si) reported by Tripp in his early work in 1995[1] In his work Tripp reported

that few if any Sisubstrate-O-Si surface bonds are formed However to our knowledge the

detailed information on the structure of SAMs on the interface especially when it forms

long range ordering on the interface is not clear and lacks spectroscopic evidence In this

work we study the direct evidence of the species covalently formed on the surface and

systematically investigate the interfacial structure of silane-based SAMs on SiO2 surface

using FTIR in transmission geometry

The key to understand surface bonding and mechanism of SAMs formation is spectral

region between 1000-1300 cm-1 which contains Sisubstrate -O-Si Si-O-Si Si-O-C modes

The overlapping multiple modes make it a very complicated band structure It may also

contain the contribution from bulk silicon Using absorbance FTIR spectra in the

transmission allows us overcome this problem and solely acquire information from the

interface

27

The advanced technology gives us enough sensitivity to detect the spectral region

below 1400 cm-1 in the transmission geometry which normally is inaccessible in

conventional multiple reflection geometry

Another topic covered in the chapter is how the chain length affects the interfacial

structure (head group cross-linking) and the packing of chains in the final self-assembled

monolayer Stevens[2] pointed out that the cross-linking must be prevented in order to get

fully covered and densely packed monolayer due to the steric interaction between

hydrocarbon chains Fontaine et al study the effect of head group cross-linking on the chain

packing in a Langmuir system without substrate influence using X-ray diffraction They

found that hexagonal structure at maximum packing density typical of long chain

amphiphiles is preserved during the polymerization process But the extent of the order is

a decreasing function of the number of cross-links [3] Using silane molecule with

different head groups which has different hydrolysis rate Fancis et al found that the faster

the cross-linking forms the less dense the film packs There is a competition between

packing of chains under van der Waals and cross-linking formation of head group When

the cross-linking process is slower the better (denser) chains packing will be achieved[4]

In this Study we use n-alkyltrichlorosilane with different chain length including

trichlorosilane (TCS) Butyltrichlorosilane (BTCS C4) Dodecyltrichlorosilane (DTS

C12) and octadecyltrichlorosilane (OTS C18) TCS is the simplest silane molecule with

only one hydrogen attached to Si atom which is used as a probe molecule to study

spectroscopic feature of interfacial bond

The use of trichlorosilane instead of alkoxysilane is based on two reasons chlorosilane

is much more reactive and can be quickly hydrolyzed which allows us solely focus on the

chain length effect and head group cross-linking Second alkoxy- head groups have larger

size than a chlorine atom It will put some additional steric restriction on the packing of

SAMs if they are not completely hydrolyzed This is very likely as the hydrolysis takes

place slowly In addition the previous studies have shown that alcohol products from

hydrolysis of alkoxysilane may react with the surface further thus introducing more

complexity on the surface As for the product HCl from chlorosilane there is no observed

significant evidence of reaction with surface [5 6]

28

Self-Assembling of alkyl chains is usually driven by the van der Waals (VDW)

attraction that favors formation of compact arrays of molecules with maximum contact

between chains and by the interaction between head-group and surface With increasing

length of alkyl chains van Der Waals attractive interaction between chains increases which

actually helps improve the pack density of chains and ordering of SAM[7] In general a

crystal structure will try to find a balance between packing as closely as possible so as to

maximize the van der Waals energy and packing as loosely as possible so as to maximize

molecular motion

The reproducibility problem still existed in forming siloxane SAMs on SiO2 substrate

since the monolayer formation is very sensitive to the reaction condition such as water

content and temperature The major intrinsic source of the reproducibility problem is the

coupling of polymerization (cross-linking) and surface anchoring (Sisubstrate-O-Si) Even

small difference in the water content for example humidity in the environment may lead

to significant difference in the monolayers quality and morphology This is one of the

reasons for a to considerable debate on how siloxane SAMs form on SiO2 [8]

32 Experimental

321 Materials

Float-Zone grown n-Si(100) from Silicon Valley Microelectronic (San jose CA) was

used The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both

sides to the thickness of 450 μm

Following chemicals were used as received except where noted (3-aminopropyl)

triethoxysilane (APTES) (98) trichlorislane (HSiCl3 TCS 99) Butyltrichlorosilane

(n-C4H9SiCl3 BTS99) Dodecyltrichlorosilane (n-C12H25SiCl3 DTS97) and

n-octadecyltrichlorosilane (n-C18H37SiCl3OTS gt90) Butyl (chloro)dimethylsilane

anhydrous toluene (998) anhydrous acetonitrile(998) (all from Sigma-Aldrich Corp)

29

322 Sample Preparations

3221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yield high quality oxide with high density of surface

hydroxyl group

3222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide layer

and produce an atomic flat H- terminated Si(111) surface During the etching step the

wafer was occasionally agitated to remove the bubbles formed on the surface After NH4F

etching sample is rinsed thoroughly with DI water and dried under a stream of N2 (g) The

sample was then immediately removed into N2 purged FTIR spectrometer chamber for

taking reference spectra or into a N2 purged glove box where all further chemical

functionalization were conducted

3223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used to engineer SiO2 surface It is well

known that silanization processes are very sensitive to many environment parameters such

30

as water content humidity glassware history etc where it usually lacks reproducibility

The experiment reported in this work had been carried in N2 purged glove box with

extreme care However some parameters can still hardly be controlled For example the

amount of thin water layer physically absorbed on the hydroxyl terminated SiO2 surface

depends on the environmental humidity In our experimental condition there is no way to

remove them or control them The freshly wet-cleaned silicon dioxide sample was

immediately introduced into the N2 glove-box after taking reference spectra The 01 (vv)

silane solution is freshly prepared by pipetting 20μL neat silane liquid into 20mL

anhydrous toluene Toluene is chosen as a solvent since it is known to dissolve an optimal

quantity of water (ie ~015 mgmL) necessary for the formation of well-packed silane

SAMs [9] The sample was then sitting inside the 01 APTES solution for a desired time

and rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried

in N2 (g) flow and transformed into FT-IR chamber for measurement

323 Characterization

Infrared absorption spectra of functionalized Si surfaces are recorded between 650cm-1

and 4000cm-1 on a Nicolet FT-IR spectrometer equipped with liquid nitrogen cooled down

MCT-A detector in transmission geometry The data acquisition is performed with an

incident IR beam at 74deg off normal (Brewster angle for silicon) and 10deg off normal

(This angle is chosen to minimize the possible reflection interferences observed at normal

incidence) A 4 cm-1- resolution is used and total 5 loops with 1000 scansloop are acquired

for each spectrum The deconvolution analysis of FTIR spectral was done using the ldquofit

multiple peak Gaussian functionrdquo and ldquoNonlinear Curve fitrdquo of ORIGIN 75 software

33 Results

331 TCS SAM on SiO2

Figure 31 shows FTIR spectra of TCS layer formed on the different oxidized silicon

substrates with different thickness of silicon dioxide The peak at 2253 cm-1 is attributed to

the stretching mode of H-SiO3 and corresponding bending mode is at 890 cm-1[10 11] The

31

band at 1000-1200 cm-1 belongs to the Si-O-Si asymmetric stretching mode typical for a

siloxane network or chains The band consists of several overlapping peaks that correspond

to Si-O-Si in different configurations The band between 800-900 cm-1 consists of at least

two peaks 830 cm-1 for stretching mode of Si-O-Si and 890 cm-1 for deformation mode of

H-SiO3 [12-14] The negative peak at 975 cm-1 is attributed to the surface Si-OH[15] The

disappearance of this mode accompanied with positive modes at 1000-1200 cm-1 directly

indicate the removal surface hydroxyl group by reacting with TCS silane

The position of peak that appeared at the range 1200-1260 cm-1 is found to be

substrate-dependent 1256 cm-1 for TCS on thick oxidized silicon 1236 cm-1 for thin

oxidized silicon substrate but it is absent for TCS film formed on H-Si(100) (Figure 31)

To quantify this dependence on substrate the thickness of SiO2 thin film is monitored by

checking the peak position of longitude optical phonon (LO) mode of asymmetric

stretching mode of Si-O-Si

As shown in the Figure 32 both LO and TO phonon mode of SiO2 blue-shifts with

increasing thickness of SiO2 film Although TO mode is believed to be more reliable than

LO mode to reflect the change of interface [16 17] LO mode is used here instead of TO

because the spectroscopic change caused by the peak shifting of TO mode locates within

the range of 1050-1065 cm-1 overlapping with the multiple vibration modes of Si-O-Si

from siloxane and is very difficult to be resolved LO mode usually between 1200 and

1260 cm-1 can be more easily differentiated from other bands of TCS and still can provide

qualitative information about SAM SiO2 interface

In Figure 33 the peak position of peak at 1200-1260 cm-1 after TCS deposition is

plotted against the peak position of LO mode of SiO2 substrate All other experiment

conditions are the same The position of this peak is linearly correlated with the peak

position of the LO phonon mode of SiO2 substrate below 1240 cm-1 spectral range This

phenomena is similar to the earlier study by Queeney on thermal SiO2Si interface[18] For

thermal thin silicon oxide the position of LO mode is positively correlated with the

thickness of thin SiO2 film within the range of 1215-1240 cm-1 When SiO2 film becomes

thicker this thickness-dependence becomes negligible the position of LO mode reaches

the plateau at ~1255 cm-1 and becomes less sensitive to the change of SiO2 thickness In our

experiment the average deviation of this peak from the original position of LO mode of

32

Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with Different Thickness FTIR spectra of TCS layer deposited on a thick SiO2 (LO1254 cm-1) b thin SiO2 (LO1225 cm-1) c oxidize free H-terminated Si(100) surface The thickness of oxidized silicon layer is monitored by the position of LO phone mode of SiO2 shown on the Figure 2 The peak at 880 cm-1 is assigned to deformation mode of H-SiO3 and 830 cm-1 is due to Si-O-Si symmetric stretching mode

33

Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 The FTIR Spectra are obtained for SiO2 substrate with different SiO2 thickness used for TCS functionalization Both Spectra are referenced to the oxide free H- terminated Si(100) surface

34

substrate is 12 cm-1 as shown in Figure 33 Keeping in mind this peak is not a real peak

but a differential peak due to the peak shifting of LO As shown in a schematic drawing in

Figure 34 the actual peak shift is less than this number This thickness-dependence

strongly suggests that TCS modification of SiO2 is equivalent to added SiO2 layer to SiO2

substrate The Si-O from TCS is coupling with Si-O-Si network in the SiO2 substrate TCS

molecule must covalently form Si-O-Si bond with substrate Si atom in order to have such

strong coupling of asymmetric stretching mode of Si-O-Si between added siloxane layer

and silicon oxide substrate Based on the size of TCS molecule it is theoretically possible

to match it to Si-O-Si network of silicon oxide of substrate This is very clear evidence for

interfacial structure formation between siloxane and SiO2 substrate

In order to further confirm that the peak within 1200-1260 cm-1 is related to interfacial

structure not contributed from the siloxane layer itself the spectra of polymerized TCS

thick film (poly-TCS) made from mixing TCS with excess water are acquired As shown

in the Figure 35 the intensity of all spectroscopic features related with polymerized-TCS

layers increase proportionally various Si-O mode including polymeric (Si-O-Si)x

stretching modes at 1000-1200 cm-1 and corresponding bending mode at 830 cm-1 the

stretching mode of H-SiO3 at 2250 cm-1 and bending mode at 871 cm-1 However the peak

within 1200-1260 cm-1 is not seen in the spectra of poly-TCS Although we cannot tell if it

exists and hides in the broad band of 1000-1200 cm-1 we could at least make the

conclusion that this peak is not contributed from the polymerized layer but from interfacial

structure The detailed analysis of spectral region 1000-1200 cm-1 will be conducted in the

following session

35

Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon

Mode of Oxidized Silicon Substrate

The X-axis is position of LO phonon mode of fresh cleaned SiO2 substrate Y-axis is position of peak at 12xx cm-1 observed in the absorbance spectra of TCSSiO2 referencing relative to the starting cleaned SiO2 surface

Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1

This drawing shows as effective SiO2-like layer is added to the initial SiO2 film by depositing TCS on SiO2 the peak of LO phonon mode is shifted to the higher frequency with increasing intensity(red) As a result a differential peak (green) depending on the

12 cm -1

36

peak position of LO phonon mode of initial SiO2 substrate is appeared at even higher frequency when spectra are referenced to the initial SiO2 surface

1000 1500 2000 2500 3000 3500

TCS 18h

973

~005

Abso

rban

ce

Wavenumber(cm-1)

10-3

b

interface

10851170

2256881

10-2

a

10701157

υH-SiO3

~058 poly-TCS2250

871

Figure 35 FTIR Spectra of Polymerized TCS film on SiO2

FTIR Spectra of a polymerized TCS film formed by mixing TCS with water and then quickly deposited on an oxidized silicon surface b TCS thin layer deposited from 01 TCS in anhydrous toluene The spectra are displayed at different scale shown by scale bars in the figure Both spectra are referenced relative to the initial clean SiO2 surface The peak of stretching mode of H-SiO3 is shifted from 2256 cm-1 for the TCS monolayer to 2250 cm-1 for polymerized TCS and the corresponding H-Si bending mode is shift from 881 to 871 cm-1 The peak at ~1230 cm-1 does not proportionally increase with increase of thickness of film indicating it is interface features

37

Since the stretching mode of H-SiO3 of TCS at 2253 cm-1 does not overlap with other

bands it is sensitive to bond environment such as the electro-negativity of the other

substituents attached to Si atom So we can use it as a probe to estimate the total amount of

absorbed TCS Figure 36a shows the correlation of integrated area of stretching H-SiO3

and integrated area of region 1000-1200 cm-1 contributed from various modes of Si-O-Si

Figure 36b shows the correlation between integrated area of stretching H-SiO3 and

integrated area of peak at 1200-1260 cm-1 A perfect linear relationship (with R=0994)

between integrated area of H-SiO3 and Si-O-Si suggests that both of them are proportional

to the amount of siloxane attached on the surface On the contrary for the integrated area of

peak at 1200-1260cm-1 it is not correlated with the amount of siloxane absorbed on the

surface and remains unchanged with average value at ~0015cm-1 when integrated area of

H-SiO3 is less than 006 cm-1 This suggests that this peak is contributed from the interface

The saturation also suggests that the number of interfacial Sis-O-Si bonds might reach its

maximum at this particular experiment condition

Is this peak at 1200-1260 cm-1 caused by the oxidization of substrate in the solvent or is

it really caused by the attachment of TCS siloxane To answer this question the effect of

solvent on the substrate is checked Figure 37 shows the spectral change of clean oxidized

silicon after the exposure to anhydrous toluene for a significantly long period of time(3

days) The tiny peak at the position of initial LO phonon mode (~1213 cm-1) of underlying

SiO2 substrate indicates that the surface oxidization caused by solvent has negligible effect

on the formation of observed peak at 1200-1260 cm-1

In summary we have identified that the peak at 1200-1260 cm-1 is a spectral feature

related to interfacial covalent Si-O-Si bond formed between TCS siloxane and SiO2

substrate It is a direct evidence that TCS forms desnly covalent bond with the SiO2 by

strong coupling with Si-O-Si network of SiO2 substrate

38

002 004 006 008 01000

02

04

06

08

10

12

Inte

grat

ed A

rea

of S

iOS

i Reg

ion

Integrated Area of υ(H-SiO3)

002 004 006 008 0100005

0010

0015

0020

0025

0030

Inte

grat

ed A

rea

of B

and

at 1

200-

1260

cm

-1

Intergrated Area υ(H-SiO3)

Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area

of H-SiO3 and Peak at 1200-1200 cm-1

39

332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2

Figure 35 shows FTIR spectra of TCS SAM and poly-TCS film We are particularly

interested in the multiple modes of Si-O-Si overlapped at 1000-1200 cm-1 and H-SiO3

mode at ~2250 cm-1 Both regions reveal important information for characterizing structure

of SAM or thick polymerized film Besides the difference discussed in the last session

about peak at 1200-1260 cm-1 a noticeable peak shift is found for both peaks of Si-O-Si

and H-SiO3 Both are blue-shifted to higher frequency for the TCS-SAM

Our approach is to use the knowledge from analyzing modes of the poly-TCS film to

help interpret the spectra of SAMs with alkyl chain on SiO2 In the spectra of monolayer

the interfacial structure becomes dominated and the difference comparing to thick

polymeric film will reflect the characteristics of interfacial structure

H-SiO3 Mode

H-SiO3 mode is centered at 2250 cm-1 for poly-TCS and blue-shiftes to 2256 cm-1 for

TCS-SAM It is well known that both stretching and bending mode of H-SiOx is sensitive

to environment of back bond Si bonding For example depending on the number of oxygen

atom to which Si atom bonded the peak position of H-Si stretching mode at 2260 cm-1 for

H-SiCl3 and it shifts to lower wavenumber at 2250 cm-1 with substitution of all Cl with O

atom[19] The different peak position of H-SiOx mode can be also explained as different

configuration of TCS on substrate and in the polymerized film In the poly-TCS TCS bond

to other silane molecule through Si-O-Si bridge forming a thick layer while on the surface

at least one or two Si atom of a TCS molecule may bond to surface silicon atom and is more

restrained The schematic drawing is shown in the Figure 38

40

Figure 37 Toluene Effect on Oxidize Silicon Substrate

The FTIR spectra of clean oxidize silicon after exposure to a TCS for 20 hours b Anhydrous Toluene for 3 days The changes in the region of 1200-1260 cm-1 induced by surface oxidization are negligible compared to the spectroscopic feature of TCSSiO2 in the same region

Si OH

H

O

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

OSi

SiOH

H OH

I II

OHSi

H

SiOH

H

OSi

H

O

O

SiO

HO O

SiO

H

On Surface Poly-TCS

Figure 38 Different TCS configuration on the Surface and polymerized film

41

Si-O-Si Mode

Because the Si-O-Si asymmetric stretching band at 1000-1200cm-1 includes multiple

contributions from Si-O-Si band at different configuration it is extremely difficult to

characterize it First we analyze the band of poly-TCS by deconvoluting it into four

Gaussian peaks centered at 1023 1063 1115 1178 cm-1as shown in Figure 39

The assignments are made partially based on Grill earlier work and references to it [20]

The 1023 cm-1 is attributed to asymmetric stretching of Si-O-Si with a small bond angle

that might be encountered in networked silicon suboxide (with non-oxygen atom H CH3

etc attached to Si) It may also include contribution from bound six-membered rings

contained in the poly-film [21 22] Depending on the density of poly-film a LO-TO

splitting may exist 1023cm-1 could be TO mode of asymmetric stretching of Si-O-Si with

small bond angle and corresponding LO mode that exists at ~1220cm-1 which may be

hidden in the broad peaks [23]The ~1060cm-1 is assigned to Si-O-Si in networking

structure and 1115 cm-1 can be assigned to Si-O-Si in cage structure with large Si-O-Si

bond angle of approximately 150deg or larger [20] [12 14 24 25] It should be noted here

first before we determine the assignment to 1178cm-1 Since Grill uses 30deg incidence angle

when he recorded IR spectra it is near normal incidence and the LO mode of Si-O-Si may

not be seen even if there is LO-TO splitting existing in amorphous poly-film[23 26]

Indeed in our further polarization study with setting incidence angle of IR beam at 10deg we

found that the higher frequency portion of band 1000-1300 cm-1 significantly reduced at

10deg incidences which makes us feel confident on assigning 1078 cm-1 to the LO mode of

asymmetric stretching mode of Si-O-Si in networked structure with small Si-O-Si bond

angle The corresponding TO mode is seen at 1063 cm-1 as mentioned above Some other

possible contributions to band at 1200-1260 cm-1 may come from the LOTO mode of

Si-O-Si in a four-membered rings structure [23 26 27]

TCS-SAM

Figure 310 shows the deconvolution of 1000-1300 cm-1 for TCS-SAM formed on SiO2

Four Gaussian peaks centered at 1061 1121 1178 1232 cm-1 are used to fitting the peaks

Compared to poly-TCS 1023cm-1 is not seen and the new peak at 1221 cm-1 becomes very

apparent The imposition of all four sub-peaks gives two dominate peaks at 1085 and 1170

42

cm-1 which is higher than 10701157 for poly-TCS film The absence of 1023cm-1 peak

may suggest that networked sub-oxide with smaller Si-O-Si angle less than 144deg (indicated

by ~1028cm-1) does not appear in the SAM

As we discussed in the last section 1232 cm-1 is induced by increasing effective

thickness of SiO2 caused by the formation of dense interfacial Si-O-Sis bond between TCS

and substrate It should have corresponding TO mode at ~1060 cm-1 Here we assign

10611232 cm-1 to the Si-O-Si of SiO2 due to modification of SiO2 substrate by attaching

TCS molecule The Si-O-Si is tightly restrained to the substrate Si-O-Si through covalent

surface bonding (Sis-O-Si) and coupled with Si-O-Si network of substrate

Based on the general knowledge the intense peak at 1063 cm-1 is likely an imposition

of two peaks at the close position with different origin One is TO mode at 1232 cm-1 and

another one is TO mode at 1176 cm-1 10601178 cm-1 could be assigned to TO-LO mode

of networking Si-O-Si between two adjacent molecules It is previously suggested that not

every (or even only few) silane molecule is bonded to surface Currently we cannot

quantify the exact ratio of amount of interface Si-O-Si to Si-O-Si between molecules

however we believe that sufficient amount of Sis-O-Si is formed in order to generate

observered coupling with SiO2 substrate 1121cm-1 could be assigned to the Si-O-Si with a

large bond angle in an open structure (cage-like) They donrsquot have LO-TO splitting

possibly because of weak dipole coupling between nearby Si-O-Si bond in such

configuration

43

1000 1100 1200 1300

0000

0002

0004

0006

0008

0010

0012

0014

0016

0018

0020

0022

TO

1028

1063

1115

Abso

rban

ce

Wavenumber (cm-1)

poly-TCS 4 peak modes LO-TO Splitting

1178

2x10-3

LO

Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode) A deconvolution of the spectral region 1000-1300 cm-1 was performed by non-linear least squares fitting methods (in Originreg Software) by means of Gaussian functions By assuming all dominated band from the film itself and not from interface we find a best fit using 4 peaks Our deconvuliton is considered both Si-O-Si in different configurations (with different bond angle) and the possible LO-TO splitting of some of Si-O-Si modes LO-TO splitting depends on the degree of long-rang interactions in the film

44

1000 1100 1200 1300

00000

00005

00010

00015

00020

1230

1178

1065

SAM-TCS 4 peak ModeAb

sorb

ance

Wavenumber (cm-1)

1125

Figure 310 Deconvolution of Si-O-Si absorption band of TCS films

The peak at 1121 cm-1 is attributed to Si-O-Si with large angle in a cage structure 1061 cm-1 and 1178 cm-1 are assigned to the stretching mode of smaller angle Si-O-Si in a networking structure 1232 cm-1 is induced by shifts of LO mode of underneath SiO2 because of increasing effective thickness of SiO2 caused by the formation of interfacial Si-O-Si bond

45

333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2

(Chain Length Effects on Head group cross-linking Structures)

TCS as the simplest trichlorosilane provides a good model to characterize the

structure of interfacial bonding and siloxane layers Because of only hydrogen atom

attached to Si there is essentially no steric restriction for TCS head group cross-linking In

this section we will further check how alkyl chain length affects the head group

cross-linking

First of all we have checked the attachment of monochlorosilane to SiO2 As shown in

Figure 311 a strong sharp peak at 1099 cm-1 is directly assigned to Sis-O-Si bond between

silane molecule and substrate Other positive peaks at 1261 and 2964 cm-1 are related to

alkyl chains and are assigned to CH3 rocking mode and its stretching modes The negative

peak at ~980 cm-1 is previously assigned to stretching mode of surface hydroxyl group

Sis-OH[15 28] It is consistent with that silanol group of hydrolyzed silane that is

condensed with surface hydroxyl group forming Sis-O-Si Another negative peak at 1230

cm-1 is associated with modification of SiO2 substrate by the attachment of silane When

silane molecule covalently bonds to surface Si atom it disturbs the longitude optical mode

of Si-O-Si network of substrate SiO2 which is sensitive to long range interaction These

negative features also strongly suggest covalent attachment of silane molecule to surface

(as shown in Figure 312)

Figure 313 shows the comparison of TCS BTS (C4) DTS (C12) and OTS (C18) SAM

formed on SiO2 substrate As a comparison a spectrum of monochlorosilane from Figure

311 is relisted on the bottom

46

1000 1500 2000 2600 2800 3000 3200

0001

0002

0003

ρCH3 1261

1236

υSi-OH 980

Si CH3CH3 Cl

CH3

Abso

rban

ce

Wavenumber (cm-1)

2964υCH

1099υSis-O-Si

2x10-4

Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2

FTIR Spectra were recorded after wet-chemistry cleaned SiO2 exposed to monochlorosilane solution for 20 hours A strong sharp peak at 1099 is assigned to Sis-O-Si bond between silane and substrate[29] Other postive peaks at 1261 and 2964 cm-1 are related to alkyl chains and are assigned to CH3 rocking mode and CH stretching mode The negative peak at 980 cm-1 is assigned to Si-O stretching of the surface isolatedgeminal groups [30] and 1236 cm-1 is assigned to LO mode of SiO2 substrate

OH

SiO

OH

SiO

OH

SiO

OH

SiO

OHSi

O

OH

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

SiCH3CH3

CH3

OH

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

O

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

ClH2O

condensation

HClH2O

Figure 312 A reaction between Monochlorine silane and SiO2 substrate

Formation of Sis-O-Si should be a dominated reaction The cross-linking between molecules is impossible Binding of silane molecule to substrate will perturb the original Si-O-Si network in substrate

47

1000 1500 2000 2500 3000

0042

1467υCH3

υCHυH-SiO3

1099υSi-OH

BTMS (monochorosilane)

OTS C18

DTS C12

BTS C4

Ab

sorb

ance

Wavenumber (cm-1)

TCS

υSis-O-Si

973 interface SiO2

10-3

0026

Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes with different chain length

FTIR spectra of SiO2 exposed to TCS solution for 18 hours and BTS (C4) solution for 48 hours DTS (C12) 48 hours and OTS (C18) 3 days were compared (longer time to allow saturation) In addition a spectrum of clean SiO2 exposed to Butyl (chloro) dimethylsilane (BCDMS) is listed on the bottom as a further comparison BCDMS have only one reactive chlorine group and cannot form cross-linking with each other The band peaked at 1100 cm-1 attributed to Sis-O-Si surface linkage provides insightful information for deconvoluting the complicate region at 1000-1200 cm-1 for trichlorosilane

48

Si-O-Si Region (1000-1200cm-1)

As what we expect TCS has highest integrated peak area of 1000-1200 cm-1 It

suggests TCS form denser Si-O-Si cross-linking BTS and DTS show lower integrated

peak area at the same spectral range suggesting less dense Si-O-Si cross-linking forms

Although for monochlorosilane (BCDMS) the band peaked at ~1100 cm-1 is

straightforwardly assigned to Si-O-Si surface linkage[28] we cannot simply assume the

same mode of Si-O-Si of TCS since BTS will be also in the same position as BCDMS at

1100 cm-1 On the contrary a shift is expected The difficulty here is that the exact position

of this mode varies depending on the bond environment The Si-O-Si-R will be different

from Si-O-Si-O- (see Figure 314 below) This may explain different value for Si-O-Si

seen in the different references For example in the earlier study by Tripp et al they found

asymmetric stretching mode of Si-O-Si at 1060 cm-1 [31] for a monochlorotrimethylsilane

which is 1080 cm-1 for trichlorosilane on silica [19]

Figure 314 Sis-O-Si in different configuration Different group attached to Si

Si

O

Si

CH3

CH3 CH3Si

O

Si

CH3

CH3Si

O

Si

CH3

OH O

A B

49

For OTS-SAM it has higher integrated area of 1000-1200 cm-1 and with intense peak

at 2250 cm-1 which is assigned to H-SiO3 stretching mode For the origin of H-SiO3 it will

be discussed later With presence of H-SiO3 mixed in the SAM it may reduce the steric

interaction and decrease the alkyl chain packing density while the density of Si-O-Si

cross-linking increases

The saturated integrated area of CH2 stretching mode at 2800-3000 cm-1 is 004 for

BTS 006 for DTS 090 for OTS (Niharika Unpublished data) The factors affecting this

value include chain length packing density and orientation

There is negative peak at ~973 cm-1 in the spectra of BTS and DTS SAM indicating

removal of surface Si-OH group Both have not shown spectral features at ~1230cm-1 as

seen in the TCS-SAM suggesting BTS and DTS donrsquot form dense interfacial Si-O-Si

linkage on the oxidize surface as TCS does This is expected because the steric interaction

may prevent BTS or DTS from forming dense cross-linking OTS-SAMSiO2 has shown

similar negative feature at ~973 cm-1 but positive feature around ~ 1230 cm-1 a similar

feature as TCS As we discussed in the previous session it is the evidence that OTS forms

dense long-ranged cross-linked Si-O-Si and is coupled with Si-O-Si of SiO2 substrate

which is equivalently increases the thickness of SiO2 by adding a layer of Si-O to the SiO2

substrate At the same time the stretching mode of CH2 group of alkyl chains is found at

2921 cm-1 suggesting formation of well ordered SAM This ldquoabnormalrdquo behavior of OTS

compared to DTS BTS will be discussed later

We have further polarization study for OTSndashSAM Figure 316 shows Si-O-Si spectral

region (1000-1300 cm-1) of OTS-SAM formed on SiO2 surface with deconvolution into

four components centered at 1061 1108 1150 1195 cm-1 The spectra were recorded at

both 74deg and 10deg incidence angle in transmission geometry The intensity of modes at 1150

and 1195 cm-1 are significantly reduced when the spectra are taken at 10deg incident

angle(near normal) suggesting both may attribute to the LO mode of asymmetric

stretching of Si-O-Si 10611195 cm-1 might be assigned to TOLO mode of Si-O-Si in

4-ring configuration 1108 and 1150 cm-1 could be assigned to TOLO mode of Si-O-Si in

a configuration with larger Si-O-Si bond angle Polarization dependence shown above

suggests the existing of LO component (a similar dependence seen in the case of infrared

spectra of SiO2)

50

1000 1500 2000 2500 3000-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005Si-C SAM (Alkene HSI111) vs SiO SAM (OTSSiO2)

~002

~05

1467ρCH3

υSiO

2083

2256υH-SiO3

υSiH~0084

~0093

Abs

orba

nce

Wavenumber (cm-1)

Si-CHSi(111)

OTSSiO2

υCH28502918

10-3

Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different

Substrate

FTIR Spectra were recorded for H-Si(111) sample after thermally alkylated with octadecyldecene (C18 Si-C SAM) The spectra was referenced relative to freshly etched H-Si(111) surface Si-O SAM was formed by immersion SiO2 sample into the OTS solution for 3 days at 4 degC The spectra were referenced relative to clean SiO2 surface Since both have same length of carbon chains (C18) we could use the Si-C SAM which is better defined as reference to predicate the packing status of OTS SAM (The tilting angle may be different and may lead to different integrated area even when both have same number density of alkyl chains)

51

Comparing to TCS-SAM Si-O-Si modes of OTS-SAM appear at higher wavenumber

(blue-shifted) This might be explained because the chain-chain VDW interactions of OTS

have impact on the head group cross-linking Si-O-Si Such cross-linking will introduce

topological constraint on the head structure resulting in shift to higher frequency

34 Discussion

The region 1000-1200 cm-1 of infrared spectra of siloxane SAMs on SiO2 provides very

useful information on SAMSiO2 interface for both inner structure of SAMs and thick

siloxane poly-film However interpreting this region of amorphous film is extremely

difficult because this region includes contribution from multiple modes of Si-O-Si whose

actual peak position is very sensitive to local bond environment and varies with different

Si-O-Si bond anglesUnlike thermal grown SiO2 the Si-O-Si may exist in more

complicated configurations for SAMs or poly-film with different bond angle and

attachments of different groups (H Cl CH3 etc) to Si atom In addition each Si-O-Si

asymmetric stretching mode may have TO-LO splitting and shows two modes instead of

one in the spectra The TO-LO splitting appears when near-by Si-O-Si can form strong

coupling This is also environmently-dependent And in an amorphous film (like siloxane)

the environment can vary a lot depending on preparation conditions

FTIR spectra of TCS-SAMSiO2 clearly show that substrate-dependent positive peak

appears at ~1200-1260 cm-1 It is assigned to LO mode of coupled Si-O-Si between

siloxane and SiO2 substrate The shift of LO mode to higher wave number with increasing

intensity strongly suggests the added siloxane layer be well cross-linked and coupled with

substrate Si-O-Si This is equally like when TCS-SAM modifies the SiO2 substrate by

adding SiO2ndashlike layer on top of it In order to have strong couple with Si-O-Si in substrate

a dense Si-O-Si covalent linkage is needed This is evidence that TCS is covalently

anchored to SiO2 substrate through Sis-O-Si by ondensation with surface Sis-OH group

Based on this fact it is unlikely that TCS is only physically absorbed on the surface

52

1000 1100 1200 1300

TO1 TO2LO2

10o

Abso

rban

ce

Wavenumber (cm-1)

LO1

υasym Si-O-Si

OTS

OTSSiO2 11-02-2006

10-3

74o

Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 Four Gaussians component with maximum at around 1061 1108 1150 1195 cm-1 are used to fit the spectra The mode at 1150 and 1195 cm-1 are significant reduced in the spectra taken at 10 incident angle(near normal) suggesting both are most likely LO mode of asymmetric stretching of Si-O-Si 10611195 might be assigned to TOLO mode of Si-O-Si in 4-ring configuration 1108 and 1150 might be assigned to TOLO mode of Si-O-Si in different less tensioned configuration Comparing to TCS-SAM Si-O-Si modes in OTS-SAM appear at higher frequency (blue-shifted) This might be attributed to the chain-chain VDW interactions of OTS that have impact on the head group (more strained in OTS) cross-linking Si-O-Si

53

The blue-shift (with increasing intensity) or red-shift (with decreasing intensity) of the

LO phonon mode of SiO2 depends on how siloxane bond to substrate at the interface In

case of monochlorosilane no cross-linking is formed The Si-O-Si network of SiO2 is not

able to couple with single Sis-O-Si linkage Instead the attachment actually disrupts the

vibration of Si-O-Si in the very first layer of SiO2 substrate (ie reduced SiO2 thickness)

As a consequence a negative peak appears at the lower wavenumber relative to the original

position of LO mode The packing density of cross-linking of Si-O-Si may also determine

the appearance of LO mode In trichlorosilane with alkyl chain like BTS DTS even

though they can form cross-linking we didnrsquot observe the positive peak at the position of

LO mode as we did for TCS-SAM Instead we observe how a negative peak at the position

with lower wavenumber relative to the LO mode of SiO2 substrates as well

This can be explained because the steric interaction prevents them from forming dense

coupled Si-O-Si This is consisted with the fact that the integrated peak area of 1000-1200

cm-1 (Si-O-Si region) is significantly less than TCS-SAM In addition the alkyl chain

attached to Si-O-Si (ie R-Si-O-Si) may decouple surface Si atom from Si-O-Si network

vibration And the spray of alkyl chain on the surface also reduces the surface attachment

of silane molecule

There is long-time debate whether silane bond is substrated or just cross-linked and

physically absorbed on the top of a thin water layer And there is only few reports on the

evidence of formation of interfacial covalent bond Sis-O-Si In earlier work by Tripp[19]

he assigned 1080 cm-1 to covalent bond Sis-O-Si by investigating TCS on silica The peak

frequency was also previously suggested at 1060 or 1100 cm-1[1] The variance of the peak

position may be due to the different bond environments of Sis-O-Si In the Tripprsquos work

however he found only few Sis-O-Si forms

The appearance of either positive or negative peaks at range of 1200-1270 cm-1

strongly suggests that silane is indeed covalently bonded to SiO2 substrate and forms

Sis-O-Si The Sis-O-Si could either help to couple (positive peak) or decouple (negative

peak) with the original LO mode of substrate depending on the packing density

54

HH H

HH

H

OO

SiO

SiO

SiO

SiO

SiO

SiO

Si

OH O OH

Si OO

Si

O

OSi

OHOH

Si OHSi OSi

OOH

Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

Si-O-Si inside the SAM and poly-siloxane film

Besides the mode at 1200-1260 cm-1 that we discussed above we further characterize

the film structure by analyzing 1000-1200 cm-1 region which is dominated by the

asymmetric stretching mode of Si-O-Si at various configurations We start our analysis

from poly-siloxane as it has higher reproducibility

The peak position of Si-O-Si asymmetric stretching mode depends on the Si-O-Si

bonding angle The peak red-shifts with reduction of Si-O-Si bond angle and blue-shifts

with increasing of Si-O-Si bond angle[32 33] In fully relaxed stoichiometric thermal

silicon oxidizes grown at temperature gt1000 degC the bond angle is reported to be ~144deg

with IR spectral absorbance at around 1080 cm-1 However in low temperature

stoichiometric silicon oxidizes this band is observed to decrease from 1080 to 1060 cm-1 as

the Si-O-Si bond angle increases A decrease in the frequency of Si-O-Si asymmetric

stretching has also been observed for the silicon atoms having one or more non-oxygen

neighbors [32 34]

FTIR spectra demonstrate that TCS poly-film has overall red-shifted peak at

1000-1200 cm-1 regions compared to that of TCS-SAM This is expected inside the

poly-TCS film the spectra are dominated by the Si-O-Si networking of the thick film and

is less constrained than that of Si-O-Si formed at the interface of SAMSiO2 with covelant

bond to substarte Si As a consequence the mode of Si-O-Si occurs at the lower

wavenumber (red-shift) inside poly-TCS compared to Si-O-Si network at the interface of

SAMSiO2 The similar red-shift trend is found for other types of poly-film system

55

compared to SAMs forming on the surface For example APTESSiO2 TOLO is

10371137 cm-1 for poly-APTES film and 10351178 cm-1 for APTES-SAMSiO2

The blue-shift of LO mode for SAMs compared to poly-film (thicker film) is

apparently different from what is observed in the case of thermal silicon oxide In a thermal

thick oxide it is found that the LO mode is red-shift with decreasing thickness of SiO2 [18]

This is because that suboxide and stoichiometric at the SiO2Si interface become

dominated with decreasing thickness of SiO2 layer and the Si-O-Si in the suboxide

structure (Si bond to some non-oxygen atom instead of O) is at the lower frequency [35]

For siloxane layers when the thickness of film reduces from thick poly-film to

monolayer the interfacial structure becomes dominated which constrained Si-O-Si and

Sis-O-Si more than the loosely networked Si-O-Si in poly-film with existence of multiple

configuration[20] Inside the poly-siloxane if the Si-O-Si bond angle is open to higher

degree in a more cage-like structure this peak will shift to higher frequency sometime as

high as 1180 cm-1 In a more network-like structure the Si-O-Si bond angle reduces to lt

144 degree and the asymmetric Si-O peak position may shift down to lower frequency at

1060 cm-1 or lower[32 36] Because both configuration might co-exist inside

poly-siloxane it maybe used to explain the split to two peaks at 1030 and 1180 cm-1 for

polymeric (Si-O-Si)x [1]

We have also compared the alkyl chainrsquos effect on poly-siloxane structure FTIR shows

LOTO of poly-OTS (C18) (10951180 cm-1) appear at much higher frequency than

poly-TCS (10701157 cm-1) but with nearly the same LO-TO separation This difference

is consistent with our analysis above The steric interaction between alkyl chains may put

extra strain on the head group cross-linked Si-O-Si and cause band blue-shifted compared

to TCS which lacks constraints

The deconvolution of Si-O-Si asymmetric stretching modes at 1000-1200 cm-1 shows

that all the following modes exists Si-O-Si in network cage suboxide configurations as

suggested by Grill[20] Our polarization study further suggests that LO-TO splitting exists

for some of Si-O-Si configuration The LO-TO splitting has been previously used to

interpret complicated 1000-1200 cm-1 region in amorphous organosilicon polymers[23 26]

and amorphous SiO2 structure [37] [38] [39] [40] although there is still controversal

concern about the existence of TO-LO splitted in disordered material

56

For thermal SiO2 the currently accepted modle about a-SiO2 is a continuous random

network in which the dihedral angle of bridging oxygen is a random variable The

asymmetric stretching mode of O in the Si-O-Si bond gives two predominate features the

transverse optical phonon (TO) at 1060 cm-1 and longitudinal optical model (LO) near

1254 cm-1 It is found that both modes will shift with the change of thin SiO2 film thickness

and it has been explained as that either compressive stress (interfacial strain) [41] or

subtioichiometry [18 35] or both [42] may influence the shift of TO and LO peaks LO-TO

splitting is considered as a consequence of long-range Columbic interactions for a given

oscillators and being sensitive to any kind of internal network structural changes

Mechanism of Formation of trichlorossilaneSiO2

Comparing to TCS SAMs form from trichlorosilane with alkyl chains (except OTS

C18) shows less Si-O-Si bond and a negative (instead of positive) peak at the range of

1200-1260 cm-1 suggesting formation of disordered and less dense siloxane film with

covalent bonding to SiO2 substrate Based on the above mentioned analysis there are

possibly two different mechanisms for formation of alkyltrichlorosilane on SiO2 surface

depending on chain length

For alkyltrichlorosilane with short chain like BTS and DTS because of the relatively

very reactive Cl- head group the Si-O-Si cross-linking quickly forms at room temperature

and as a consequence a disordered SAM forms on the SiO2 It is previously suggested that

it is more difficult to achieve ordered SAMs with shorter alkyl chain [43] and in order to

get an ordered SAM a reaction temperature must be lower than its critical temperature[44]

The silane with shorter alkyl chain has lower critical temperature (for example 0 degC for a

chain having 10 carbon and 38degC for 22 carbon chain [44 45]) This is a result of

competition between cross-linking and van der Waals attraction force At the lower

temperature cross-linking (chemical reaction) is significantly suppressed and VDW force

becomes dominant and helps to form more ordered SAMs Indeed anything that can

increase formation of cross-linking will result in a loss of coherence of the lateral order

For example a decrease in the lateral order with increasing pH is reported where it is

known that higher pH accelerates the creation of cross-linking Si-O-Si [3]

57

Stevens [2] suggested that the fully covered dense monolayer cannot be realized if the

head group is cross-linked because of the steric interaction between hydrocarbon chains

and limited Si-O-Si distance (le 32 Aring) The van der Waals diameter for C is 35Aring and for H

bonded to C is 25Aring and the minimum distance between hydrocarbon chains is 48 Aring

corresponding to minimum van der Waalsrsquo potential

The calculated distance between alkyl chains with cross-linking head group is 043nm

To minimize the system free energy the system can choose two of following possibilities

1) head groups are cross-linked with chains splaying apart implying that cross-linking

prevents the formation of full coverage layer In this scenario the disordered film is formed

(Figure 318a) 2) disordering of the chains by introduction of gauche defects to maximize

the entropy 3) Maintaining the formation of cross-linking while satisfying the steric

constraints through chain compression or selective cleavage of Si-C of long chains as

shown in the Figure 318b Energy gained from formation of siloxane bond is 8eV and the

elastic energy required to approach two chains from 048nm to 043nm is estimated as

80meV[3] The reason that we didnrsquot observe H-SiO3 in the case of BTS and DTS is

probably because the Si-C cleavage is chain length-dependent It may take place only when

the chain length is long enough and the chain-chain interaction is strong enough to meet the

requirement for cleavage of Si-C with formation of Si-H In addition the chlorine may also

play a role in promoting cleavage of Si-C bond A further investigation is needed to verify

this mechanism in the future work

OSi

OOSi

OSi

OSi

OSi

CH3 CH3

HH

CH3

OH

Si

OHSi

OH

Si

OSi

Si

CH3

OSi

O

Si

CH3

Si

OH

Si

lt 32 Aring

(a) BTS or DTS (b) OTS

Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface

In the case a attachment of disordered SAMs disrupt the Si-O-Si vibration of substrate In case b long range ordered SAMs couple with Si-O-Si substrate

58

35 Conclusion

The spectra change of LO phonon mode of SiO2 substrate provides information on

interfacial bond and structure between SAMs and surface It is first time that the spectral

signature is given for a SiO2 substrate change induced by the attachment of

alkyltrichlorosilane This is unique spectral signature only related to SAMSSiO2 interface

Comparison of FTIR spectra and other trichlorosilane with different length of alkyl

chain has shown that trichlorosilane also directly attaches to oxidized substrate instead of

the thin water layer on the top This attachment affects the SiO2 substrate LO phonon mode

It either increases LO by coupling with Si-O-Si of SiO2 or decreases LO by disrupting

Si-O-Si vibration on substrate depending on ordering and packing the density of SAMs

The formation of OTS (C18) SAM on SiO2 has unique behavior An unexpected

H-SiO3 species are observed and a higher cross-linking and chain packing is achieved A

possible mechanism for OTS SAM formed on SiO2 may involve chlorine-catalyzed

cleavage of Si-C with formation of Si-H under condition of compression of chains The

cleaved silane can play a role of spacer to reduce steric interaction and help to form denser

cross-linking and packing of alkyl chain

59

Bibliography

1 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

2 Stevens MJ Thoughts on the Structure of alkylsilane monolayers Langmuir 1999 15(8) p 2773-2778

3 Fontaine P M Goldmann and F Rondelez Influence of headgroup cross-linking on chain packing in Langmuir monolayers of n-alkyltrialkoxysilanes Langmuir 1999 15(4) p 1348-1352

4 Francis R G Louche and RS Duran Effect of close packing of octadecyltriethoxysilane molecules on monolayer morphology at the airwater interface Thin Solid Films 2006 513(1-2) p 347-355

5 Hair ML and W Hertl Reactions of chlorosilanes with silica surfaces J Phys Chem 1969 73(7) p 2372-2378

6 Hair ML and CP Tripp Alkylchlorosilane Reactions at the Silica Surface Colloids and Surfaces a-Physicochemical and Engineering Aspects 1995 105(1) p 95-103

7 Bain CD et al Formation of monolayer films by the spontaneous assembly of organic thiols from solution onto gold J Am Chem Soc 1989 111(1) p 321-335

8 Onclin S BJ Ravoo and DN Reinhoudt Engineering silicon oxide surfaces using self-assembled monolayers Angewandte Chemie-International Edition 2005 44(39) p 6282-6304

9 McGovern ME KMR Kallury and M Thompson Role of Solvent on the Silanization of Glass with Octadecyltrichlorosilane Langmuir 1994 10(10) p 3607-3614

10 Lin SY Variation of Si-H and Si-D bond-bending modes in different local bonding environments in amorphous silicon dioxide Materials Chemistry and Physics 1999 58(2) p 156-161

11 Marrone M et al A Fourier transform infrared (FTIR) study of the reaction of triethoxysilane (TES) and bis 3-triethoxysilylpropyl tetrasulfane (TESPT) with the surface of amorphous silica Journal of Physical Chemistry B 2004 108(11) p 3563-3572

12 Marcolli C and G Calzaferri Vibrational structure of monosubstituted octahydrosilasesquioxanes Journal of Physical Chemistry B 1997 101(25) p 4925-4933

13 Loboda MJ CM Grove and RF Schneider Properties of a-SiOx H thin films deposited from hydrogen silsesquioxane resins Journal of the Electrochemical Society 1998 145(8) p 2861-2866

60

14 Bornhauser P and G Calzaferri Ring-opening vibrations of spherosiloxanes Journal of Physical Chemistry 1996 100(6) p 2035-2044

15 Morrow BA IA Cody and LSM Lee Infrared studies of reactions on oxide surfaces IV Structure of chemisorbed ammonia on silica J Phys Chem 1975 79(22) p 2405-2408

16 Queeney KT et al Silicon oxidation and ultra-thin oxide formation on silicon studied by infrared absorption spectroscopy Physica Status Solidi a-Applied Research 1999 175(1) p 77-88

17 Queeney KT et al In-situ FTIR studies of reactions at the siliconliquid interface Wet chemical etching of ultrathin SiO2 on Si(100) Journal of Physical Chemistry B 2001 105(18) p 3903-3907

18 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

19 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

20 Grill A and DA Neumayer Structure of low dielectric constant to extreme low dielectric constant SiCOH films Fourier transform infrared spectroscopy characterization Journal of Applied Physics 2003 94(10) p 6697-6707

21 Lewis HGP TB Casserly and KK Gleason Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane Journal of The Electrochemical Society 2001 148(12) p F212-F220

22 Pryce Lewis HG DJ Edell and KK Gleason Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials Chem Mater 2000 12(11) p 3488-3494

23 Trasferetti BC CU Davanzo and MA BicadeMoraes LO-TO Splittings in Plasma-Deposited Siloxane Films J Phys Chem B 2003 107(39) p 10699-10708

24 Bornhauser P and G Calzaferri Normal coordinate analysis of H8Si8O12 Spectrochimica Acta Part A Molecular Spectroscopy 1990 46(7) p 1045-1056

25 Long-Hua Lee W-CC Wei-Chih Liu Structural control of oligomeric methyl silsesquioxane precursors and their thin-film properties Journal of Polymer Science Part A Polymer Chemistry 2002 40(10) p 1560-1571

26 Trasferetti BC et al Infrared Studies on Films of Carbosilazane and Siloxazane Networks Chem Mater 2005 17(18) p 4685-4692

27 Alexandra Fidalgo LMI Chemical Tailoring of Porous Silica Xerogels Local Structure by Vibrational Spectroscopy Chemistry - A European Journal 2004 10(2) p 392-398

28 Tripp CP and ML Hair Reaction of alkylchlorosilanes with silica at the solidgas and solidliquid interface Langmuir 1992 8(8) p 1961-1967

29 Awazu K Oscillator strength of the infrared absorption band near 1080 cm-1 in SiO2 films Journal of Non-Crystalline Solids 1999 260(3) p 242-244

30 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

61

31 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

32 G Lucovsky MJM JK Srivastava and EAIrene LOW-TEMPERATURE GROWTH OF SILICON DIOXIDE FILMS - A STUDY OF CHEMICAL BONDING BY ELLIPSOMETRY AND INFRARED-SPECTROSCOPY J Vac Sci Technol B 1987 5 p 530

33 Hirose K et al Structural transition layer at SiO_2Si interfaces Physical Review B 1999 59(8) p 5617 LP - 5621

34 PGPai SSC Y Takagiand G Lucovsky INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION J Vac Sci Technol A 1986 4 p 689

35 Queeney KT et al Infrared spectroscopic analysis of an ordered SiSiO2 interface Applied Physics Letters 2004 84(4) p 493-495

36 Kim YH et al Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films Journal of Applied Physics 2001 90(7) p 3367-3370

37 Kirk CT Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica Physical Review B 1988 38(2) p 1255 LP - 1273

38 Kamitsos EI AP Patsis and G Kordas Infrared-reflectance spectra of heat-treated sol-gel-derived silica Physical Review B 1993 48(17) p 12499 LP - 12505

39 Sarnthein J A Pasquarello and R Car Origin of the High-Frequency Doublet in the Vibrational Spectrum of Vitreous SiO2

101126science27553081925 Science 1997 275(5308) p 1925-1927 40 Pasquarello A and R Car Dynamical Charge Tensors and Infrared Spectrum of

Amorphous SiO_2 Physical Review Letters 1997 79(9) p 1766 LP - 1769 41 Miyazaki S et al Structure and electronic states of ultrathin SiO2 thermally

grown on Si(100) and Si(111) surfaces Applied Surface Science Proceedings of the Eighth International Conference on Solid Films and Surfaces 1997

113-114 p 585-589 42 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2

interface Physical Review B 2003 67(24) p 245305 43 Aswal DK et al Self assembled monolayers on silicon for molecular electronics

Analytica Chimica Acta Molecular Electronics and Analytical Chemistry 2006 568(1-2) p 84-108 44 Brzoska JB N Shahidzadeh and F Rondelez Evidence of a transition

temperature for the optimum deposition of grafted monolayer coatings 1992 360(6406) p 719-721

45 Wasserman SR YT Tao and GM Whitesides Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 1989 5(4) p 1074-1087

62

4 Chapter 4 Amino-Terminated Monolayer on H-

Terminated Crystalline Silicon (111) By an Self

Catalytic Reaction

41 Introduction Traditionally amino-terminated alkoxysilane-based self-assembly system is formed on

hydroxylated surfaces through the formation of a Si-O-Si surface bond between the silanol

group of the alkoxysilane chain and the surface hydroxyl group (Figure 41) In reality the

formation structure and morphology of the siloxane layer on semiconductor surfaces are

extremely sensitive to traces of water in the solvent which leads to self-polymerization

irregular cluster structures and poor structural reproducibility It is very hard to control the

amount of water that physically absorbed on the surface Moreover for widely used

aminosilane the optimized routes are still not available for preparing amine functional

surface with short chains[1 2] partially because aminosilane could interact with

hydroxyl-terminated SiO2Si through multiple reactions including ionic interactions

hydrogen bonds and covalent bond Si-O-Si that lead to more complex conformation and

disorder

SiOEtEtO

EtO

H2N

SiOHHO

HO

H2N

CH3OH

3 H2O+ +

(Hydrolysis)

SiOHHO

HO

H2N

SiOHHO

HO

H2N

+Si

HOHO

H2N

SiOHHO

O

H2N

(Condensation)

Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane

(APTES) SAM Formation

63

For hydrogen-terminated silicon surface in spite of its atomically well-defined surface

structure[3] is not suitable for direct application of silane agents because of the lack of

hydroxyl group or physical absorbed water which are commonly believed to be crucial for

the siloxane layer formation on the surface [4]

In this chapter we reported a new method to prepare ndashNH2 terminated Si (111) surface

by attaching (3-Aminopropyl) triethoxysilane (APTES) molecule to hydrogen terminated

surface through a simple one-step reaction By applying infrared spectroscopy technique

we have shown that APTES can readily react with H- terminated surface and attach to the

silicon surface forming stable layer We have found that the amine group of APTES is the

key for the reaction to take place Also the structure of the interfacial surface of SAMSi

especially the formation of interfacial SiO2 is investigated A possible mechanism of that

is proposed and discussed

42 Experimental

421 Materials Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both sides to

a thickness of 450 μm Following chemicals were used as received except where noted

(3-aminopropyl) triethoxysilane (APTES) (98) triethoxysilane (TES 95)

heterobifunctional crosslinker p-maleimidophenyl isocyanate (PMPI) anhydrous

toluene(998) anhydrous acetonitrile(998)(all from Sigma-Aldrich Corp)

422 Preparation of H-terminated Si(111) N-doped FZ silicon (Si (111)) wafers are cut into 1cm x 2cm pieces cleaned with acetone

and methanol The standard cleaning procedures (RCA) are then applied to the Si surface

de-ionized water (DI water 182M cm)H2O2NH4O (411) DI waterH2O2HCl  

(411) Both solutions are heated at 80degC for 10min with thorough rinsing with DI water

after each step The RCA cleaning removes organic and metallic contamination The

atomic flat hydrogen terminated Si(111) surface (H-Si(111)) is prepared by etching the

64

Si(111) surface in dilute HF (~10) for 30s followed by etching in ammonium fluoride

(49) for 2min 30s at room temperature [3] The prepared H- terminated silicon surface is

atomically flat and hydrophobic

423 Formation of Aminosiloxane SAM on H-terminated Si(111) (3-aminopropyl) triethoxysilane (APTES) monolayer is formed by immersing freshly

prepared H-Si (111) surface into 01 (vv) APTES in anhydrous toluene (998 both

from Aldrich) for various time in a nitrogen gas (N2) purged-glove box After the reaction

the sample is rinsed in anhydrous toluene three times and then sonicated in anhydrous

toluene for 5min Finally the functionalized sample is blown dry in N2 stream Sample is

transferred to the infrared spectrometer under ambient environment and spectra are

collected under a dry air-purge

As a control experiment other solutions are prepared One consist of HSi (111) in

solution in anhydrous toluene and the other one is 01 (vv) triethoxylsilane (TES) agent

in anhydrous toluene TES has three ethoxy groups similar to APTES But instead of having

an aminopropyl chain the Si atom is bonded to a unique hydrogen atom All experiment

procedures were the same as the above descriptions for APTES

424 Stability of Aminosilane SAM APTES modified Si (111) samples were immersed in DI water for various period of

time from 30min to 46 hours Spectra were collected after each exposure time

425 Chemical Reactivity of Amino- SAM on Si(111)

P-maleimidophenyl isocyanate (PMPI structure shown in Figure 42)solution is freshly

prepared right before the reaction with APTESSi(111) by dissolving xx mg PMPI power

in 20 ml anhydrous acetonitrile Acetonitrile was used as the solvent due to its better

suitability than NN-dimethyl formamide dimethyl sulfoxide or aqueous buffers in similar

applications [5] APTESSi (111) sample is transferred into the PMPI solution in a N2

purged glove box Reaction was carried out for 24 hours at room temperature in the sealed

test tube Sample is then rinsed with anhydrous acetonitrile and dried in a stream of N2 flow

65

All procedure is operated in a N2 purged glove box

N OO

NCO

Figure 42 p-maleimidophenyl isocyanate (PMPI)

426 Infrared Spectroscopy Characterization

Infrared absorption spectra (IRAS) of functionalized Si surfaces are recorded between

650cm-1 and 4000cm-1 on a Nicolet FT-IR spectroscometer equipped with liquid nitrogen

cooled down MCT-B detector and using a transmission set up The infrared beam is

incidented at 74 degree off normal corresponding to the Brewster angle for silicon and 10

degree off normal to minimize the possible reflection interferences A 4cm-1 (data spacing

1912cm-1) resolution has been chosen with 1000 scans

43 Results 431 Formation of APTES SAM on Hydrogen Terminated Si(111) Figure 43 shows a typical infrared spectrum of freshly deposited APTES on

hydrogen-terminated Si (111) The spectra are recorded after a 20 hoursrsquo reaction time

followed by rinsing and sonication in anhydrous toluene Figure 43 a is recorded at 74deg

incident angle off normal while Figure 43 b is recorded at 10deg After exposure to APTES

solution the sharp feature of Si-H stretching mode at 20837 cm-1 is significantly reduced

broadened and red-shifted to 2076 cm-1(Figure 43a) The total integrated area is only ~

23 of the initial fresh-etched Si-H mode (~0014) As shown in the

66

Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES

FTIR spectra of freshly-etched H-Si (111) samples exposure to the APTES in anhydrous Toluene for 20h (nov1) at room temperature in a nitrogen purged glove box Spectra were recorded for sample at a) 74 degree (Brewster angle for silicon) off normal and b) 10 degree off the surface normal with MCTA detector Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si (111) while range 1900-2400cm-1 is referenced to clean oxidized surface The spectra in the small up-left panel are compared of Si-H stretch mode between spectra a and c fresh-etched H-Si (111) surface as prepared Polarization experiments on H-Si (111) (a and b) demonstrated that Si-H bond is normal to the surface The free primary amine NH2 bending mode at 1600cm ndash1 also shows some polarization dependence indicating ndashNH2 perpendicular to the surface

67

inserted left-up panel in the Figure 43 the sharp H-Si stretching mode at 20837 cm-1

(Figure 43c) reveals a monohydride-terminated atomically flat surface[3] The

broadening and shift of this band indicates a change in the neighboring chemical

environment of surface H-Si bond A similar shift of the Si-H band to lower frequency due

to hydrogen-bonding interaction with nearby NH2 or Si-NH3 has been previously reported

by Queeney et al [6] Along with the decrease of the Si-H peak in the intensity a weak

broad bump appears around 2240 cm-1This mode has previously been assigned to the

H-SiO3 from Si-Si back bond oxidization[7] revealing that oxidation takes place during the

reaction

With reducing Si-H mode several intense and broad peaks appears in the 1000-1300

cm-1 range contributing from multiple vibration modes such as Si-O Si-O-C modes and in

the region of 2800-3000 cm-1 attributed to C-H stretching modes Since the CH2

asymmetric stretching mode is at 2933 cm-1 greater than reported ~2920 cm-1 for a

crystalline ordered film [8] it indicates that the formed APTES film is disordered A small

shoulder at 2973 cm-1 from CH3 asymmetric stretching mode indicates the existence of

partially hydrolyzed APTES We then expect that the ethoxy group (Si-O-C2H5) will also

contribute in other modes for instance Si-O-C stretching mode at 1107cm-1

The NH2 bending mode at 1604 cm-1 indicates that the amine groups are extended away

from the surface and are free from hydrogen-bonding interaction with the surface hydroxyl

groups A weak broad peak at ~3300 cm-1 is assigned to the corresponding stretching

modes of primary amine group The broad bands peaked at 11381050 cm-1 are assigned to

the various Si-O modes formed by the APTES condensation They are also overlapped

with other bands such as Si-O-C modes from ethoxy group of only partially hydrolyzed

APTES

Figure 44 displays the spectrum for fresh H-Si (111) exposed only to the anhydrous

toluene under the same experimental condition The spectrum from Figure 43a is shown

here as a comparison No absorbance features related to toluene are actually observed after

20 hr Moreover the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a

~95 of total integrated area of initial fresh H-Si(111) surface These evidence indicates

that hydrogen terminated Si(111) is stable in the anhydrous toluene An additional

experiment has shown that H-Si (111) surface is stable in anhydrous toluene even after 72

68

hours (data not shown) Thus we exclude the possibility that solvent alone will contribute

to the spectra features we observed

The stage of the reaction of H-Si (111) with APTES is examined by measuring surface

changes after various time period of immersing time in the APTES solution Figure 45

shows the spectra for fresh H-Si (111) after being exposed to APTES solution for a) 30

minutes b) 2 hours and c) 20 hours respectively Comparing spectra taken after 30 minutes

and 2 hours both 1000-1300 cm-1 region and C-H stretching mode regions increase with

increasing exposure time while the equivSi-H mode decreases and broadens with the exposure

time accompanied with the increase of a new peak at ~2240 cm-1 from oxidization species

A shoulder of CHx at 2973 cm-1 corresponding to CH3 stretching mode is seen in all of

spectra and slightly decreases in the intensity with prolonged exposure time This indicates

gradual loss of ethoxy group of APTES A series of experiments where the exposure time

is varied from 5 minutes to seven days is performed We present in Figure 413 the

integrated area of Si-H (~2083 cm-1) and H-SiOx (~2240 cm-1) as a function of reaction

time and in Figure 414 the integrated area of three regions oxide region (1000-1300 cm-1)

CHx stretching modes (2800-3000 cm-1) and -NH2 bending mode (~1604 cm-1) As shown

in Figure 413 with the increasing exposure time the integrated area of Si-H decreased

and H-SiOx increased initially and then decreased This trend is similar to what is observed

in the initial oxidization of H-Si(111) in air[9] The fact that (70plusmn8 ) of Si-H remains

after 20 hours exposure to APTES solution suggests that the majority of the surface is still

covered by the H-Si The CHx stretching modes and -NH2 bending modes have the same

time dependence initial increase and then decrease The decrease of intensity of CHx may

be due to gradual removal of ethoxy group from partially attached APTES molecule The

integrated area of 1000-1300 cm-1 region increases initially and reaches a plateau after 20

hours This suggests that the film growth is self-terminated and polymerizations are

limited

69

Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to a 01 (vv) APTES in anhydrous toluene for 20 hours b neat anhydrous toluene for 20 hours Both reactions were performed at room temperature in a nitrogen purged glove box Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si(111) and range 1900-2400cm-1 is referred to a clean oxidized surface

Table 1 Peak Assignments for APTES Layer Formed on the H-Si(111)

Peak Position (cm-1) Assignment

2973 CH3 asymmetric stretching 2933 CH2 asymmetric stretching 2878 CH3 symmetric stretching 2250 H-SiO3 stretching 2076 Si-H stretching 1640 H2 O scissoring 1604 Free NH2 bending

1138 1050 Si-O-Si stretching 887 H-SiO3 bending 740 CH3 rocking

70

Figure 45 FTIR Spectra of Time Dependence Experiments

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to 01

(vv) APTES in anhydrous toluene for various time length a 30 minutes b 2 hours c 20

hours All reactions were performed at room temperature in a nitrogen glove box All

spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to

freshly-etched H-Si(111) and range 1900-2400cm-1 are referenced to clean oxidized

surface For clarity absorbance values within region 1900-2400 cm-1 were multiplied by

the scaling factor as shown

71

432 UV experiment UV irradiation has been found to promote the hydrosilylation of alkene on the

H-terminated silicon surface by forming Si-C bond through a free radical chain reaction

[10 11] To investigate the mechanism of reaction further we irradiate the APTESH-Si

(111) solution for 30min with a 284nm UV light The spectra for APTES reaction with and

without UV are shown in Figure 46 and the integrated area values for these featuring

bands are shown in the Table 2

Figure 46 shows that both spectra are dominated by strong and broad peak

900-1300cm-1 with maximum at 1085 cm-1 and 1130cm-1 which are assigned to Si-O-Si

asymmetric stretch This region may also include contributions from Si-O-C stretch mode

at 11001085 due to non-hydrolyzed ethoxy groups (-OCH2CH3) in APTES The H-Si

stretch band at 2081cm-1 is reduced slightly broadened and a small shoulder appeared at

lower frequency ~2070cm-1 Comparing its integrated area with the freshly prepared H-Si

(111) there is ~30 less indicating a loss of H-Si bond The peaks at 2922cm-1 and 2973

cm-1 are assigned to asymmetric stretch mode of CH2 and CH3 respectively The

comparison of the integrated area value as shown differs from relative intensity of 1085 to

1133 cm-1 due to the slightly varied environment of Si-O In summary no apparent

difference is found between both spectra indicating that there is no direct relation between

UV excitation and the attachment of APTES

Table 2 Comparison of integrated area of major bands

Int Peak Area (CHx)

(2800-3000 cm-1)

Int Peak Area (H-Si)

(~2080 cm-1)

Int Peak Area

(1000-1300 cm-1)

30min wo UV 0015plusmn00015 00095plusmn00016 0162plusmn0016

30minw UV (284nm) 0014plusmn00016 00101plusmn00017 0178plusmn0018

72

Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and without UV (284nm) Illumination

Hydrogen terminated n-Si (111) samples were immersed in the 01 (vv) APTES in anhydrous toluene at room temperature for 30min a without UV illumination b 284nm UV illumination is applied during the reaction All spectra within 650-1700cm-1 and 2700-3800cm-1 have been referenced to freshly prepared H-Si(111) surface while spectra within range 1900-2300cm-1 are referenced to initial clean oxidize surface

73

433 APTESH-Si(111) Stability in the water

One important application of amine terminated self-assembled monolayer is to enhance the

attachment of bimolecule such as DNA proteins to the surface The stability of NH2 -SAM

in the biocompatible aqueous environment is crucial since most of these attachmentsrsquo

chemistry is carried in an aqueous environment Here we study the effect of water only We

leave APTES-functionalized H-Si (111) samples (annealed or fresh) in the dionized water

for various times at ambient environment and compare it to the results from conventional

APTESSiO2 surface Figure 47 shows FTIR spectra of changes of APTESSi (111) and

APTESSiO2 after being in the water for various times Figure 48 quantifies the changes of

the APTES films on both surfaces by plotting the integrated area of CHx at 2800-3000 cm-1

against the time in the water For APTES SAM formed on H-Si (111) the largest loss

~30 decrease of the integrated area of CHx at 2800-3000 cm-1 is observed within the first

30 min It then slightly increases with increasing time in the water Finally after 20 hours

it decreases again but quite slowly Nearly ~70 film still remains on the surface even

after 46 hours exposure to aqueous water indicating the film is reasonably stable in the

water

In contrast APTES film formed on SiO2 is quickly removed from the surface once exposed

to water which is consistent with other authorsrsquo previous works [1 12] As shown in Figure

47b the film continues degrades indicated by the reduced stretching modes of C-H in the

intensity with increasing time in the water Meanwhile the increase of negative bands at

1062 and 1232 cm-1 assigned to the TO and LO phonon mode of underneath SiO2 substrate

respectively suggests a continuous etching of substrate After 46 hours at least ~70 film

indicated by the integrated area of CHx (Figure 48) is removed from the surface The

remaining ~30 possibly including contributions from background level

hydrogen-carbon absorption on the solidliquid interface is not necessary only because of

the attached APTES molecules

74

Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time

FTIR spectra are recorded for a APTES SAM formed on H-Si(111) b APTES film formed on regular silicon dioxide surface after immersing in water for various time from 30 minutes to 46 hours at the room temperature

75

Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water The quick dropping in the integrated area of CHx indicates large amount of APS molecules that are quickly removed from the surface upon exposure to aqueous water while APTESH-Si (111) has significantly higher stability than APTESSiO2

76

434 Chemical Reactivity of APTESSi(111)

The heterobifunctional cross-linker p-maleimidophenyl isocyanate (PMPI) is used to

verify the chemical reactivity of APTESSi (111) surface It is known that PMPI reacts

with ndashNH2 group either through its isocynanate group to form urea linkage or through its

NHS- group to form amide bond (Scheme 1) As shown in the Figure 49 after

APTES-modified Si (111) reacted with PMPI multiple PMPI bands appeared among

1350-1800 cm-1 The strongest peak at 1722 cm-1 along with weak peak at 1781 cm-1 are

attributed maleimide asymmetric and symmetric C=O stretching respectively The 1668

and 1550 cm-1 are assigned to amide I and II of urea linkage [13] Peak at 1515 cm-1 is

assigned to the aromatic C-C stretching The integrated area from 1363 to 1430 cm-1

assigned to maleimide symmetric C-N-C centered at ~1396 cm-1 is previously used to

quantify the amount of PMPI attached to the APTES surface [14 15] This particular peak

is chosen to integrate predominantly on criteria of minimal overlap with other spectral

features For instance this region can avoid overlapping with bending mode of ndashNH2

(1500-1600 cm-1)

N OO

NCO

SiO

HO

H2N

SiOHOO

H2N

Si Si

N OO

NH

C O

SiO

HO

NH

SiOHOO

H2N

Si Si

(PMPI)

Scheme 1 The isocyanate group of PMPI forms a urea link (-N-CO-N-) with APTES amine group

77

Figure 49 PMPI 24 hours on APTESH-Si(111)

FTIR spectra of APTES functionlizated H-Si (111) were obtained a before b after exposure to PMPI solution APTES spectra are referenced relative to clean H-Si (111) surface while PMPI spectra are referenced relatively to amine-terminated surface prepared from APTES right before reaction with PMPI

78

435 Control Experiment

In order to further understand the reaction mechanism the spectra from the control

experiments are acquired as shown in Figure 410 where fresh H-Si(111) is exposed to the

similar alkoxysilane molecule propyltrimethoxysilane (TMPS) without amine- end group

(b) and anhydrous toluene only (c) under the similar experimental condition

SiOCH3H3CO

H3CO

CH3

Scheme 2 Trimethoxypropylsilane (TMPS)

No absorbance features related to toluene are actually observed after 20 hr Moreover

the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a ~95 of total

integrated area of initial fresh H-Si (111) surface This evidence indicates that hydrogen

terminated Si (111) is stable in the anhydrous toluene An additional experiment has shown

that H-Si (111) surface is stable in anhydrous toluene even after 72 hours (data not shown)

Thus we exclude the possibility that solvent alone can contribute to the spectroscopic

features of APTESH-Si (111) we observed

For alkoxysilane without amine (Figure 410b) the surface H-Si lost lt10 of initial

fresh etched H-Si (111) This loss of H-Si is slightly greater than the loss (~5) when it is

in neat anhydrous toluene but less than the loss (~30) when it is exposed to APTES It

maybe due to the reaction of H-Si (111) with alcohol produced from hydrolysis of silane

molecule It has been shown previously that H-Si (111) can readily react with methanol and

ethanol even under room temperature and dark environment[16] The FWHM of peak of

remained H-Si stretching mode is ~7plusmn07 cm-1 and 4plusmn05 cm-1 for APTES and TMPS

respectively The fresh etched H-Si (111) has FWHM at 44plusmn007 These results indicate a

significant change of chemical environment of surface H-Si bond that occurs after

exposure to APTES The results from control experiments suggest that amine group ndashNH2

in APTES plays a crucial role in the reaction of APTES with H-Si(111)

79

Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c Toluene only FTIR spectra were obtained for freshly-etched H-Si(111) surface exposed to a 01 APTES in anhydrous toluene b 01 TMPS in anhydrous toluene c neat anhydrous toluene only for 20 hours All spectra are reference relative to freshly-etched H-Si(111) surface

80

436 Reaction of H-Si(111) Surface with Propylamine In this section the reaction between ndashNH2 and H-Si (111) was checked in the toluene with

or without presence of water (wet or dry condition) A short organic molecule propylamine

with ndashNH2 as an end group is chosen The FTIR spectra after H-Si(111) is exposed to 02

propylamine for two hours are shown in the Figure 411A Figure 411B shows the zoom-in

region of H-Si and H-SiO3 stretching vibration mode at 2000-2300 of the same spectra in

Figure 411A referred relatively to an oxidized surface

In the anhydrous environment (Figure 411A-b) ~25 loss of integrated area of H-Si

stretching mode at 2083 cm-1 is associated with an appearance of new peaks at 2262 876

cm-1 attributed to H-SiO3 stretching and bending modes respectively The broad peak

centered at ~1130 cm-1 is assigned to Si-O modes This evidence suggests that local

back-bond oxidization of H-terminated Si (111) surface occurs Further quantifying

amount oxide on the surface is done by comparing the integrated area of LO phone mode

within this region to the LO mode of chemical oxidized (SC-1SC-2) SiO2 which has been

shown previously to produce an oxide layer ~10Aring in thickness[17] The total integrated

area of 900-1300 is ~016 less than ~50 peak area obtained on chemical oxidized

surface

There is no discernable peak at 3400 cm-1 and 1640 cm-1 the characteristic peaks of surface

hydroxyl group and absorbed water suggesting the absence of surface hydroxyl Si-OH

group and absorbed water In addition the sharpness of stretching mode of H-Si (Figure

411B) indicates that major surface region is unaffected by immersing in propylamine

solution and remains H- passivated where dipole coupling between neighboring Si-H

groups on a terrace remains[18-20]

The peak at 730 cm-1 could be assigned to ndashNHndash wagging vibration from formation of

surface Si-N-C bond between propylamine and Si-H The corresponding stretching mode

of NH at ~3400 cm-1 is too weak to be discernable in the spectra [21] The appearance of

various stretching modes of -CH2 and -CH3 at 2800-3000 cm-1 including 295029222964

cm-1 with total integrated area ~ 00131 and bending modes at ~ 1450 cm-1 indicates the

attachment of propylamine on surface The amine dissociative adsorption on silicon

1 As a compassion the integrated area of CH (2800-3000) is ~ 002 for H-Si(111) in APTES for 20 hours and less than 0006 for H-Si(111) in TMPS for 20 hours

81

Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine

In the top panel (A) FTIR spectra of fresh prepared H-Si(111) surface after exposure to 02 propylamine in a) regular toluene b) anhydrous toluene Both are referenced against to fresh H-Si (111) As a comparison the spectra of chemically oxidized SiO2 surface after exposure to 02 propylamine in regular toluene is given (c) For clarity the spectra b and the spectra b and c is displayed on 4 timesrsquo smaller scale than a In the bottom panel (B) same spectra shown in the top panel are referenced to clean oxidized silicon surface

82

surface with Si-N bond formation was previously studied in the UHV condition in gas

phase([22-24]) Under our experiment condition (wet chemistry environment and room

temperature) this reaction may be expected but should be significantly different from

mechanism under UHV condition The spectra show that formation of Si-N competes or

co-exists with the oxidization of Si-H catalyzed by trace amount of water and amine group

When excess water co-existed with propylamine (wet condition) H-Si surface is

oxidized much faster than in the anhydrous case As shown in the Figure 411 the

integrated area of Si-O-Si stretching modes at 1000-1200 cm-1 is nearly 10 times higher

than the case where H-Si (111) is exposed to propylamine in anhydrous environment for

the same time period Both H-SiO3 (2256 880 cm-1) and surface hydroxyl (related

vibration modes SiO-H stretching mode at ~ 3400 cm-1 Si-OH stretching mode at 950

cm-1) species are observed In addition the vibration mode related with hydrogen bonded

water is observed the stretching mode of Si-OH at ~3400 cm-1 and scissoring mode of

water at 1640 cm-1 However the surface hydrogen is not completely replaced by ndashOH

group as there is ~ 40 H-Si remained on the surface while another ~30 existed in the

form of H-SiO3 with corresponding stretching mode at 2256 cm-1 (Figure 411B)

Considering the integrated area of stretching Si-O modes at 1000-1200 cm-1 it is nearly

five times higher than SiO2 prepared from RCA chemical oxidization It suggests that

continuing oxidization may happen in an anisotropic manner The oxidization of substrate

is co-existed with other surface species including surface silicon-hydrogen bond with

insertion of oxygen into back-bonds (H-SiO3) and untouched Si-H bond The broadness

(FWHM-) and peak position (~2073 cm-1) of stretching mode of H-Si indicates a

disruption of the dipole coupling between neighboring Si-H groups on a terrace [18-20]

As a schematic drawing shown in the Figure 412 the numbers 1-4 indicate the surface

species presents on the surface In the dry condition only 1 and 2 are observed and

dominated by specie 1 (untouched H-Si) In the wet condition all three species are

observed And anisotropy oxidization happens toward underneath deeper layers The

species 4 is attributed to reaction ndashNH2 with H-Si forming Si-N linkage Both species 2

and 3 readily react with APTES

It has been found that H-Si (111) is stable in pure water up to several days Apparently

propylamine accelerates the oxidization of H-Si where H2O is a source of oxidization

83

Furthermore the direct reaction between propylamine and H-Si (111) may exist although it

is not dominated and must process at a very slow rate

CH3

NH2

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HOSi

O

OHSi

O

HSi

Si

HSi

O

HSi

O

HSi

Si

HSi

Si

HNSi

Si

CH3

H2O

3 2 1 4

Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or

without water

44 Discussion The FTIR spectra demonstrate that APTES can form stable siloxane layer on the H-

terminated Si (111) in an anhydrous toluene at room temperature without using any

accelerators or pre-hydrolysis step Amine- group of APTES is found to be crucial for the

reaction to take place An insertion of oxygen in the Si back-bond forming H-SiO3 is

observed The amount of attached APTES (CHx stretching modes and NH2 bending modes)

is correlated with the degree of loss of Si-H stretching mode and H-SiO3 stretching mode

As the reaction time in APTES solution increases the initial Si-H stretching mode

decreases in intensity while an H-SiO3 stretching mode appears simultaneously and

gradually increases indicating that oxidation occurs After a couple of hours the H-SiO3

stretching mode decreases while mode corresponding to the absorption of APTES on H-Si

(111) is now present The saturation of the Si surface is observed after 20 hours when no

more active sites are accessible to APTES due to the steric restriction

It has been long-term established that alkylsiloxane self-assembled monolayer is used

to engineer silicon oxide surface [4 25] The general accepted mechanisms although still

under considerable debates involves hydrolysis of alkoxy- groups and condensation with

active surface hydroxyl group or incorporate into a two dimensional cross-linked network

of Si-O-Si bonds in the physical absorbed thin water layer [26 27][more references]

However to our best knowledge there is no report about direct formation of alkoxylsilane

84

on oxide-free and hydrophobic H-terminated single-crystal silicon surface which are

normally alkylated through reaction with alkene forming Si-C linkage thermally or

photochemically [10 11] or it is found recently that organotrichlorosilane could possibly

form on non-oxide surface at room temperature due to the hydrosilylation of

chloride-terminated silicon surface generated by ion exchange between Si-H and chloride

ions in anhydrous toluene [28]

A possible two-step reaction mechanism has been proposed previously for

amine-catalyzed silanization of alkoxylsilane on hydrogen-terminated porous silicon

surface with water[29] the first step is an amine-catalyzed hydrolysis of H-Si to form

hydroxyl-terminated surface at the second step the surface hydroxyl groups further react

with the alkoxy (Si-O-R) or silanol (Si-OH) groups of APTES molecule under amine

catalysis The second step of amine-catalyzed siloxane condensation has been previously

reported For example a significant fraction of adsorbed aminosilane attaches covalently

to the surface silanol group in an anhydrous environment at room temperature under

catalysis of amine motifs [30-32]

This mechanism cannot be fully applied to the results we observed under the anhydrous

experiment condition First the absence (or minimized content) of surrounding water in

anhydrous toluene makes the surface hydrolysis less likely to occur It lacks evidence of

Si-OH in present FTIR data We only see Si-OH under the wet condition accompanied by

the formation of a significant large amount of silicon oxide Second this mechanism

doesnrsquot provide detailed information on the initial stage of oxidization of H- terminated

silicon surface In other words it is questionable whether surface Si-OH forms with or

without oxidization of underneath silicon

The studies of water reaction on H-Si(100) under ultrahigh vacuum have shown that

oxidation of Si-Si bonds occurs both at room temperature and elevated temperatures but

without breaking Si-H bond probably because of the bond energy of Si-H 14eV higher

than bulk Si-Si[9] The surface hydroxyl could form only after Si-O-Si formation[33] A

study of the reaction of O2 with H-Si(111) has found that no oxygen is initially inserted into

the Si-H bond to form Si-OH instead various H-SiOx species are formed[34]

From our time-dependence data in Figure 413 and Figure 414 it has clearly shown

that initially H-Si is slowly decreased while H-SiO3 appears simultaneously This indicates

85

at the first step that an insertion of oxygen into H-Si bandbonds occurs Both H-Si and

H-SiO3 modes are still observed after 7 daysrsquo exposure to APTES The vibration modes

related with absorbed silane molecules like CHx and NH2 are barely observed at the first

two hours but start increasing and then decreasing with exposure of the time Based on

these evidence we propose the following mechanism the Si(111) surface initially

H-terminated evolves into H-SiO3 due to the insertion of oxygen (possibly coming from

traces amount of water in the solvent) into the back-bond of equivSi-H as depicted in Figure

415 This step may be also the result of a catalyst process involving the amine group The

hydrogen bonding formed between water and amine group significantly weakens the O-H

bond and thus making the oxygen atom more nucleophilic and more ready to attack the

surface Si atoms either in Si-H or Si-Si with formation of surface hydroxyl Si-OH (I) or

H-SiO3 (II) respectively Our data suggest that the latter (pathway-II) is dominated over

pathway-I under anhydrous environment Silane molecule from the solution can then

readily react with the H-SiO3 group The presence of the oxygen atoms in the back-bond

polarizes the Si-H bond and weakens it making it more reactive to the APTES molecule

After 20 hours the plateau observed in the 1000-1300 cm-1 region indicates that the

saturation has been reached and the APTES layer acts as a barrier to block any further

attachment on the surface active sites H-SiO3 For extensively longer exposure time after

several days in APTES solution the APTES is removed and surface oxidization continues

corresponding to observed decrease of H-Si and CHxNH2 in the intensity Nearly constant

H-SiO3 could be explained as a result of equilibrium between H-Si oxidization and its

further converting to HO-SiO3

In this mechanism surface hydroxyl groups do not form and cannot be accounted for

the attachment of the silane molecules Instead the formation of H-SiO3 is a key in the

surface attachments of APS on HSi (111) As shown in Figure 415 because of the

inserted oxygen atoms that weaken the Si-H bond H-SiO3 has higher reactivity than Si-H

resulting reaction of H-SiO3 with alkoxy-group of APTES under catalysis of NH2 group

86

Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid triangle represents the peak area of Si-H stretch mode at ~ 2100 cm-1 and open circle represents the peak area of H-SiO3 at ~2250cm-1 X-axis (time) is plotted in logarithm scale

87

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid square in the upper panel represents the peak area within range of 1000-1300 cm-1 contributed from several overlapped bands like Si-O Si-O-C In the lower panel the open circle represents the peak area of NH2 bending ~ 1600 cm-1 and solid triangle represents peak area of CHx (X=2 or 3) stretching modes at 2800~ 3000 cm-1 For some data points the error bar was too small and hardly distinguished from the data points X-axis (time) was plotted in logarithm scale

88

Si

SiSi

H

SiSi

SiSi

H

Si

SiSi

OH

SiSi

SiSi

H

NH2EtO

HH

H2

δ+

δminus

Si

OO

H

OSi

OO

H

Si

OH3CH2C

R

O

CH2CH3δminus

δ+

δ+

δminus Si

O

R

O

CH2CH3

Si

OOO

Si

OO

H

I

II

Figure 415 Possible Mechanism of APTES reacts with surface H-SiO3

441 Amine-catalyze Oxidization of H-Si backbond and attachment of

APTES

In the present study we found that amine group APTES is crucial for the reaction For

the alkoxysilane without the presence of amine the reaction with H-Si (111) can only

proceed if there is any at a very slow rate considering ~90 H-Si remains at terrace

structure even after 20 hours Therefore the formation of H-SiO3 is most likely proceeds

under the catalysis of amine group

There are already extensive reports on that amine group catalyze direct condensation of

alkoxy- [30 35] or chlorine- [36] group to surface hydroxyl group The proposed

mechanism of the catalytic activation is that the interaction between nitrogen and hydrogen

attached to oxygen make oxygen more polar[36] The Si-OH surface mode is known to

have strong interactions with Lewis bases such as propylamine and pyridine The hydrogen

bonding due to this interaction substantially weakens the SiO-H bond and increases the

nucleophilicity of the oxygen atom (δminus) which will attack the electron deficient Si atom

(δ+) of the Si-Cl [37] or Si-O-R to form Si-O-Si linkage

89

We examined the reaction of H-Si (111) with propylamine in anhydrous toluene and

regular toluene The increasing amount of water in the solution did significantly accelerate

the oxidization of silicon substrate and formation of surface Si-OH Without the presence

of water both reactions are suppressed and H-SiO3 species was clearly observed and most

of surface H-Si remains This experiment also confirms that the existence of alkoxy group

is important for the reaction to keep going Under anhydrous environment amine group or

alkoxy group alone cannot fully account for the loss of H-Si we observed

442 Limited Interfacial Oxidization

The earlier study of the initial stage of oxidization of hydrogen-terminated silicon in

the water or in the atmosphere has proposed a two-stage oxidization with different

oxidization rates [38] [39] The Si-Si back-bond instead of Si-H is first attacked by water

molecule to form H-SiOx but the oxidization is a slow process (Induction Period) As

Si-OH coverage increases formation of Si-O-Si bridges can be formed through adjacent

Si-OH while more rapid oxidization is observed in a second stage [38] [39] In this

oxidization mechanism the initial oxidization depends strongly on the surface

concentration of ndashOH groups In the case of APTES silanization APTES could quickly

react with H-SiO3 once it is formed under amine catalyzing in the step 2 and then may

suppress any possible further oxidization The attachment of APTES might limit the

oxidization only to the first or second layer The utilization of a N2-purged glove box also

helps to minimize the oxidization Indeed the fact that there are still ~20 of H-Si and

H-SiO3 remaining after extended exposure time suggests that surface is still covered with

hydrogen existing in the form of either H-Si(Si) or H-SiO3 instead of Si-OH The less

oxidization and partially H- passivation may contribute to the observed higher stability of

APTESSi (111) in the water as well where OH- etching of SiO2 substrate or hydrolysis

Si-O-Si is considered as one of the sources of instability

443 Stability of APTES layer and Binding Capability

The stability of aminosilane is crucial for its various applications An ideal aminosilane

layer should be flat stable with a dense surface free amine functional group However the

90

optimized routes are still not available for preparing amine functional surface with short

chains[1] [2] Although APTESSiO2 system is widely used in many biomedical

applications like promoting biological adhesion (ref) it is found previously that it is not

stable at all SAM or multilayers formed on SiO2 from amino-alkoxysilane is easily

removed when the film is exposed to water or water salt solution [1]

The instability of APS on oxidized surface is explained as the existence of multiple

complicate interactions between function groups of amino-organosilane and SiO2 surface

with a thin physical absorbed water layer Thus the absorbed APTES layers are not only

observed through surface Si-O-Si covenant bonds but most likely also through ions

interaction (NH3+) hydrogen bonds (between NH2 and Si-OH) It is also been suggested

previously that the film most likely linked on the physically absorbed water layer on the

SiO2 surface instead of directly binding to the surface In addition the underneath SiO2

substrate could be etched away in the water environment when there is NH2 group around

as indicated by the increasing negative band from 1000-1300 cm-1 at Figure 47b This is

possibly because of the formation of OHminus anion (the surface ndashNH2 pKa is ~10 and ndashNH2 is

protonated under neutral environment (pH~7)

minus+ +minus⎯rarr⎯+minus OHNHROHNHR 322

By using H- terminated Si (111) surface and the method presented in this study the sources

of complicated various interactions mentioned above is minimized or does not exist at all

In addition physically absorbed water is not present on H-Si (111) surface due to the

hydrophobic nature of the surface As a consequence it minimizes the unwanted

polymerization and unwanted attachment of silane layer to the water layer with

significantly reduced stability Moreover the electrostatic interaction between amine and

surface could be minimized also due to lack of surface hydroxyl group Indeed the IR

spectra shown in Figure 43 indicate the existence of free amine groups (bending mode of

NH2 at 1604 for free amine and 1580 or less for hydrogen bonded ndashNH2)

Biological reactivity of surface with ndashNH2 functionality is further confirmed by

reaction with PMPI which forms covalent bond with surface amine group This is

confirmed by the FTIR spectra of PMPI absorbed on APTESSi (111) surface suggesting

91

the surface prepared using APTESH-Si (111) is well suitable for further biological

applications

45 Conclusion We have demonstrated that (3-aminopropyl) triethoxysilane (APTES) can form

self-assembled monlayer on the atomically flat H- terminated Si (111) surface It is first

time that we show the attachment of APTES molecule to silicon without involving oxide

substrate or appreciable oxidization of substrate APTES layer formed on the H-

terminated surface shows significantly higher stability than APTES layer formed on

SiO2Si (100) with free amine groups which are important for its further application The

prepared NH2- surface shows similar reactivity toward the further biological attachment

with other methods A possible mechanism is proposed for the reaction of APTES with

atomically flat H-Si (111) Amine- group has been demonstrated to be a key for the

reactions to take place The first involves the insertion of oxygen into the Si backbond

forming H-SiO3 under catalysis of amine group The following reaction of H-SiO3 with

APTES has been demonstrated to process very quickly The interfacial oxide silicon is

significantly limited and the surface remains partially hydrogen passivated which may

account for the higher stability of APTES layer formed on H-Si (111)

92

Bibliography

1 Wang AF et al In vitro stability study of organosilane self-assemble monolayers and multilayers Journal of Colloid and Interface Science 2005 291(2) p 438-447

2 Allen GC et al Macro- micro- and nano-investigations on 3-aminopropyltrimethoxysilane self-assembly-monolayers Thin Solid Films 2005 483(1-2) p 306-311

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

5 Xiao S-J et al Covalent Attachment of Cell-Adhesive (Arg-Gly-Asp)-Containing Peptides to Titanium Surfaces Langmuir 1998 14(19) p 5507-5516

6 Queeney KT YJ Chabal and K Raghavachari Role of interdimer interactions in NH3 dissociation on Si(100)-(2 x 1) Physical Review Letters 2001 86(6) p 1046-1049

7 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

8 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

9 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air 1994 76(4) p 2157-2163

10 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

11 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

12 BROKER B SILICON SURFACE FUNCTIONALIZATION FOR DNA ATTACHMENT in Department of Physics and Astronomy 2006 Rutgers University

Piscataway 13 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 14 Shen G MFG Anand and R Levicky X-ray photoelectron spectroscopy and

infrared spectroscopy study of maleimide-activated supports for immobilization of oligodeoxyribonucleotides Nucleic Acids Research 2004 32(20) p 5973-5980

15 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2 interface Physical Review B 2003 67(24) p 245305

16 Michalak DJ et al Infrared spectroscopic investigation of the reaction of hydrogen-terminated (111)-oriented silicon surfaces with liquid methanol Journal of Physical Chemistry B 2006 110(41) p 20426-20434

17 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

93

18 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

19 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

20 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

21 Socrates G Infrared Charateristics Group Frequencies 1997 22 Bitzer T T Alkunshalie and NV Richardson An HREELS investigation of the

adsorption of benzoic acid and aniline on Si(100)-2 x 1 Surface Science Vibrations at Surfaces 1996 368(1-3) p 202-207 23 Rummel RM and C Ziegler Room temperature adsorption of aniline (C6H5NH2)

on Si(100)(2x1) observed with scanning tunneling microscopy Surface Science 1998 418(1) p 303-313

24 Kugler T et al Chemically modified semiconductor surfaces 14-phenylenediamine on Si(100) Surface Science 1992 260(1-3) p 64-74

25 Schreiber F Structure and growth of self-assembling monolayers Progress in Surface Science 2000 65(5-8) p 151-256

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

28 Shirahata N et al Interfacial observation of an alkylsilane self-assembled monolayer on hydrogen-terminated Si Langmuir 2004 20(20) p 8942-8946

29 Xu DS et al Hydrolysis and silanization of the hydrosilicon surface of freshly prepared porous silicon by an amine catalytic reaction New Journal of Chemistry 2003 27(2) p 300-306

30 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

31 White LD and CP Tripp An infrared study of the amine-catalyzed reaction of methoxymethylsilanes with silica 2000 227(1) p 237-243

32 White LD and CP Tripp Reaction of (3-aminopropyl)dimethylethoxysilane with amine catalysts on silica surfaces Journal of Colloid and Interface Science 2000 232(2) p 400-407

33 Rivillon S RT Brewer and YJ Chabal Water reaction with chlorine-terminated silicon (111) and (100) surfaces Applied Physics Letters 2005 87(17)

34 Zhang L K Wesley and S Jiang Molecular Simulation Study of Alkyl Monolayers on Si(111) Langmuir 2001 17(20) p 6275-6281

35 Wu B G Mao and KYS Ng Stepwise adsorption of a long trichlorosilane and a short aminosilane Colloids and Surfaces A Physicochemical and Engineering Aspects 2000 162(1-3) p 203-213

94

36 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

37 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

38 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

39 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

95

5 Chapter 5 Alkylation of H-Terminated Silicon (111)

and Thermal Stability of SAMs with Different

Functionalities

51 Introduction The formation of well-defined and densely packed organic monolayers on semiconductor

surface has received increasing interests in the variety field from micronano-electronics

biosensor and biological attachments There are two main categories of methods for

forming organic layers on silicon One is silane-based SAMs via Si-O-Si bond forming

between silane on oxidized silicon and other is alkene-based SAMS via a Si-C on a H-

terminated silicon Other types of SAMs form via Si-N or Si-O-C are not dicussed here

The major drawbacks of silane-based SAMs (chloro- or alkoxy-) on oxide silicon are

the reproducibility in the synthesis and its stability The Si-O bond formed on the interface

of SAMSiO2 is susceptible to hydrolysis and is thermally liable The extensive studies

have shown that the preparation of trichlorosilane or trialkoxylsilane on silicon oxide is

very sensitive to the environment parameters such as water content humidity temperature

solution histories history of glassware resulting in the reproducibility problem under the

regular lab condition

The formation of well-defined and densely packed organic monolayers directly

attached to single crystalline silicon surface without interfacial silicon oxide layer has

received increasing interests since its invention A highly organized monolayer through

highly stable Si-C bonds can be prepared by the reaction of alkenes with H-terminated

silicon thermally photochemically or catalyticly Because of its ability to tailor the surface

for different applications SAMs with a variety of functionalities have been widely

investigated in their formation interfacial structure and decomposition In addition in the

field of farication of semiconductor and electronic devices many processes involve high

temperature anneals Therefore the thermal behavior of organic SAMs is important for

96

applications such as organicinorganic hydride device micro-nano- patterning selective

Atomic Layer Deposition (ALD)

In this chapter the formation structure and thermal stability of two types of organic

monolayers (-CH3 SAM and ndashCOOH SAM) thermally grafted on H-terminated Si (111)

are studied by infrared spectroscopy

52 Experimental

521 Materials

Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular seive 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional cross-linker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and with nitrogen bubbling for at least 1

hour in order to remove the dissolved water and oxygen before use

522 Preparation of Self Assembled Monolayers

5221 Preparation of H- Terminated Si (111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ-cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

97

123

45 6

78

91 10

23

45 6

78

911

N2 flow

cold water

Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)

peroxide at the ratio 31 at 95Cdeg for 25 minutes to remove the surface contamination

following by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into N2 purged FTIR spectrometer

chamber for taking reference spectra or into a N2 purged glove box where all further

chemical functionalizations were conducted

5222 Preparation of ndashCH3 Terminated Si (111) surface

Neat octadecene was pre-treated with 3Aring molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs without oxidizing the silicon surface

After transferring the octadecene liquid into the three-necked flask loading H-Si (111)

sample and assembling the condenser tube in the N2 glove box the whole set was sealed

and moved out from N2 glove box to a hood The octadecene was then continuously purged

with N2 (g) for additional 30 min before heating it up to reaction temperature at 200 degC in a

silicon oil bath

98

5223 Preparation of -COOH Terminated Si (111) surface

Neat 1-undecylnic acid was pre-deoxygenated for at least 1 hour while heated at 50 degC

(keep it from freezing) and then cooled to room temperature The freshly prepared silicon

H-Si (111) was then placed under N2 in a Schlenk three-necked flask containing a

previously deoxygenated neat undecylnic acid and allowed to react at 120 degC for 2 hours

The excess unreacted reagent was removed after the reaction and the sample was rinsed

with THF dichloromethane hot 10 acetic acid (at 80 degC) and DI water and then dried

under the stream of N2 (g)

53 Results

531 Preparation of Octadecylated Si (111) Surface

Figure 52 shows the typical transmission infrared spectra of freshly-octadecylated Si(111)

surface prepared by immersion of hydrogen-terminated Si(111) into the neat 1-octadecene

for 2 hours at 200 degC [1 2] The spectra acquired from two different incident angles of the

IR beam 74deg and 10deg off normal These spectra are referenced relatively to the

freshly-etched H-Si (111) surface so the negative peaks in the spectra represent features of

H-Si (111) surface removed during the alkylation reaction For the spectra collected at 74deg

off normal (Brewster angle) the negative sharp peak of Si-H stretching mode at 2083 cm-1

and Si-H bending mode at 628 cm-1 indicates removal of H atoms from surface The sharp

negative peak at 2083 cm-1 is not observed when probed with IR incident angle of 10deg off

normal while the Si-H bending mode appeared slightly more negative indicating that Si-H

bond is perpendicular to the Si(111) surface The broad low intensity peak at 2073 cm-1

shown in the spectra referenced against the oxidized surface (inserted in a small left panel)

indicates that the alkylation is not completed which is in agreement with at most ~ 50

surface Si-H which can be grafted due to the steric limitation for alkyl chain with more than

one carbon on Si (111) surface [3]

A rather low surface oxidization is observed as indicated by the weak broad peaks

centered at 1018 cm-1 with FWHM 83 plusmn 2 cm-1 in the region of 900-1100 cm-1 The

effective thickness of Si-O is less than 01 nm estimated by comparing the integrated area

99

of broad Si-O-Si phonon modes to the IR spectra of sample that has been oxidized using

standard RCA cleaning (SC-1 10min immersion in a solution of 114 30 H2O230

NH4OHDionized water at 80degC followed by a water rinse and a SC-2 clean 10min

immersion in a solution of 114 30 H2O2 39 HClDeionized water at 80degC) The RCA

clean has been shown previously to produce an oxidized layer of ~ 1 nm [4] After

alkylation the area of Si-O (~00233 centered at 1018 cm-1) corresponds to less than ~10

of regular oxide prepared from RCA method The lack of typical shape (LOTO) of

oxidized peaks at 1000-1200 cm-1 from RCA clean suggests that Si-O probably exists in

isolated Si-O form

The attachment of alkyl chain to the Si(111) surface is confirmed by the positive peaks

at 2800-3000 cm-1 29192850 cm-1 are assigned to the asymmetric and symmetric

stretching modes of CH2 group and 29662879 cm-1 are assigned to the asymmetric and

symmetric stretching modes of CH3 group respectively The peak at 1467 cm-1 is assigned

to the corresponding scissor mode of CH2 groups The υa (CH2) band is indicator of

packing of linear alkyl chains in the monolayers [5] the denser packing results in lower

wavenumber It is known that this band shifts from 2928 to 2920 cm-1 as the alkyl chains

going from disordered liquid phase to ordered solid phase[6] So the observed peak at

2919 cm-1 suggests the alkyl chains are ordered densely packed In fact as shown in the

Figure 53 the integrated area of stretching modes of hydrocarbon representing the

amount of alkyl chains on the surface is nearly linearly correlated with the wavenumber of

υa (CH2) band The denser packing indicated by the higher integrated area of stretching

modes of carbon-hydrogen corresponds to the lower wavenumber of peak of υa(CH2)

The weak band at ~ 678 cm-1 is assigned to the surface Si-C stretching mode The fact

that it disappeared from the spectra when IR beam incident angle is 10deg indicates that Si-C

bond is normal to the surface However it is worth to note that Si-C peak is quite difficult

to see since it is imposed with nearby strong silicon phonon mode at 610 cm-1 Si-H

bending mode at 626 cm-1 and CO2 at 667 cm-1 A careful spectra reprocess is needed in

order to remove other irrelatated bands

100

Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene

FTIR Spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-octadecene for 2 hours at 200 degC The spectra were measured at 74deg (top) and 10deg (bottom) off normal as indicated Both are referenced relative to the freshly-etched H-Si(111) surface

101

Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains

The packing density is represented by the integrated area of stretching modes of carbon-hydrogen It clearly shows that the denser packing of SAM leads to better ordered layer

102

532 Preparation of COOH-Terminated Monolayer on Si(111) Surface

via a Si-C bond

Figure 54 shows transmission infrared spectrum of COOH-terminated Si(111) through

Si-C bond on Si(111) surface prepared by immersion of hydrogen-terminated Si(111) into

the neat 1-undecylenic acid for 2 hours at 120 degC This is similar with the method applied

to porous silicon surface [7] The negative sharp peak of Si-H stretching mode at 20831

cm-1 and Si-H bending mode at 6267 cm-1 clearly indicates removal of H atoms from

surface

The strong peak appears at ~1718 cm-1 (FWHM 239 plusmn 03 cm-1) which is a

characteristics of a carboxylic acid is assigned to the stretching vibration mode of C=O of

a carboxylic acid The broad shoulder of this peak may contain weak stretching mode C=C

at 1634 cm-1 and stretching mode of C=O in an ester form Si-O-C(O)-R at ~1740 cm-1

Although both alkenes (C=C) and carboxyl group (-COOH) may react with H-Si(111) [8]

here we cannot completely exclude the possibility of reaction between COOH and surface

Si-H and exactly estimate the percentage of reaction through this pathway The intense

peak at 1718 cm-1 and the absence of Si-O-C at ~1100 cm-1 strongly indicate that most of

the surface Si-H was consumed by a hydrosilylation reaction with C=C rather than thermal

reaction with the hydroxyl group of acid function In addition the clear peaks at 1278 and

1413 cm-1 assigned to C-OH stretching and C-O-H bending also suggest that the acid end

group is left intact after the reaction This is similar with what reported that the dominant

hydrosilylation is observed in the reaction between undecylenic acid and porous silicon

[7]

The major bands between 2800-3100 cm-1 are directly assigned to the asymmetric and

symmetric methylene stretching υαs(CH2) at 2926 and υs(CH2) at 2854 cm-1 respectively

It is known that the asymmetric stretching mode of methylene an indicator of surface

monolayer packing order shifts from 2928 to 2919 cm-1 as the phase of monolayer shifts

from disordered liquid phase to ordered crystal phase [6] Therefore the above observed

value indicates that the COOH-terminated monolayers formed from undecylenic acid are

not as orderly and compact as long chains of CH3- terminated n-alkyl monolayers

103

Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid

Transmission infrared absorbance spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-Undecylenic Acid for 2hours at 200 Cdeg The spectrum was measured at 74deg off normal and is referenced relative to the freshly-etched H-Si(111) surface

104

Although there are other indirect methods for forming COOH- terminated monolayers ie

by hydrolysis of ester-terminated monolayers on silicon[9 10] these methods still can not

produce monolayer as orderly as n-alkyl monolayers because the hydrolysis of ester group

usually is not complete[11]

Finally the weak band at the 900-1200 cm-1 indicates a very low concentration of

Si-O-Si or Si-O-C the surface is essentially oxide free Compared to the reaction of

n-alkylation of Si(111) using 1-octadecene there is usually a certain small amount of oxide

(~10 equivalent of the chemical oxide formed by standard RCA cleaning with effective

thickness ~ 1 A) that is formed during the similar thermal reaction condition a common

problem in hydrosilylation [2] One explanation of this largely suppressed oxide formation

is that the residual water a cause of oxide formation during alkylation is trapped by

undecylenic acid [12] This chemical modification method is especially useful in the place

where oxide is unwanted Meanwhile the chemically reactive acid groupsrsquo termination

leaves the surface available for being further tailored to meet the requirements of different

applications

533 Chemical Stability Resistance of Organic Monolayer via a Si-C

bond to HFNH4F

Figure 55 shows the FTIR spectral changes of Octadecylated Si(111) after immersed

in the 10 HF solution for 30 second and 40 NH4F solution for 90 second (a typical

recipe used for removing silicon oxide and preparing atomic flat H-Si(111) surface) The

SAM has high resistance toward HFNH4F etching Not only the hydrocarbon has very tiny

loss but also the silicon oxide under SAM formed during alkylation is significantly

protected by organic layer This suggests that SAM is densely packed over the whole

surface and behaves like a very good barrier to prevent HF and NH4F from attacking the

sub-oxide of substrate This also indicates that the oxide must exit as local small patches

surrounded by alkyl chains otherwise the area without alkyl chains protection would be

etched away by HF

105

534 Thermal Stability of Octadecylated Si(111)

Figure 56 shows the typical FTIR data after octadecylated Si (111) annealed at stepped

increasing temperature from 100 to 400 degC In the Figure 56a all the spectra are

referenced against freshly SAM modified Si (111) surface As indicated by the stretching

modes of carbon hydrogen at 2800-3000 cm-1 the loss of alkyl chains starts at 150 degC This

is consistent with the results reported by Fauxheus [13] in the nitrogen environment and

Sung in the ultra vacuum environment [14] With the gradual loss of alkyl chains a peak

appears at 2080 cm-1 corresponding to the stretching mode of surface Si-H Its intensity

increases with increased annealing temperature until 350degC Further annealing to the

higher temperature 400 degC the intensity of Si-H stretching mode starts to decrease with

appearance of new peak at 2269 cm-1 which is assigned to stretching mode of H-Si with

the insertion of oxygen into the Si backbonds H-SiOx species At the same time the CH

stretching mode completely disappeared indicating complete desorption of grafted

alkyl-chains (for clarity the same spectra referenced to clean H-Si(111) are shown in the

Figure 57a) These results directly indicate that alkyl chains are desorbed as a whole with

breaking of surface Si-C bond and regeneration of Si-H in accordance with the desorption

mechanism based on results from UHV conditions[14] Consistent with appearance of

H-SiOx at higher annealing temperature above 400degC there is no oxidization of silicon

substrate observed below 400 degC which indicates that the alkyl chains or H-Si may protect

the surface from oxidization In contrast to Fauxheus et al we do clearly see the formation

of Si-H at 2080 cm-1 and do not observe the oxidization of Si or significant insertion of

oxygen into Si back-bond below 350 degC even in the normal N2 purged environments

Consider that the spectra were referenced against freshly-SAM grafted Si (111) surface

with 20-50 Si-H site untouched the positive peak at 2080 cm-1 indicates there is more

Si-H newly formed during the thermal decomposition of alkyl-chains on the surface than

on the starting freshly-alkylated Si (111) surface

In the Figure 56b the same data as Figure 56a is presented in the different manner

for each spectrum the reference spectrum is the one taken right after heating at the

preceding temperature We can clearly see the biggest loss that occurs during 250 - 300 degC

The amount of loss of CHx is proportional to the increasing intensity of Si-H band In

106

Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution

FTIR Spectra of Octadecylated SAM were obtained before and after exposure to HF (30s) and NH4F (90s) The top spectra are referenced against to Octadecylated SAM before HFNH4F treatment and bottom one are referenced relative to fresh H-Si(111)

107

Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure N2 gas from 100 to 400 degC Transmission infrared spectra were collected at 60 degC after each successive annealing with incident angle 70deg off normal The duration of heating at each temperature is 15min a) All spectra are referenced relative to fresh octadylated Si(111) surface at 60degC b) For each spectrum the reference spectrum is the one taken right after heating at the preceding temperature (differential spectra)

108

Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated Si(111) sample

a FTIR spectra of region of C-H stretching after annealing at different temperature b The dash line plots the integrated peak area of C-H stretching modes as a function of annealing temperature The points are normalized by the peak area measured prior to annealing

109

addition the sharper Si-H peak after heating from 300 to 350degC may suggest that Si-H

continues form and is less likely disturbed by the nearby environment due to removal of

more surrounding alkyl chains from the surface

The desorption behavior of octadecylated Si (111) is summarized in the Figure 57b

The dash line plots the integrated peak area normalized by the peak area of C-H stretching

modes prior to annealing as a function of annealing temperature In contrast to Sungrsquos work

at UHV condition we do not observe the alkenes probably due to the removal of alkenes

by continuous N2 purge flow during the annealing processes

535 Thermal Stability of COOH-Terminated-Si (111)

Figure 58 shows typical FTIR data after COOH-terminated Si (111) annealed at

stepped increasing temperature from 100 to 500degC In Figure 58a all the spectra are

referenced relative to freshly-grafted COOH- terminated Si (111) prior to annealing In

Figure 58b the same data as Figure 58a are presented in the differential manner ie for

each spectrum the reference spectrum is the one taken right after heating at the preceding

temperature

The peak of C=O stretching mode at 1714 cm-1 is very first mode to start decreasing in

intensity at 150 degC and a weak new peak appears at slightly higher frequency 1740 cm-1

This shift of C=O mode maybe due to the breaking of hydrogen bond between COOH-

groups upon annealing It further decreased after annealing at 200 degC simultaneously two

new peaks appeared at 1756 1823 cm-1 which can be possibility assigned to formation of

C(O)-O-C(O) between nearby COOH- groups although this reaction may not account for

all the observed loss of intensity of 1714 cm-1 A possible breaking of C=O may be also

undergoing The peaks at 1756 and 1823 cm-1 disappear after annealed at 350degC

At the 300degC the intensity of carbon-hydrogen stretching modes starts decrease and

the biggest loss occurs in the range of 400-450 degC There is no further change in intensity of

CH2 stretching mode from 450 to 500 degC indicating no further loss of alkyl chains

Comparing to the octadelyated Si(111) as we discussed earlier the COOH terminated

monolayers has higher thermal stability than n-alkylated Si(111) surface where alkyl

chains is completely desorbed from the surface at 400 degC (Note the difference of chains

110

length can not account for this higher thermal stability as the desorption donrsquot significantly

depend on alkyl chain length in the range from C6 to C18[13])

Accompanied with the loss of alkyl chains a new peak at 2072 cm-1 appears which is

assigned straightforwardly to Si-H stretching This strongly indicates that alkyl chains are

disassociated as a whole by cleavage of Si-C and regeneration of Si-H on the surface

Further annealing at 450 degC and higher temperature the intensity of Si-H stretching mode

decreases and a weak peak appears at 2270 cm-1 which is previously assigned to SiH with

insertion of oxygen into the Si-Si backbonds At the same time a broad weak band appears

in the region 900-1200 cm-1 representing the formation of SiO (with effective thickness 2

Ǻ or ~20 coverage in the form of islets of SiO) This evidence indicates that the surface is

only partially oxidized and part of surface is still covered with H-Si in the form of H-SiOx

after annealing at 500 degC

For clarity we also include the transmission infrared data recorded ex-situ using DTGS

detector with 74deg off normal incident angle transmission geometry As shown in Figure 59

the annealed COOH-SAMSi (111) is referenced against to clean H-Si (111) and

freshly-grafted COOH-SAM prior to heating respectively After hydrosilylation and

annealing there is a weak broad peak at 1664 cm-1 and 2269 cm-1 in consistent with in-situ

data And there is only less than ~5 carbon hydrogen remained on the surface As shown

in Figure 59c the negative peak of Si-H stretching mode at 2073 cm-1 has integrated area

~0007 cm-1 (~50 of integrated area of a full H-terminated Si(111) surface) implying that

there was at least ~50 of Si-H presenting on the freshly-grafted COOH-terminated

Si(111) before annealing which is in agreement with that the fact at most ~50 surface

Si-H of H-Si(111) can be substituted with alkyl chains due to the steric limit [3]

111

Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c

112

Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111) FTIR Spectra is recorded ex situ before and after COOH-terminatedSi(111) samples is annealed inside the chamber The spectra were measured at 74deg off normal using DTGS detector a freshly-grafted COOH-SAMSi(111) b annealed COOH-SAM Si(111) referenced relative to freshly-etched H-Si(111) c annealed COOH-SAM Si(111) referenced relative to freshly-grafted COOH-SAMSi(111)

113

54 Discussion

541 Alkyl Packing on the H-Si (111) surface (Theory and Model)

By comparing FTIR spectra of a freshly grafted n-alkylated Si (111) surface with spectum

of fresh H-Si (111) surface (both are referenced to SiO2 surafce) the area under the Si-H

stretching (2083cm-1) on surface revealed that 30 H-Si remains Because a slightly

oxidization is also observed during alkylation 70 is an upper limit of percentage of

hydrogen replaced by Si-C linkage In theory the maximum coverage is 50 due to the

steric interaction between fully extended chains The ordering of alkyl chains increases as

thee packing density increases The percentage of remained surface H-Si after alkylation is

~ 50 for ndashCOOH terminated surface where nearly no oxidization is discernable in the

infrared spectra This is well consistent with the theoretical calculation [15]

542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111)

We demonstrated that ndashCH3 terminated Alkyl C18 chain on silicon (111) is thermally

stable up to 150 degC Starting from 150 degC the chains start to desorb from the surface The

maximum loss of hydrocarbon occurs between 250 to 300 degC Accompanying with the loss

of hydrocarbon chains (indicated by the integrated area of CH stretching mode at

2800-3000 cm-1) a peak at 2076 cm-1 attributed to Si-H stretching mode appears

simultaneously and increases steadily in the intensity up to 350 degC Further annealing at

higher temperature above 350 degC results in loss of Si-H and reappearing of peak at 2260

cm-1 (H-SiO3) results in complete loss of hydrocarbon and appearance of a small broad

Si-O peak at ~1140 cm-1 This indicates the surface is not oxidized until annealing over 350

degC and the oxidization occurs in the way of insertion of oxygen into the Si-Si back-bond of

H-Si

A desorption mechanism has been proposed earlier for thermal decomposition of alkyl

Si(111) in the UHV environment [14] the alkyl chains are desorbed as a whole by cleavage

of surface Si-C bond accompanied by regeneration of the alkenes amd surface Si-H

114

31222322 )( )( CHCHCHCHHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (1)

Alternatively another competing pathway has also been proposed where Si-CH3 instead

of Si-H remains on the surface with cleavage of C-C bond However it was considered as a

minority mechanism [13]

322223322 )( )( CHCHCHCHCHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (2)

Our results obtained from annealing under N2 environment is consistent with the HREEL

data in UHV conditions[14] It directly supports that the alkyl chains are desorbed via

cleavage of Si-C bond with re-formation of surface Si-H The increase of Si-H peak

intensity cannot be explained by the removal of nearby alkyl chain in other mechanism (eg

pathway (2)) without cleavage of Si-C bond Because if pathway 2 is dominated remaining

Si-CH3 would still disrupt the dipole coupling of Si-H and we would not see the increasing

of Si-H mode Indeed the peak position of Si-H is observed at 2080 cm-1 with a shape of

sharp spike suggests that local formation of dipole coupling between neighboring Si-H

groups on a terrace It has been previously shown that Si-H stretching modes is red shifted

from 2083 cm-1 to 2073 cm-1 and broadened due to disruption of dipole coupling of Si-H on

a terrace [16-18] In this picture the amount of removed alkyl chains is proportional to the

re-formed Si-H The presence of Si-H suggests that desorption of the alkyl monolayer

occurs through βminushydride elimination

Annealing above 350 degC and after ~80 alkyl chains are removed Si-H surface starts

to be oxidized by insertion of oxygen into the Si-Si back-bond The source of oxygen may

be due to impurity oxidant in either purged N2 gas or water absorbed inside of chamber

The appearance of H-SiO3 is consistent with previous study of oxidization of hydrogen

terminated silicon with presence of oxidant For instance a study of reaction of O2 with

H-Si(111) found that no oxygen initially inserted into Si-H bond to form Si-OH instead

various H-SiOx species formed [19 20] Another study of water reaction on H-Si(100)

under ultrahigh vacuum has shown that oxidization of Si-Si bonds occurs at both room

115

temperature and elevated temperatures but without breaking Si-H bond likely due to

14eV stronger bond energy of Si-H than bulk Si-Si[21]

The slow oxidization of surface indicated by the small Si-O peaks at 1000-1300 cm-1

and H-SiO3 peak at 2260 cm-1 maybe attributed to the minimized oxidant impurity and

stability of H-Si surface They are not sufficient to drive fast oxidization at the annealing

temperature A previous study of initial stage of oxidization of hydrogen-terminated

silicon in the water or humidity air proposed two-stage oxidization with different

oxidization rate [22 23] The Si-Si back-bond instead of Si-H is first attacked by attracted

water molecule to form H-SiOx and the oxidization is slow (Induction Period) As Si-OH

coverage increasing it could join with adjacent Si-OH to form Si-O-Si bridge groups

These groups are argued to be responsible to more rapid oxidization at the second stage [22

23]

543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)

Comparing to n-alkyl Si(111) SAM COOH-SAMSi(111) shows higher thermal stability

The -CH2- stretching mode of alkyl chains of ndashCOOH SAM only has minor changes upon

annealing to 350 degC while at the same temperature ~80 alkyl chains is removed from

n-alkylated Si(111) surface The largest loss of -CH2- occurs between 400ndash450degC The

infrared spectra clearly show that a chemical transformation of carboxyl-termination prior

to the thermal desorption of hydrogen-carbon chains occurs At the lower temperature

between 200-250degC a decrease of integrated area of C=O mode and the simultaneous

appearance of two peaks at 1726 and 1823 cm-1 suggest a formation of anhydride from

condensation of two neighboring COOH groups (Figure 510)[24] With increasing

temperature to 300degC the largest loss of C=O occurs and the peaks at 17261823 cm-1

remain nearly unchanged instead of increasing This may be explained as anhydride group

became unstable at this temperature The fact that no spectroscopic feature related with

C=O (1400-1700 cm-1) is observed suggests the C=O is chemically transformed or

removed from surface

116

In the most recent independent study of Faucheux et al [25])2 they postulated that C-C

bond between the alkyl skeleton and the anhydride group will break first due to the C-C

bond polarization upon annealing at this temperature range 250-300 degC leaving a saturated

hydrocarbon chains bond to two surface silicon They then attributed the enhanced thermal

stability to the formation of this type of paired chain structure Although this two-end chain

mode seems reasonabe to explain observed enhanced stability there several issues with

this mechanism it lacks direct evidence that how C-C bond forms between two

neighboring chains In addition the steric interaction between chains was not considered

and it may prevent formation C-C bridge on the top of chains (C-C ~154 Aring)

Our results show a similar behavior except that the loss of majority of C=O occurs at

250-300 degC while they are observed at 200-250 degC We observed that anhydride formed at

250 degC is completely removed upon annealing to 350degC while they observed it at lower

temperature at 300 degC3

Anhydrides are formed by dehydration of carboxylic acid with eliminating water

between two carboxylic acid groups Anhydride is pretty reactive acid-derivative like acid

chlorides but less reactive than acid chlorides anhydride can undergo many of the same

kinds of reaction as acid chlorides In our experiment at 250degC ~25 C=O carboxyl

stopped accompaning with the formation of anhydride While at 300degC additional ~40

C=O was lost while there were no more anhydride forms accompanied with the loss of

hydrocarbon It could be either due to the instability of anhydride or there maybe exist

other mechanism accounted for the loss of C=O annealing at this temperature For instance

they could be attributed to removal of single non-paired carboxyl chain by cleavage of Si-C

bond This is consistent with what is found early in the case of n-alkylated Si(111)

annealing at 250-300 degC leads to removal of majority alkyl chains by cleavage of Si-C

R-COOH + R-COOH + heat --gt R-C(O)OC(O)-R + H2O

2 Our work is carried independently with their research At the time we have all data and prepared for a publication they published their results right before us 3 Note the temperature range difference between us may be attributed to the way to measure sample temperature

117

Furthermore we notice that the quantitative analysis of our experimental data in Figure

511 has a very similar pattern to the simulated result of desorption of two-end chains[25]

Similar to the thermal decomposition of n-alkyl chains on Si(111) a increase in Si-H

stretching mode accompanying with loss of -CH2- indicates that desorption of the alkyl

monolayer occurs through β-hydride elimination In contrast to thermal decomposition of

n-alkyl chains on Si(111) where the loss of H-Si and appearance of H-SiO3 is observed

upon annealing to 400 degC H-Si stretching mode decreases while H-SiO3 is barely observed

during the process of heating COOH-SAM The Si-H starts to decrease when annealing

temperature is as lower as 250 degC This might be due to the excessive oxidant existed on the

surface with hydrophilic ndashCOOH groups(eg release of pre- hydrogen bonded water upon

heating or H2O formed from transformation of carboxyl to anhydride) And the larger

amount of Si-O is observed in the range 1000-1300 cm-1 suggesting more silicon

oxidization presenting on the annealed COOH-SAM surface This higher degree of

oxidization and lack of intermediate product H-SiO3 probably is due to exist of oxidant

from decomposition products (H2O) of carboxyl group

Based on this evidence we propose the following mechanism as shown in Figure 510

At the lower temperature (150-250 degC) -COOH functional groups are undergoing

chemical transformation to anhydride at the place where two nearby ndashCOOH exist With

temperature increasing to 250-300 degC the single carboxyl alkyl chain that has no chance to

form anhydride will be removed from the surface with the removal of whole alkyl chains

through Si-C cleavage Further annealing at 350 degC leads to degradation of anhydride and

may form bridge C-C or C-O-C connecting two neighboring chains and release CO and

CO2 The paired chains have higher thermal stability can be desorbed from surface until

annealing to 400 degC and above

118

Si

OHO

Si

OOH

Si

OO

Si

O

CO2CO

O

SiSi

Figure 510 Possible Reaction during Annealing

Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen environment

Quantitative analysis of the change of integrated area stretching mode of CHx of carboxyl-C12 SAM and n-alkylated C18 SAM (Note here length of chains is not matter But for a more rigorous discussion a result from C12-SAM will be given later) Both are normalized to area of CH2 from fresh grafted SAM

119

54 Conclusion We demonstrated that organic self-assembled monolayers (with -CH3 and ndashCOOH

terminal groups) can be thermally grafted on the H-Si (111) via a Si-C bond There is much

less surface oxidation occurring during the formation of ndashCOOH SAM than that of ndashCH3

SAM possibly because trapping of trace of oxidant (ie H2O) by the -COOH terminal

group prevents it from attacking silicon substrate The ndashCH3 SAM shows crystal-like

ordered structure The ordering of alkyl chains of ndashCH3 SAM depends on the packing

density the higher packing density the better ordering is Grafted ndashCOOH SAM is found

less ordered than ndashCH3 SAM possibly due to the interaction between the ndashCOOH head

groups

The study of thermal stability of the organic layers by annealing samples under N2

atmospheres shows that both types of SAMs desorp from surface through cleavage of Si-C

bond (β-hydride elimination reaction) -CH3 SAM is thermally stable up to 200degC

Annealing to higher temperature results in desorption of alkyl chains from surface

accompanied with re-formation of Si-H Further annealing to higher temperature at 400degC

hydrocarbon is completely removed from surface confirmed by the FTIR spectra and final

surface is partially oxidized with insertion of oxygen into the Si-H back-bond

COOH-SAM shows enhanced thermal stability compared with o ndashCH3 SAM The

alkyl chains are thermally stable up to 350 degC and are completely desorped from surface

after annealing at 450 degC COOH functional group is found to play a role in enhancing

thermal stability of layers It is found that anhydride forms between -COOH groups of two

neighboring chains before the desorption of alkyl chains occurs The elimination of the

anhydride by anealing at 300-350degC may lead to formation of an end-paring of the grafted

chains with C-O-C or C-C bridging on the top of chains The end-pairing chains

correspond to the enhanced thermail stability

120

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

4 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

5 Porter MD et al Spontaneously organized molecular assemblies 4 Structural characterization of n-alkyl thiol monolayers on gold by optical ellipsometry infrared spectroscopy and electrochemistry J Am Chem Soc 1987 109(12) p 3559-3568

6 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

7 Boukherroub R et al Thermal hydrosilylation of undecylenic acid with porous silicon Journal of the Electrochemical Society 2002 149(2) p H59-H63

8 Asanuma H GP Lopinski and H-Z Yu Kinetic Control of the Photochemical Reactivity of Hydrogen-Terminated Silicon with Bifunctional Molecules Langmuir 2005 21(11) p 5013-5018

9 Sieval AB et al Highly stable Si-C linked functionalized monolayers on the silicon (100) surface Langmuir 1998 14(7) p 1759-1768

10 Boukherroub R et al New synthetic routes to alkyl monolayers on the Si(111) surface Langmuir 1999 15(11) p 3831-3835

11 Liu YJ NM Navasero and HZ Yu Structure and reactivity of mixed co-carboxyalkylalkyl monolayers on silicon ATR-FTIR spectroscopy and contact angle titration Langmuir 2004 20(10) p 4039-4050

12 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

13 Faucheux A et al Thermal decomposition of alkyl monolayers covalently grafted on (111) silicon Applied Physics Letters 2006 88(19)

14 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

15 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

16 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

121

17 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

18 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

19 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

20 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

21 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air Journal of Applied Physics 1994 76(4) p 2157-2163

22 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

23 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

24 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 25 Faucheux A et al Mechanisms of thermal decomposition of organic monolayers

grafted on (111) silicon Langmuir 2007 23(3) p 1326-1332

122

6 Chapter 6 Selective Atomic Layer Deposition (ALD) of

Metal Oxide on SAMs with Different Functional Groups

61 Introduction

Scaling down of integrated circuits requires the replacement of SiO2 gate dielectric in

the field effect transistor because leakage current exponentially increases with decreasing

SiO2 film thickness and results in device failure The high- and low- permittivity dielectrics

are been investigated as a substitutes of SiO2 for years The deposition of high quality

high-k film on the demanding geometry is essential for the applications Aomic layer

deposition (ALD) is the one which can meet these requirements

ALD is a powerful ultra-thin film deposition technique offering excellent large-area

uniformity and conformality It enables accurate control of film thickness composition

with demanding geometry at the atomic level The ALD process uses molecular precursors

such as metal complex for growth of metal-oxide film Each precursor behaves as a

self-limiting reaction at the surface and is deposited in monolayer per cycle The film

quality and growth rate of ALD process greatly depend on the initial surface chemical

condition A surface with proper chemical state is required for molecular precursor to

nucleate on the surface and to initiate a well-controlled linear growth

For this purpose a thin SiO2 surface terminated with hydroxyl group was used to as

starting surface for high-k film deposition which actually partially compromises the

advantage of using high-k by reducing the effective gate stack capacitance Recently ALD

of high-k has been found to be able to perform on oxide-free H-passivated silicon (HSi)

[1-4] However because silicon has high affinity toward oxygen interfacial SiO2 is easily

formed between the silicon and high-k layers during the ALD process[1 2] in spite that

H-passivated silicon shows high resistance to oxidization under normal lab condition in

123

ambient environment and even in pure O2 or H2O environment at temperature as high as

300degC ([2 5]) Furthermore H-terminated Si has relatively poor nucleation characteristics

comparing to hydroxyl terminated SiO2 surface resulting in non-linear film growth and

formation of discontinuous and electrically leaky gate stack To solve the problem of poor

nucleation H-terminated silicon surface a starting surface with reactive nucleation sites

and good oxygen barrier is under the demand

Semiconductor passivated by alkyl chains through Si-C bond has shown high stability

in the air or water The SAMs serve as diffusion barrier to protect surface from oxidization

and other chemical reaction The surface cannot be oxidized in the air for months In this

work we explore to use alkyl-SAM as alternative solution for the ALD issues addressed

above First SAMs could provide a well-defined interface surface and act as a barrier for

oxidant diffusion Second at the same time by using broad available organic chemistry

methods SAMs can be easily tailored with different chemical functional groups in order to

meet different applications It can enhance the nucleation process and promote a linear

growth dynamics on the top of SAMs with reactive terminal groups such as hydroxyl and

carboxyl group It can be also used as passivated inert layer with -CH3 termianl group to

block unwanted reactions

Besides the direct applications of SAMs in ALD process SAMs can be used as model

surface to study organic-inorganic interface Organic-inorganic interface plays increasing

important role in molecular electronics micro-interconnect technology such as

carbon-based low-k dielectrics and metallicinorganic diffusion barrier However

elucidation of fundamental interaction at the interface is very difficult and not well

understood because of nature of complexity and lack of precisions for the organic surface

(such as organic polymer surface having mixed terminal groups) High quality and

well-ordered organic SAMs [6] provide a known surface density of uniformly organized

functional groups at the interface and ability to tailor surface properties by varying terminal

functional group

In this study we apply ALD of Al2O3 on SAMs surface with different terminal groups

including OH- NH2- COOH- CH3- The controllable surface nucleation is studied

including both substrate-enhanced growth and substrate-inhibited growth The interaction

between functional groups and TMA precursor has been studied systematically in this

124

study Because the effect of substrate on the nucleation and growth is seen in the beginning

of the ALD growth our current study focuses on the very first 10 ALD cycles

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

SiH

SiSiH

SiSiH

SiSiH

SiSiH

SiSiH

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

ALD

High k Metal Oxide

hydrosylation

Figure 61 SAM Assisted Atomic Layer Deposition of Metal

Oxide on Silicon Surface

125

62 Experimental

621 Materials Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular sieve 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional crosslinker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and N2(g) bubbling for at least 1 hour in

order to remove the dissolved water and oxygen before use

622 Preparation of Self Assembled Monolayers

6221 Preparation of H- Terminated Si(111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95Cdeg for at least 25 minutes to remove the surface

contamination following by thoroughly DI-water rinsing The cleaned sample was then

placed in the 10 HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the

silicon oxide layer and produce an atomic flat H- terminated Si(111) surface During the

etching step the wafer was agitated occasionally to remove the bubbles formed on the

surface After NH4F etching sample is rinsed thoroughly with DI water and dried under a

stream of N2 (g) The sample was then immediately removed into N2 purged FTIR

126

spectrometer chamber for taking reference spectra or into a N2 purged glove box where all

further chemical functionalizations were conducted

6222 Preparation of ndashCH3 Terminated Si (111) Surface

Neat octadecene was pre-treated with 3Ǻ molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs with minimized oxidization of the

silicon surface After transferring the octadecene liquid into the three-necked flask loading

H-Si (111) sample and assembling the condenser tube in the N2 glove box the whole set

was sealed and moved out from N2 glove box to a hood The octadecene was then

continuously purged with N2 (g) for additional 30 min before heating it up to reaction

temperature at 200 degC using silicon oil bath

6223 Preparation of -COOH Terminated Si (111) Surface

Neat undecylenic acid was pre-deoxygenated for at least 1 hour while heating at 50 degC and

then cooled to room temperature The freshly prepared silicon H-Si (111) was then placed

under N2 in a Schlenk three-necked flask containing the previously deoxygenated neat

undecylenic acid and allowed to react at 120degC for 2 hours The excess nonreacted reagent

was removed after the reaction and sample was rinsed with THF dichloromethane hot

10 acetic acid and DI water and then dried under a stream of N2 (g)

6224 Preparation of ndashOH Terminated Si (111) Surface

-OH terminated SAM is reduced from a ndashCOOH SAM by immersing freshly prepared

ndashCOOH SAMSi(111) into the 01M LiAlH4 in anhydrous THF for 6 hours After pouring

out the excess reaction solution sample was rinsed with THF and dried under a stream of

N2 (g)

6225 Preparation of ndashNH2 Terminated Si(111) Surface

Two routes were developed to prepare ndashNH2 Terminated Si(111) surface Both are

derivatives of ndashCOOH SAM Method I first utilizes conversion of the acid functional

group to succinimidyl ester as following freshly prepared ndashCOOH SAM was activated by

127

immersing into NHSEDC solution (NHS 01M and EDC 04M in deionized water) and

allowed to react at room temperature for 1 hour to form Si-C10COONHS surface The

resulting surface was rinsed copiously with deionized water and then dried under a stream

of N2 (g) This reaction is pretty robust and the completion of conversion is confirmed by

FTIR spectroscopy Second the NHS-terminated surface was then reacted with

ammonium by immersing into 01M ammonium solution in dioxane for 2 hours with

formation of Si-C10-CONH2 a primary amide terminated surface After reaction the

sample was rinsed copiously with THF and then dried under N2 stream The last step was

involved reducing primary amide to primary amine by immersing ndashCONH2 SAM into

01M LiAlH4-THF solution for 6 hours followed by rinsing with THF 10 HCl aqueous

solution and deionized water then dried under a stream of N2

Method II is chlorination-based method The routine is shown in the Figure 62 In a

N2 purged glove box freshly prepared ndashCOOH SAM was convert to ndashCOCl by immersing

into 01M oxalyl chloride solution prepared by adding 100μL oxalyl chloride (2M in

CH2Cl2) into 20ml anhydrous CH2Cl2 then add one drop of anhydrous DMF for 3 hours

After reaction is completed the -COCl terminated sample rinsed copiously with anhydrous

CH2Cl2 and let it naturally dried in N2 glove box Then sample was transferred into a clean

test tube sealed in the N2 glove box and transferred to another glove box for further amine

modification or to FTIR chamber for IR measurement The operation process must be

careful to avoid exposure to air or moisture The freshly prepared ndashCOCl SAM sample was

placed in the Teflon beaker containing 5ml 05M NH3 dioxane solution and 2ml anhydrous

triethylamine The beaker was then covered with Para film and left for overnight in the N2

glove box After the reaction the excess solution was poured out and sample was rinsed

with deionized water and then dried in N2 flow

The chemical reactivity of -NH2 terminated SAM was confirmed by reacting it with

PMPI a common cross-linker used to covalently immobilizing DNA or protein on the

ndashNH2 terminated surface The freshly prepared -NH2 SAM was placed in the PMPI

solution prepared from dissolving 50 mg PMPI power in 20ml anhydrous acetonitrile for

24 hours Sample was rinsed with excess solvent acetonitrile and dried in a stream of N2

128

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

PCl5

NH3

LiAlH4

Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM

623 Characterization of the Self-Assembled Monolayers Transmission Fourier transform infrared spectrometry (FTIR) was carried out in both

ex-situ and in-situ For ex-situ setup a Nicolet Magna 860 spectrometer equipped with

rotating sample holder and DTGS detector was used The spectra were recoded at 4 cm-1

resolution 1000 scans for each loop of total 5 loops The spectrometer was constantly

purged with N2 (g) while acquiring data

624 Atomic Layer Deposition Chamber Set Up UHV apparatus More detailed information with schematic drawing of ALD chamber and in-situ IR setup is

described in the Chapter 2

129

63 Results 631 Preparation and Characterization of COOH- terminated

SAMSi(111) (same as Chapter 5)

632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111)

Figure 63 shows FTIR spectra of freshly-grafted COOH-SAMSi (111) after exposure to

TMA for various times and Figure 64 is zoomed in region of 1300-1900 cm-1 The spectra

are presented in the differential manner for each spectrum the reference is the one taken

after preceding exposure Upon the first 1s exposure to TMA at 100 degC the stretching

mode C=O of acid group at 1714 cm-1 completely disappears with appearance of two

strong bands centered at 1477 1581 cm-1 with a shoulder at 1610 cm-1 They can be

assigned to the stretching mode of C=O in acid salt structures [7] The peak at 1217 cm-1 is

assigned to symmetric deformation CH3 modes of Al-CH3 previously [2] [8-10] and it is

not Si-CH3 bonding The corresponding CH3 asymmetric mode at 1467 cm-1 is within the

strong peak of the stretching mode of C=O and cannot be distinguished from the spectra

The peak at 706 cm-1 is attributed to the CH3 rocking modes of Al-(CH3)3 [11 12] and small

positive features at 2800-3000 cm-1 is assigned to the C-H stretch of Al-(CH3)3

Further exposure to TMA the spectra have only slightly changes at the region around

1580 cm-1 indicating most reactions on the surface are completed after the first TMA

exposure It is possibly due to peak shift resulting from continuous change of environment

of C=O and forms more salt-like structures [7] There is no more change at 1217 cm-1

indicating no more Al-(CH3)3 absorbed or desorbed from the surface This self-terminating

growth is an important condition for ALD growth of TMA

In order to further investigate the surface bonding between Al-(CH3)3 and COOH-

group the 10s TMA exposed COOH-SAMSi (111) sample is removed from ALD

chamber and immersed into the hot HCl solution for 10 minutes Figure 65 shows the

FTIR spectra after 10s TMA exposure and HCL treatment Both are referenced relative to

fresh-grafted COOH- terminated Si (111) surface The features related to Al-CH3 706

1217 cm-1 did not appear due to the exposure of the sample to the atmosphere during the

sample unloading and

130

1000 1500 2000 2500 3000

δSiH

υCH3~706 υC=O

4th TMA 5s

3rd TMA 3s 2nd TMA 1s

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

TMA on COOH-SAM Saturation 10-18-2006

1200 Al-CH3

υCH2

1st TMA 1s

COOH-SAM

10-3

777

Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to

TMA for various time

Freshly-grafted COOH-SAMSi (111) were installed in the ALD chamber and exposed to TMA (gas phase) for various times FTIR Spectra are recorded in situ (except the bottom one) after each exposure with different exposure time using MCT-B detector The spectra are represented in a differential manner except the bottom one for each spectrum the reference is the one taken after preceding exposure The spectra on the bottom is recorded ex-situ at 74ordm incidence angle using DTGS detector and referenced against to freshly etched H-Si(111) surface

131

1500 2000

-0002

-0001

0000

0001

1200

C

OO

C

OO

Abs

orba

nce

Wavenumber (cm-1)

4th TMA 5s

3rd TMA 3s

2nd TMA 1s

1st TMA 1s

5x10-4

1477 1577

υC=O

Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900

cm-1

132

transportation resulting in quick hydrolysis of Al-CH3 to Al-OH Several bands appear at

723 870 and 1056 cm-1 They are assigned to Al-O related compounds due to hydrolysis of

Al-CH3 The two strong bands centered at 1477 and 1558 cm-1 correspond to stretching

mode of C=O in the form of stable acid salt or -C (O) OAl The small broad peak centered

at ~870 cm-1 is assigned to C-O-Al After hot HCl solution treatment as shown in the

Figure 65c both bands at 1400-1600 cm-1 and 870 cm-1 completely disappeared and the

peak corresponding to stretching mode of C=O of -COOH reappears at 1714 cm-1 This

indicates that the surface is recovered to the initial COOH- terminated Si(111) surface

(Figure 65a) with ~30 reduced concentration comparing to the initial ndashCOOH

terminated surface (ie the integrated area of 1714 cm-1 is ~70 of initial integrated value)

The recovery of COOH- groups can be possibly explained as acid salt -COO-Al+ complex

is converted back to -COOH with formation of AlCl3 under strong acid environment This

strongly suggests that TMA forms ionic bond with surface carboxyl group Meanwhile the

fact that only ~70 -COOH recovers and the bands at 1056 and 723 cm-1 which are

assigned to the C-O and Al-O stretching mode of Al-O-C respectively (may be compared

with Si-O-Al) remain unchanged suggest that the ~30 COOH loss maybe due to

permanent breaking up C=O and formation C-O-Al bond when ndashCOOH react with TMA

molecule

133

1000 1500 2000 2500 3000

c

bC=O in salts

sim870sim723 υCH2υC=O

HCl posttreated TMA on COOH-SAM 10-18-2006

δSiH

υSiH2083

Hot HCl post treated

10s TMA

COOH-SAM

Wavenumber (cm-1)

Abso

rban

ce

a

10-3

Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl

solution treatment

FTIR Spectra of COOH-C12 SAMSi(111) is recorded ex situ using DTGS detector After a freshly prepared ndashCOOH SAM b total 10s TMA exposure on COOH-SAM and c a post-treatment by immersing TMA exposed COOH-SAM sample into hot HCl solution for 10min at 80 degC All spectra are referenced relative to freshly etched H-terminated Si(111) surface The ratio of recovery of C=O at 1712 cm-1 is ~ 70 (A~00330046) The peaks at 723 cm-1 and 870 cm-1 appear after TMA exposure The 723 cm-1 remains while peak at 870 cm-1 disappeared after HCl treatment They may be assigned to Al-O-C and Al-OH respectively 723 cm-1 is not seen in the ndashCOOH-SAM but appears only after TMA exposure So it may not be due to C-C vibration from chains with four carbons and more (720-741 cm-1) [7] It may be related to the irreversible change during reaction between TMA and ndashCOOH SAM

134

633 ALD Growth of TMA on COOH-terminatedSi (111) ALD on polymer is one of its important applications However ALD on polymer is

complicated due to the absence of necessary chemical functional groups or co-existence of

various chemical groups Therefore ALD on a well defined organic self-assembly

monolayers with controllable surface chemical function groups may provide good model

for study of ALD of inorganic material on organic surface

The nucleation and growth of Al2O3 ALD on COOH- terminated Si (111) are studied

using in situ Fourier transform infrared spectroscopy COOH-terminated Si (111) has

negligible oxide formation during thermal grafting process with forming stable Si-C

surface bond in contrast to alkylated Si (111) where formation of oxide is a common

problem In addition the chemically active COOH- group can enhance nucleation of TMA and form a high quality Al2O3 film Due to the intrinsic steric limitation of alkyl chain

packing on Si (111) surface the packing density of COOH will be close to the density of

-CH3 terminated SAM TMA will not react with H-terminated silicon until 300 Cdeg at the

temperature in which interfacial oxidization becomes unavoidable By introducing

ndashCOOH SAM reactive terminal group can significantly reduce required temperature for

ALD growth to as low as 100degC in our current study which is far lower than the thermal

decomposition temperature 350degC for organic ndashCOOH SAM (Referring to previous

chapter)

Figure 66 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100

degC for the first 10 cycles All spectra except the one on the bottom are referenced to

freshly-grafted COOH- terminated Si (111) at 60degC The spectrum on the bottom is the

spectrum of freshly-grafted COOH-SAM referenced to clean H-Si (111) surface The

initial COOH terminated Si(111) surface shows its characteristic sharp peak at 1714 cm-1

the C=O stretching mode of acid group in the form of hydrogen bonded dimmer[7] After

first TMA 10s exposure at 100degC this peak completely disappears and two new bands

appear

135

1000 1500 2000 2600 2800 3000

-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

002

TMA 10cyc COOH-SAM S29A 10-13-2006

10 cyc5 cyc

D2O 3

TMA 3 D2O 2TMA 2D2O 1TMA 1

~700 ~930TOLO Al2O3

COOH-SAMHSi(111)

C=O in SaltsAl-CH31213 υC=O

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

10-3

δSiH

(a)

00057

1000 1500 2000 2400 2600 2800 3000

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

1213

Abs

orba

nce

Wavenumber (cm-1)

Al-CH31213

OD~2743

TMA 10cyc COOH-SAM S29A Differential 10-13-2006

Al-O943

10 cyc

5 cyc

D2O 3

TMA 3

D2O 2

TMA 2

D2O 1

TMA 1

(b)

1213

Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111)

a all spectra are recorded in-situ using MCT-B detector and referenced against to pre-annealed COOH-SAM right before the first TMA exposure except the bottom one Bottom one is recorded ex situ using DTGS detector and referenced relative to fresh H-Si(111) b FTIR difference spectra of each different spectrum is referenced to the spectrum recorded immediately before the exposure

136

simultaneously at 1476 and 1581 cm-1 indicating that TMA immediately reacts with all

surface accessible COOH- groups and a homogenous nucleation may be achieved As we

have also shown earlier in the Figure 63 the extended exposure to TMA does not add more

TMA to the surface This is important for a high quality layer-by-layer atomic layer

deposition The 1217 cm-1 is clearly assigned to deposited -Al(CH3)The broad peak

centered at ~740 cm-1 could be assigned to mixed Al-O modes and CH3 rocking mode of

Al-CH3 After first D2O exposure a immediately decreasing in 1217 cm-1 (Al-CH3) and

~706 cm-1 indicates hydrolysis of Al-CH3 The peaks at 1476 and 1581 cm-1 slightly

decrease in intensity accompanying with two positive peaks appearing at ~1460 cm-1 and

~1624 cm-1 This could be explained as peak shifting of 1476 and 1581 cm-1 caused by the

environment changes of C=O after hydrolysis of Al-CH3 A broad weak band centered at

2743 cm-1 is assigned to OD stretching The introducing water pulse to TMA exposed

COOH-SAM doesnrsquot result in any subsurface oxidization This differs from the growth of

Al2O3 on HSi for which a significant oxidization was observed immediately after water

exposure [2]

TMA reacts with surface Al-OD groups to form Al-O-Al-CH3 after the second exposue

The peak shifting observed after first D2O exposure now shifts back to before D2O

exposure indicated by the negative peaks at ~1460 cm-1 and ~1624 cm-1 and positive peak

at 1476 and 1581 cm-1 The second D2O exposure then replaces Al-CH3 with Al-OD again

as expected[13] and the reversible peak shifting re-appears in the region 1400-1650 cm-1

In following ALD cycles the changes in the region 1400-1650 cm-1 are continuously

observed but becoming smaller with increasing number of TMAD2O cycles This strong

suggests that these features are attributed to interfacial bonding and become less affected

with increasing thickness of Al2O3 film Two bands at ~730 and ~930 cm-1 steadily

increase in intensity with the number of cycles and slightly blue shifts They could be

assigned to amorphous TOLO phonon mode of Al2O3 film The frequency of LO mode is

slightly lower than 954 cm-1 for amorphous Al2O3 ALD grown directly on silicon surface

[2]

No measurable modes are found in the range 1000-1200 cm-1 and ~800 cm-1 which are

assigned to Si-O or Al-O-Si Si-O-Si previously [14 15] And also there is no Si-CH3 mode

found at 1266 cm-1[9] These evidences clearly indicate neither TMA nor oxygen goes into

137

the siliconSAM interface All the ALD reactions are most likely strictly limited only to top

COOH- functional surface The SAM behaves as a good barrier for preventing diffusion of

oxidant into the interface In addition there is no apparent OD stretching observed at

2700-2800 cm-1 indicating no significant D2O incorporation into the Al2O3 film even after

10 ALD cycles

Figure 67 shows FTIR spectra of post-annealing effect on 10 cycles ALD grown Al2O3

film on COOH-SAM All spectra except the bottom one are referenced to the 10 cycles

ALD grown Al2O3 film prior to annealing The spectra on the bottom are referenced

relative to the pre-annealed (120degC) COOH-SAMSi(111) surface right before the first

TMA exposure The SAM is stable upon annealing at 300degC With increasing temperature

the SAM starts to degrade indicated by the negative peaks of carbon-hydrogen stretching

modes from alkyl chains of SAM at 2850- 2950 cm-1 and the biggest loss of CH2 occurs at

300-400 degC Concurrent with the loss of carbon hydrogen a small positive peak at 2055

cm-1 and negative peak at 2073 cm-1 appear at 400degC assigned to the interfacial H-Si

stretching modes perturbed by the environments and formation of new H-Si bond Further

annealing at higher temperature leads to the complete loss of surface H-Si

After annealed at 300degC the bands at 1400-1600 cm-1 assigned to C=O stretching

modes increases with simultaneously reduced intensity of bands at 1640-1700 cm-1

suggesting more interfacial bond formation after mild thermal treatment (differential

spectra is displayed for clarity) These bands then significantly reduced in the intensity

upon thermal treatment at 400 degC most likely caused by the removal of the whole alkyl

chains under this temperature It clearly shows no oxide silicon forms even when organic

SAM barrier already started to disassociate from the surface at 400 degC In fact the

simultaneous appearance of small positive peak at 2055 cm-1 and negative peak at 2073

cm-1 suggests that formation of surface H-Si generated from the disassociation of grafted

alkyl chains by breaking of Si-C bond is a desorption mechanism proposed under UHV

condition[16] In addition oxidized H-Si back-bond is not observed at 2200-2300 cm-1

Comparing to the results from post-annealing of pure COOH-SAMSi (111) in which the

loss of hydrogen and oxidization of silicon substrates occurs at lower temperature at

300-350 Cdeg the ALD-grown Al2O3 COOH-SAMSi (111) surface clearly shows the

138

higher resistance to oxidization the loss of hydrogen and oxidization of substrate occur at

400-500 degC This may be explained as the deposited Al2O3 film provides an additional

1000 1500 2000 2500 3000

10-3

600c

500c

400c

300c

10 cyc TMAD2O

υCH2υC=O υSiH

TOLO SiO2

TOLO Al2O3

~745

Abs

orba

nce

Wavenumer (cm-1)

~955

TMA 10cyc COOH-SAM Post anealed

(a)

-00054

Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)

FTIR Spectra were recorded in-situ after annealing at each annealing temperature All spectra are referenced relative to the pre-annealed (120 degC) ndashCOOH SAMSi before ALD deposition SiO2 didnrsquot appear until 500degC when large amount of hydrocarbon chains were removed from the surface This is a clear evidence that organic SAM serve as diffusion barrier to prevent Si substrate from oxidization A negative peak centered at 2071 cm-1 with integrated peak area -0054 is attributed to surface H-Si The peak position is significantly lower than 2083 cm-1 for a fully covered H terminated Si (111) surface This indicates decoupled H-Si stretching (Note the integrated area measured at 70ordm incidence angle using MCTB inside ALD chamber is slightly different from what is measured outside using DTGS detector at 74ordm incidence angle)

139

1000 1500 2000 2500 3000

(b)

2078

υAl-O

300- 60 Co

Wavenumber (cm-1)

600-500 Co

500-400 Co

TMA 10cyc COOH-SAM Post annealed S29A Differential 10-13-2006 Ab

sorb

ance

400-300 Co

5x10-4

υSi-O-SI

2053

Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on

COOH-SAM H-Si(111) post-annealed in ultra pure N2 gas from 300 to 600 degC

The spectra are same as what displayed in the Figure 67 They are shown in a difference manner FTIR spectra were recorded at each annealing temperature and are referenced to the spectrum recorded immediately before the annealing The surface Si-H and hydrocarbon chains are completely removed from surface at 500degC

140

1800 1900 2000 2100 2200

A 000732073

10cyc + 600 Co

COOH-SAM

Abs

orba

nce

Wavenumber (cm-1)

2x10-4

10-3

H-Si(111)

S29A COOH-SAM TMA ALD + Anneal DTGS

A 001592083υSiH

Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with

COOH-SAM and following 10 cycle TMAD2O growth and 600 degC annealing

FTIR Spectra were recorded ex-situ for freshly etched H-Si(111) and after thermally functionalization with COOH-SAM The COOH-SAM is then used as substrate for 10 cycles TMAD2O ALD growth and annealed up to 600 degC All spectra are referenced relative to SiO2 surface The top spectrum is shown in the different scale as indicated in the figure After functionalization with COOH-SAM the original sharp H-Si stretching peak at 2083 cm-1 is broadened and red-shifted to 2073 cm-1 The integrated peak area is reduced by ~ 50 indicating nearly half of surface hydrogen is removed After anneal at 600 degC remaining hydrogen is completely removed

141

Oxygen diffusion barrier to protect silicon substrate from oxidization after SAM

disassociated at the lower temperature The D2O trapped in the film if there is any could

escape at the lower temperature before SAM starts to desorp from the surface The D2O

impurity incorporated in the high-k film during ALD processes might provide oxygen

source to the interfacial SiO2 formation during post-annealing [4]

Further annealing at higher temperature at 500 degC the silicon dioxide is formed as soon

as the interfacial H-Si starts to be removed (400-500 degC) The growth of silicon dioxide and

the loss of interfacial H-Si continue with increasing annealing temperature Tere is about ~

07 nm silicon oxide formed (integrated area ~030) after 600 degC annealing The LO

phonon mode of SiO2 is broader and weaker than what is observed for chemically oxidized

SiO2 from RCA cleaning procedure indicating that this interfacial oxide is not

homogeneous [4] The oxidization of silicon subsurface is probably due to oxidant from

either the gas impurity or water trapped in the Al2O3 film while annealing There is no

noticeable H-SiO3 species observed during the whole annealing process With increasing

annealing temperature the LO phonon mode of Al2O3 shifts from ~930 cm-1 (as deposited

at 100 degC) to higher frequency at ~ 955 cm-1 (600 degC ) and the shape of peak become

slightly sharper indicating denser Al2O3 film forms upon thermal treatment This is

consistent with that annealing would not significant increases the crystallizing of

amorphous Al2O3

In summary -COOH SAM is very reactive with TMA and the nucleation is almost

completely within the first TMA exposure Although the ndashCOOH SAM is less ordering

than -CH3 SAM little or no penetration has occurred and the reaction is well confined to

the top of the surface The SAM is a good barrier to prevent either TMA molecule or

oxygen penetration into the underneath siliconSAM interface No oxidization is observed

during the whole ALD process Even after post-annealing of Al2O3 film grown on ndashCOOH

SAM the significant oxidization of Si substrate occurs only at the temperature ~500 degC or

higher Interestingly the deposition of Al2O3 thin film on ndashCOOH SAM increases the

thermal stability of SAM itself as well

142

634 ALD TMA on ndashOH terminated SAMSi (111)

6341 Preparation of HO-SAM

HO-SAM is prepared by reducing carboxyl group of freshly-grafted ndashCOOH SAM to ndashOH

group The Si (111) sample terminated with ndashCOOH SAM is immersed into LiAlH4 BHF

solution for 2 hours The longer immersion time in LiAlH4 may cause degradation of the

SAM layers while the reaction may not be completed if exposure time is too short Figure

610 shows FTIR spectra of the final HO-SAM and the starting ndashCOOH SAMSi (111)

surface The top spectrum shows the spectral changes of the surface after reduction of acid

group ndashCOOH to hydroxyl group ndashOH It is clearly seen that the characteristic peak of

carboxyl group the stretching mode of C=O of acid group at 1716 cm-1 is almost

completely disappeared after LiAlH4 treatment while no other new peaks appear in the

nearby region 1400-1800 cm-1 Meanwhile a close-up check of region 2800-3000 cm-1

shows the increase of CH2 stretching modes in the intensity at 2826 and 2855 cm-1 This

evidence strongly indicates that -COOH group is reduced to ndashCH2OH with adding two

hydrogen atom to C=O (The small shoulder at 2960 cm-1 may be induced by the impurity

during the whole process)

The broad bands at 1184-1300 cm-1 are consisted of several modes It could be clearly

seen in the differential spectra After the reduction of acid group to the primary alcohol the

two negative bands at 1243 1289 cm-1 are observed along with a negative peak at ~1412

cm-1 they were previously assigned to C-O-H in plane mode of acid group At the same

time the sharp peak at 1263 cm-1 is unchanged and is clearly seen in the spectra after

ndashCOOH is reduced to ndashOH This peak could be assigned to in plane deformation of O-H

[17] The earlier study has shown that this mode is broad strong at higher frequency at

1300-1500 cm-1 for pure or concentrated alcohols and becomes narrow and weak at lower

frequency ~1250 cm-1 for the diluted primary alcohols [7] The corresponding stretching

mode of O-H which is expected at ~ 3640 cm-1 is too weak to be detected at current

sensitivity level

The broad bands 1000-1200 cm-1 might be consisted of contributions from multiple

modes the oxidization of interfacial silicon and C-O stretching mode of alcohols The total

143

integrated area ~002 is less than 10 of integrated area of chemically oxidized silicon

with ~1 nm-thick SiO2

6342 ALD of Al2O3 on HO-SAM

Figure 611 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100 degC for

10 cycles on ndashOH SAM prepared directly by reducing fresh ndashCOOH SAM by LiAlH4 All

spectra are referenced relative to freshly-converted -OH SAMSi (111) at 60degC After the

first exposure of TMA the peaks corresponding to Al-CH3 are immediately seen in the

spectra including 2942 cm-1 (stretching) 1203 cm-1 (bending) and 706 cm-1 (rocking) The

integrated area of peak at 1213 cm-1 is 00034 only ~50 of that on the - COOH SAM and

SiO2 This indicates TMA nucleation on the ndashOH SAM surface is less than nucleation on

both - COOH SAM and SiO2 surface This is kind of expected from the preparation of -OH

SAM Since ndashOH SAM is converted from ndashCOOH SAM the resulting density of ndashOH

must be not higher than the density of ndashCOOH group which actually is physically limited

by the steric interaction of alkyl chains (only ~50 Si-H sites are grafted with alkyl chains)

Therefore the estimated surface density of ndashOH group of ndashOH SAM should be less than on

hydroxyl terminated regular SiO2 surface Second the reactivity of C-O-H at the end of

alkyl chain may be less than hydroxyl group Si-OH on the silicon dioxide surface Third

the spatial distribution of ndashOH on ndashOH SAM is more regular than ndashOH on the SiO2 surface

based on the preparation of ndashOH SAM This can be supported by the shape of Al-CH3 peak

is less well defined when Al-CH3 is grown on SiO2 surface (FWHM 1998 cm-1 when

grown on ndashOH SAM) The peak position of Al-CH3 is 1202 cm-1 on ndashOH SAM and 1212

cm-1 on SiO2 respectively After first TMA exposure a complicated spectra feature appears at 2800-3000 cm-1

including positive peaks at 2942 and 2889 cm-1 and two negative peaks at 2853 and 2923

cm-1 These could be interpreted as imposition of four modes The two positive peaks are

144

1000 1500 2000 2500 3000 3500

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

υSi-H

υCH2δCO-H

1263

υC=O

1716

2952 2926

differential

COOH-SAM

HO-SAM

S28A HO-SAMSi(111) Preparation

Wavenumber (cm-1)

A

bsor

banc

e

Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM

FTIR spectra of HO-SAM prepared from reducing freshly-grafted COOH-SAM on H-Si(111) by using LiAlH4 solution The two spectra on the bottom are referenced against the freshly etched H-Si(111) surface The differential spectrum on the top of panel has shown that the spectroscopic changes after conversion from ndashCOOH SAM to ndashOH SAM Briefly negative peak at 1716 cm-1 indicates removal of C=O and positive peak at 2952 and 2926 cm-1 is attributed to the stretching mode of added -CH2 group by hydroboration

145

attributed to asymmetric and symmetric stretching mode of CH3 of Al-CH3 with

corresponding bending mode at ~1203 cm-1 The two negative peaks at the same position

of stretching modes of -CH2- from starting ndashOH SAM most likely are caused by

disturbance of original -CH2- vibration induced by the penetration of TMA precursor into

the SAM This disturbance is still presented after subsequent D2O exposure However this

spectral change is observed only in the first three TMAD2O cycles and become smaller

and smaller with increasing number of TMAD2O cycles As shown in the Figure 611b

(spectra displayed in the differential manner) after the 5 and 10 cycles of TMAD2O

exposure the changes in the region 2800-3000 cm-1 are barely seen These evidences

strongly suggest that the observed changes are mainly caused by the reaction on the

interface of SAMAl2O3 and a small amount of penetration of TMA into SAM possibly

occurs at the initial exposure The modes due to Si-CH3 at 2958 cm-1 (stretching) and 1270

cm-1 (bending) [2] are not seen in the spectra suggesting the TMA did not react at the

interface between silicon and SAM even though certain amount of TMA molecules more

or less penetrate into SAM After subsequent first D2O exposure there is no change at the

peak position 29272853 cm-1 corresponding to CH2 groups of alkyl chains of SAM while

the negative peaks are observed at the peak position 29372888 cm-1 indicating

hydrolysis of -CH3 groups of absorbed Al-CH3

As shown in the Figure 611a the sharp peak at 1264 cm-1 presented at the initial

HO-SAM (Figure 610) continually decreases in the intensity with the increasing number

of reaction ALD cycles Since this narrow peak is assigned to the O-H bending in the

primary alcohol C-OH it is reasonable to attribute this decrease to the replacement of

hydrogen with Al atom and formation of bond of C-O-Al between surface C-OH and

Al-CH3 This suggests that despite the evidence that penetration of TMA into SAM is

terminated within the first 3 cycles the nucleation of TMA on -C-OH surface is not

completed until 10 ALD cycles The incubation period is slightly longer than the growth

of TMA on ndashCOOH surface With increasing number of TMAD2O reaction cycles two

strong peaks at 690 and 908 cm-1 grow steadily in the intensity they are assigned to the

TOLO phonon modes of grown Al2O3 film respectively The integrated area of these two

modes is significantly less than that of Al2O3 film grown either on regular chemically

oxidized silicon surface or -COOH SAM terminated surface In addition the peak position

146

of LO mode of Al2O3 grown on HO-SAM located at 908 cm-1 is lower than LO mode of

Al2O3 film grown on regular silicon dioxide (940 cm-1) or COOH- SAM (938 cm-1) Since

LO phonon mode is sensitive to the density of Al2O3 film this evidence suggests Al2O3

films grown on the HO-SAM surface are less dense and have lower quality This is

consistent with HO-SAM that has fewer nucleation sites (ie surface HO- groups) than

SiO2 surface or less reactive chemicals than COOH- SAM The surface density of HO-

group converted from COOH- is no more than the initial surface density of ndashCOOH group

Even the converting reaction is not 100 completed the remaining -COOH still can react

with Al(CH3)3 precursor and hence cannot be used to explain the observed less dense

Al2O3 film formed on HO- SAM surface In summary both the number of nucleation sites

and chemical reactivity of surface function groups can affect the ALD film growth

147

1000 1500 2400 2600 2800 3000-0001

0000

0001

0002

0003

0004 (a)

~1264

minusOD2744 υCH2

ρCH3

TOLO Al2O3

10cyc TMAD2O

5cycTMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

Abs

orba

nce

Wavenumber (cm-1)

TMA 10cyc HO-SAMSi(111)

Al-CH3

~908~690

Al-CH32942

1203

1213

1000 1500 2000 2400 2600 2800 3000

-00008

-00004

00000

00004

00008

00012

00016

00020

1203

Abs

orba

nce

Wavenumber (cm-1)

υCH2υCH3

Al-CH3

TMA 10cyc HO-SAMSi(111) [Step Differential]

10cyc TMAD2O

5cycTMAD2OD2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

(b)

1213

Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on HO-SAMSi(111) a all spectra are referenced against to the pre-annealed HO-SAM right before 1st TMA exposure b the same spectra are shown in a differential manner Each difference spectrum is referenced to the spectrum recorded immediately before the exposure

148

635 ALD TMA on ndashNH2 terminated SAMSi(111) 6351 Preparation of NH2 -SAM

Because the reactivity of ndashNH2 with hydrogen terminated silicon surface either formation

of Si-N bond or possibly -NH2 catalyzed oxidization of surface the bi-functional NH2

terminated alkene can not be directly applied to the H-terminated silicon surface We

developed a new simple two-step route to produce -NH2 terminated monolayer with stable

Si-C bond starting from COOH- terminated Si (111) surface

N-hydroxysuccinimide (NHS) reagents act as cross-linkers and activate the carboxyl

group by forming an NHS-ester intermediate This is a characteristic esteriication reaction

widely used to prepare NHS-activated esters Figure 613 displays the FTIR spectra of

chemically modified Si(111) at each intermediated step starting from freshly grafted

COOH-SAMSi(111) surface The acid-terminated surface is chemically activated by

NHSEDC for 1 hour at room temperature It shows complete disappearance of C=O

stretching vibration mode of acid group at 1712 cm-1 and appearance of new peaks at the

nearby region 1815 1787 1747 cm-1 they are characteristics of the succinimidyl ester

group and assigned to the stretching modes of carbonyl Two strong peaks at 1211 and

1070 cm-1can be assigned to the C-O-C stretching modes in the succinimidyl ester group

These evidences further confirm that the majority of ndashCOOH group instead of C=C is

extended away from the surface and available for the subsequent chemical modification

because there does not appear to be any residual absorption at ~1715 cm-1 that would be

expected if more than 10 of the monolayer was in the form of silyl ester The NHS

activated surface is further reacted with ammonium in the dioxane solution at room

temperature As shown in the Figure 613 the disappearance of all characteristic features

of NHS at 1700-1820 cm-1 and 1000-1200 cm-1 and appearance of two bands at 1670 and

1620 cm-1 which are assigned to amide I (carbonyl stretching) and II (N-H deformation) of

primary amide ndashCONH2 group indicate that NHS is completely replaced by the amine

group -NH2 and forms primary amide termination After immersing in the LiAlH4 THF

solution for 2 hours the amide I and II bands are significantly reduced indicating the

majority of C=O of ndashCONH2 is reduced to amine -CH2NH2 The small peaks remaining at

1670 cm-1 is due to the incomplete reduction reaction The conversion rate of primary

amide to primary amine is not 100 under current experimental condition However the

149

longer immersing time in LiAlH4 will not be able to further increase the conversion rate

but might lead to the degradation of SAM (data are not shown) We have checked the

chemical reactivity of the resulting amine- terminated surface by applying PMPI a widely

used cross-linker molecule used for biological molecular attachments

Figure 614 shows the FTIR spectra of freshly prepared amine- terminated Si(111)

from NHS method mentioned above and the change of surface after immersing in PMPI

solution for 24 hours The appearance of features of PMPI at 1720 cm-1 indicates the

attachments of PMPI to the amine-Si (111) surface By comparing the integrated area of

strongest peak at 1720 cm-1 to the case where PMPI absorbed on APTESSiO2 surface at

the same experimental condition (24 hours room temperature) (Lapinrsquos unpublished data)

we found that they both give similar integrated area of 1720 cm-1 suggesting that the amine

terminated Si (111) via a Si-C at least has the similar surface chemistry reactivity in term of

reactivity with PMPI Even though the conversation efficiency of amide to amine may not

be 100 the surface should have similar chemical reactivity for the further applications

NO O

OH

N-Hydroxysuccinimide NHS

150

1000 1500 2000 2500 3000 3500-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

-CH2NH2SAM

-CONH2SAM

-COCl SAM

-COOH SAM

Amide II

1715

1801

1460

υSiO

Wavenumber (cm-1)

υSiH υCH2

Amide I

υC=O

υC=O

S31A-11092006- NH2-SAM [COCl Method]

Abs

orba

nce

1670

Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) FTIR spectra were recorded after each step After chlorination of -COOH the C=O in COOH at 1715 is completely disappeared with simultaneous appearance of new sharp peak at 1801 cm-1 corresponding to C=O in ndashCOCl Further ammonia treatment converts -COCl to -CONH2 with replacement of Cl by NH2 indicated by appearing of two characteristic peaks of amide show at 1670 and 1610 cm-1 and disappearing of peak at 1801cm-1 Final hydroboration step adds two hydrogen to C=O in the amide group ndashCONH2 forming -CH2NH2 Although the monolayer of amine is too weak to be detected in the IR spectra the disappearance of amide I and II indicates C=O is converted to CH2

151

1000 1500 2000 2500 3000 3500-0012

-0010

-0008

-0006

-0004

-0002

0000

0002

0004

~1420υC-N

12111070

υCH2 υSiH

υC=O

NH2CO-SAM

S35A-11152006- NH2-SAM [NHS] A

bsor

banc

e

Wavenumber (cm-1)

NH2-SAM

NHS+EDC

COOH-SAM

Amide II ~1600 Amide I

1670

Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

FTIR Spectra of freshly prepared H-Si(111) after functionalization with undecylenic acid (-COOH SAM) and COOH surface is activated by NHS + EDC for 2hours (NHS-SAM) The NHS activated surface further reacts with ammonium in dioxane solution to form primary amide (CONH2-SAM) Finally the amide terminated surface is reduce to form NH2-SAM by LiAlH4 (NH2-SAM) All spectra are referenced against to freshly prepared H-Si(111)

152

1000 1500 2000 2500 3000 35000000

0001

0002

0003

0004

0005

0006

0007

0008

NH2-SAM

S30A-11062006- NH2-SAM [NHS] + PNPI

Wavenumber (cm-1)

PMPI

A

bsor

banc

e

Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II) are verified by reacting with PMPI

The FTIR spectra of fresh NH2 ndashSAM is referenced relative to freshly etched H-Si(111) surface while the top spectra is recorded after immersed in PMPI solution and referenced relative to NH2 ndashSAM before PMPI immersion

153

6352 ALD TMA on NH2-SAM Figure 615 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashNH2 SAM prepared directly by reduction of primary amide ndashCONH2

SAM by LiAlH4 The ndashCONH2 terminated SAM can be prepared from ndashCOOH SAM

through two different routes as described in the last preparation section All spectra are

referenced against the spectrum of freshly prepared NH2-SAM recorded at 60 degC Similar

to other surface with reactive termination groups -OH or -COOH after the first TMA

exposure the peaks corresponding to Al-CH3 are immediately seen in the spectra

including 2942 cm-1 (stretching) 1202 cm-1 (bending) and 706 cm-1 (rocking) The

integrated peak area of 1202 cm-1 is close to that of film grown on the ndashCOOH surface but

nearly twice higher than that of the film grown on the ndashOH SAM surface indicating higher

surface nucleation rate or higher surface chemical reactivity toward TMA for ndashCOOH and

ndashNH2 terminated surface than ndashOH terminated surface

After immediate exposure to TMA a negative peak at ~ 1676 cm-1 and two positive

peaks at ~1580 and ~ 1500 cm-1 appear simultaneously they are assigned to the changes

related with residual ndashCONH2 left from incomplete reduction of ndashCONH2 to -CH2NH2

during -NH2 SAM preparations After TMA exposure -CONH2 possibly reacts with TMA

forming -CONH- bond The N-H deformation (Amide II) is shifted from 1600 cm-1 for

primary amine to around ~1550 cm-1 for the secondary amine These spectral changes

become less noticeable with increasing ALD reaction cycles suggesting that the related

reactions are mainly limited to SAMAl2O3 interface

The small peak periodically appearing at 2740 cm-1 is unambiguously assigned to OD

stretching vibration It appears after every D2O exposure and disappears after every TMA

exposure indicating a nearly completed ALD TMA-D2O cycle reaction The integrated

peak area of LOTO phonon modes of Al2O3 increases steadily with increasing number of

ALD cycles indicating the steady growth of Al2O3 film After 10 cycles the peak of LO

phonon mode is as sharp as what observed for Al2O3 film grown on the chemical oxides

SiO2 surface or -COOH surface with peak position at 940 cm-1 These evidences suggest

the Al2O3 film grown on -NH2 surface may be as dense as Al2O3 film grown on other types

of reactive surface including ndashCOOH SAM or regular SiO2

154

1000 1500 2000 2500 3000-0006

-0004

-0002

0000

0002

0004

0006

υOD2740

Abs

orba

nce

Wavenumber (cm-1)

2854

1214

734

10cyc TMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA NH2-SAMSi-C Si(111) S30A 11-10-2006

TMA 1

940Well defined TOLO peak of Al2O3

2927

1211

Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) FTIR spectra of 10 cycles TMAD2O ALD-Grown on NH2-SAMSi(111) All spectra are referenced against to the pre-annealed NH2-SAM right before first TMA exposure A growth of Al2O3 is immediately observed after the very first TMAD2O cycle and has developed into a well-defined Al2O3 film indicating by the sharp peaks of LOTO mode at 734 and 940 cm-1 after 10 cycles The Al-CH3 mode at 1211 cm-1 is only slightly shifted to 1213 cm-1 with increasing number of ALD cycles This suggests initial bonding status of TMA at SAM interface is similar to bonding to the existing Al2O3 film

155

636 ALD TMA on ndashCH3 Terminated SAMSi(111)

Figure 616 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashCH3 SAM prepared directly by immersing freshly prepared H-Si(111)

sample into the deoxygenated neat alkene at 200 degC for 2 hours All spectra are referenced

against to the freshly grafted and pre-annealed at 120 degC ndashCH3 SAM All spectra are

recorded at 60degC Unlike other SAMs with the chemically reactive group the first

exposure of ndashCH3 SAM to TMA does not show any significant absorption of TMA on the

surface The characteristic peak of AlndashCH3 at ~1202 cm-1 is not seen There are minor

negative features observed in the region of 2800-3000 cm-1 the stretching modes of

hydrogen-carbon This is most likely caused by the perturbations induced by the small

amount of physically absorbed TMA trapped inside alkyl chains of SAM The result is

expected for ndashCH3 terminated SAM the reaction with AlndashCH3 requires overcome very

high energy barrier[18] and a small amount of TMA molecule could only physically

trapped inside the SAM without chemical reaction The first several TMAD2O cycles (1-3)

do not give apparent spectral features for growth of Al2O3 The only noticeable change is

that the peaks at 2800-3000 cm-1 corresponding to the stretching modes of -CH2- and -CH3

groups continue decreasing in the intensity with increasing numbers of ALD cycles This

suggests that more and more TMA molecule penetrates into the densely packed SAM and

perturb the original vibration modes of -CH2- The physically pre-absorbed TMA

molecules then serve as nucleation sites for the subsequent ALD growth In fact after 5

cycles TMA D2O exposure the phonon modes of Al2O3 film appear at lower frequency

region (~770 and ~ 880 cm-1) and increase in the intensity with increasing number of ALD

cycles After 10 cycles two dominated peaks appear at ~770 and 887 cm-1 assigned to the

TO and LO phonon modes of Al2O3 film respectively Comparing to the Al2O3 film grown

on ndashOH SAM -NH2 SAM and ndashCOOH SAM the broadened and lowered frequency of

peak of LO phonon mode indicates the film is more amorphous and less well defined It

might be due to the lack of nucleation sites on the -CH3 SAM surface at the beginning and

the nucleation sites occurring at physically absorbed TMA molecules are distributed in a

sporadic way Consequently the lower quality of Al2O3 film is obtained

With increasing number of ALD reaction cycles the continuous loss of intensity of

hydrogen-carbon stretching modes at 2800-3000 cm-1 suggests SAM might still not be

156

1000 1500 2000 2400 2600 2800 3000-0004

-0003

-0002

-0001

0000

0001

0002770 Al2O3

10cyc TMAD2O

5cyc TMAD2O

TMA 2

D2O 2

D2O 1

TMA 1

υOD

A

bsor

banc

e

Wavenumber(cm-1)

TMAD2O 10cyc CH3-SAM(C18) 10-24-2006

υCH887

Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on CH3-SAMSi(111) All spectra are referenced against to the pre-annealed CH3-SAM right before first TMA exposure Unlike to SAM with reactive terminal group the feature of TMA is nearly not observed during the first two TMAD2O cycles A broad band attributed to LOTO mode of Al2O3 appears after 5 cycles with much less well-defined peaks Negative peaks appeare at 2800-3000 cm-1 and increase with increasing number of ALD cycles This suggests TMA precursor continuously penetrates into the SAM and leads to screeching of original C-H stretching modes

157

fully covered by previously deposited Al2O3 film and incoming TMA molecule could still

attack the SAM and get inside it The film may grow in a way of island-mode All these

evidence strongly suggests that a vertically inhomogeneous growth instead of a

homogenous layer-by-layer growth of Al2O3 film is undergoing on the ndashCH3 SAM

An increasing band centered at ~2740 cm-1 is assigned to OD stretching mode

indicating non-reacted D2O molecule trapped and accumulated inside the SAM layer and

the subsequent incoming TMA molecule cannot efficiently reach it This is not seen in the

ALD growth of TMA on the chemical reactive surfaces like SiO2 -NH2 -COOH

terminated SAMs) It is possibly due to the inaccessibility for some D2O trapped in the

SAMs During the 10 ALD reaction cycles there are no spectral features observed in the

region of 1000-1300 cm-1 indicating no interfacial silicon oxide forms on the SAMSilicon

interface TMA does not reach the SAMSilicon interface and react with the substrate at the

interface even though it may penetrate into SAM more or less This is reasonable as the

buried SAMSi(111) which is still partially hydrogen passivated lack of reactivity with

TMA at current reaction temperature 100degC Previous study has shown TMA will not react

with H-terminated silicon up to 300 degC [2] In summary the ALD growth of Al2O3 film on

non-reactive ndashCH3 SAM is observed 1) The physically absorbed TMA molecule during

the first several TMA exposures most likely initiates the subsequent growth The initial

absorption might be in a sporadic way with low absorption rate As a consequence the

growth is inhomogeneous and the grown-film has lower quality No spectral features found

for growth on the SAMSi suggests that the growth of TMA on the ndashCH3 terminated

surface may not be attributed to the reaction at the SAMSi interface

637 ALD Growth of TMA on SiO2

Figure 617 shows the FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC

for the first 10 TMAD2O cycles on SiO2 surface All spectra are referenced relative to

freshly wet chemistry (RCA) cleaned silicon dioxide surface at 60degC The surface hydroxyl

group has density 7 x 1014 OHcm2 [19] After the initial TMA exposure at 100degC three

bands appear at 1100-1300 cm-1 The 1213 cm-1 can be assigned to Al-CH3 and it decreases

after the subsequent D2O exposure and reappears after TMA exposure The other two

bands 1270 and 1188 cm-1 didnrsquot change with increasing number of ALD cycles indicating

158

they are features attributed to the interface of SiO2 and Al2O3 They are assigned to Si-CH3

The peaks at 1084 and 1005 cm-1 are related to the Si-O-Si or Al-O-Si The broad

low-frequency band around 700-1000 cm-1 increasing with number of ALD cycles can be

assigned to grown- Al2O3 LO and TO phonon modes After 10 cycles the grown- Al2O3

film has similar spectral features of Al2O3 film at 700-1000 cm-1 as Al2O3 film grown on

the -COOH SAM surface indicating similar nucleation and growth of Al2O3 on both

surfaces

159

1000 1500 2000 2500 3000-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

703 Al-O-SiSiO2

TOLO Al2O3

1088Al-CH3

10cyc5cyc

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

Abso

rban

ce

Wavenumber (cm-1)

10-3

TMA 1

TMA 10cyc on SiO2 11-01-2006

1005

933

1000 1500 2000 2500 3000-0002

-0001

0000

0001

0002

0003

0004

0005

10cyc TMAD2O on COOH-SAMSi(111)

10cyc TMAD2O on SiO2

Y A

xis

Title

X Axis Title

10-3

Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post annealed from 300 to 600c

FTIR spectra of 10 cycles TMA ALD-Grown on chemical oxidized SiO2 All spectra are referenced against to the pre-annealed SiO2 right before first TMA exposure 1213 cm-1 (Al-CH3) and 1270 cm-1 (Si-CH3) are seen after first TMA exposure 1000-1200 cm-1 regions are mixed with contributions from formation of Si-O-Al (positive) 1004 and 1088 cm-1 and destruction of Si-O-Si (negative) on the top of SiO2 layer The spectral features in this region(1000-1200cm-1 ) is unchanged with increasing number of ALD cycles indicating they indeed are features related to interface After10 cycles a similar quality of Al2O3 film forms as Al2O3 grown on ndashCOOH SAM as shown in the insert top-right panel

160

64 Discussion The FTIR spectra demonstrate that ALD TMA can proceed on all organic SAMs surface

with different terminal groups -COOH -OH -NH2 and ndashCH3 It is expected for the surface

terminated with chemically reactive groups like -OH -COOH and -NH2 But it is kind of

unexpected for chemically inert -CH3 terminal group

641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM The FTIR Spectra demonstrate that the freshly-grafted -COOHSAM on Si (111) surface

can react immediately with Al(CH3)3 (TMA) molecule and the nucleation process is nearly

completed within as short as 10 seconds All -COOH groups are reacted The reaction is

self-terminated and the absorption of TMA on the surface saturates within a few seconds

After HCl solution treatment significant percentage of ndashCOOH is recovered After 10

ALD cycles a high quality Al2O3 film forms indicated by the sharp LO and TO phonon

mode of Al2O3

Unlike deposition of Al atoms on -COOH SAM where 20-25 of COOH remain

unreacted [20] extremely reactive TMA is much more reactive than Al atoms toward

ndashCOOH group and react with all surface ndashCOOH groups The recovery of ndashCOOH peak

after strong acid HCl treatment and the intense bands at 1470 cm-1 (asymmetric stretching

of COOminus) and 1580 cm-1 (symmetric stretching of COOminus) which are typical characteristic

feature of C=O bond in carboxyl anion group [7] strongly suggests that the Al(CH3)3

forms acid-salt like complex on the interface as shown in the Scheme 3 The reaction of

TMA with hydroxyl terminated surface is presumed to go by an abstraction of hydrogen

atom from ndashOH group and combine with methyl group form methane (CH4) which

involved a Lewis acid-base interactions with a group III alkyl (TMA) acting as the Lewis

acid (electron receptor) and a group VI hydride (OH) acting as the Lewis base [18] The

reaction of TMA with ndashCOOH is undergoing similarly but much easier The disassociated

proton H+ from carboxylic acid easily combine with ndashCH3 forming methane (CH4) while

long pair electron of oxygen transfer to -Al(CH3)2 forming C(O)-O-Al(CH3)2 complex

Because after giving proton carboxylate ion has the negative charge spread out equally

over two oxygen atoms each oxygen atom bears half of negative charge The long pair of

161

electron of oxygen is harder to transfer to the electron receptor of Al atom in other words

the bond O-Al is more polar After strong acid post-treatment carboxylate ion exiting in

this complex can be converted back to carboxylic acid

In the meantime there also possibly co-exists a reaction between Al (OH)x and C=O

with formation of Al-O-C covalent bond In the study of aluminum atom on methyl Ester

terminated surface it is found that Al can react with the ndashCO2CH3 group

Al+

CH3 CH3

O-O

R

C

OO

C

OO

Asymmetric stretching symmetric stretching

Scheme 3 Possible interaction on TMA-COOH SAM interface

In addition the high quality Al2O3 film and limit surface number density of ndashCOOH

(because of steric restriction) suggest that most likely more than one TMA molecule can

bond to one ndashCOOH group initially

642 Mechanism for ALD growth on ndashCH3 SAM The observed growth of Al2O3 film on ndashCH3 SAM is not unexpected Although the

reaction between ndashCH3 or ndashCH2 groups and TMA can not take places in theory [21] The

previous studies proposed that a non-perfect SAM which cannot prevent ALD precursor

from reacting on underneath SAMsubstrate interface is accounted for the observed growth

A good quality SAM can efficiently block ALD growth [22]

Chen and coworkers investigated the block mechanism In their study of SAM as

barrier for blocking ALD of halfnium oxide it is found that the quality or packing density

of the ndashCH3 SAM layer determine the block efficiency[23] Only SAMs with carbon

chains longer than C12 can efficiently block the growth of halfnium oxide They attributed

162

the observed ALD growth to the defects and pinhole in the SAMs These defects and

pinhole make it possible for the precursor penetrates into the organic film and react with

active hydroxyl or oxygen atoms at the interface Furthermore they proposed a

deactivation mechanism in which alkyl monolayer hinders the nucleation at the interface

by removing the surface reactive sites (Si-H) and preventing oxidization of substrate[24]

Another study of ALD of Ti[N(CH3)2]4 on ndashCH3 terminated alkoxysilane SAM found that

the density of absorbed Ti is negatively correlated with the density of ndashCH3 SAM[25]

Hence they proposed that there is no reaction between Ti[N(CH3)2]4 and ndashCH3 terminal

groups of SAM the reaction confined completely to the SAMSiO2 interface and the

absorption rate of Ti depends on its ability to penetrate the SAM In both study the ALD

growth is attributed to the initial nucleation at the reactive organicsubstrate interface It

occurs whenever SAM resists is not sufficient to block the penetration

In our study we would like to propose another mechanism for the observed growth on

the inertial surface (-CH3) We believe that the growth is determined by the precursor and

SAM structure (conformation and defects) but it less depends on the reactivity of

underneath interface The reactivity of interface may play a role in shortening incubation

time and speeding nucleation but may not determine whether reaction occurs or not In

other words ALD growth can possibly occur on an organic SAMs surface with completely

non-reactive interface underneath

In our experiment the reaction on the SAMSilicon interface less likely occurs for the

following reasons first no Si-O-Al (1000-1100 cm-1) or Si-CH3 (1270 cm-1) modes are

observed in the FTIR spectra second at the interface of SAMsilicon the place where no

alkyl chain attached is still passivated by hydrogen and less reactive than SiO2 site in the

SAMSiO2 In the previous study it is found that TMA will not react with H- terminated

silicon until heating up to 300 degC [2] Thus at our current experiment condition (growth at

100degC) the reaction at interface is expected to not take place Also the IR signal of

absorbed TMA molecule is too weak to be detected in the first three cycles suggesting the

absorption process quite slowly In addition the spectral change of -CH2- stretching mode

due to the perturbation induced by the incorporation of TMA into the SAMs continuously

increases with increasing ALD cycles

163

In the mechanism we proposed here for ALD growth of Al2O3 on ndashCH3 SAM initially

TMA molecule is physically absorbed on the surface sporadically either staying on the

surface or penetrating into the SAMs This is supported by the evidence that a immediate

loss of -CH2 stretching mode at 29232853 cm-1 appears upon the first TMA exposure and

the loss of ndashCH3 stretching mode appears later and quickly increase with increasing

number of ALD cycles These pre-absorbed TMA molecules then serve as nucleation sites

for the subsequent ALD growth As suggested by the IR data the absorption rate is quite

low and nucleation sites are not available over the whole surface As a consequence the

grown Al2O3 film is quite patched and amorphous suggested by the broad not well

defined LOTO mode of Al2O3 film in the FTIR spectra This is similar to ALD growth of

Al2O3 on H- terminated surface where although no reaction take place after the initial

exposure TMA can physically absorb on the surface and serve as nucleation sites for the

subsequent ALD growth[3] In fact H-terminated silicon pretreated with longer time

exposure to TMA ie more physically absorbed TMA leads to more linear ALD growth

of Al2O3 film [3]

A detailed analysis of changes of hydrocarbon stretching mode at 2800-3000 cm-1 with

increasing TMAD2O ALD cycle reveals how TMA interact with ndashCH3 SAM As shown

in the Figure 618 the changes of hydrocarbon stretching mode in terms of relative ratio of

-CH3 to -CH2 at the first 5 cycle is quite different from the second 5 cycles (ie from 5-10

cycles) This suggested that initially TMA precursor gets inside the SAM and perturbs with

-CH2- groups of backbone and later on more perturbation occurs on the top of surface

with the ndashCH3 instead of going inside SAM This could be explained as with formation of

TMA islands on the SAM surface the incoming TMA gains more chances to grows on the

top of SAM and interacts with -CH3 groups instead of penetrating into the SAM Since

perfectly ordered SAM would not have sufficient space between chains to allow

penetration by 275Aring diameter Al atoms the diffusion mechanism for larger TMA

molecule complex must involve defects Two types are considered static and dynamic

[26]

In a model of SAMs with static defects one may expect the island growth in which

growth TMA occurs only at the defective or low-density area of the SAMs In this case [27

28] the density of these defects limits the initial deposition Therefor instead of assuming

164

2600 2700 2800 2900 3000-00002

-00001

00000

00001

00002

00003

υOD

υCH2

10-5th cyc

Abs

orba

nce

Wavenumber (cm-1)

TMA on CH3-SAM Differential Spectra

5 - 0 cyc

υCH3

Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA Growth on -CH3 SAM FTIR spectra recorded after 5 cycles of TMAD2O and 10 cycles are referenced relative to the surface before the previous ALD growth Top spectra show the spectral net changes for last 5 cycles of total 10 cycles and bottom one shows changes of first 5 cycles of 10 cycles Clearly initial 5 cycles have more effects on -CH2- stretching modes and subsequent 5 cycles have more effects on -CH3 (which is on the top of SAM)

165

the diffusion occurs in the way of randomly and uniformly over the whole surface the

defects of SAMs determine the initial deposition

In a model of dynamic defects the defects appear transiently caused by the thermally

activated lateral hopping process of the SAsM (the deposition temperature is 100 degC) The

creation of transient holes allows transport of nearby TMA molecule to inside SAMs or

even reach the interface[20 26]

Currently we are not able to conclusively exclude any one of two types of defects We

have found post-treatment of -CH3 SAMSi(111) with HFNH4F has shown HF nearly has

no effect on the silicon oxide at the interface formed during thermal hydrosilylation This

suggests that SAM is densely packed and can efficiently prevent penetration of HF

Therefore it is reasonably presume there are no significant static defects exiting in the

SAM and SAM can equally efficiently prevent penetration of bigger TMA molecule at

room temperature The dynamic mode may mainly account for the trapping of precursor

inside the SAM at 100 degC

643 Initial Reaction Mechanism for ALD growth on ndashOH SAM On the one hand the ALD growth of TMA on ndashOH SAM should be similar to the other

type of regular hydroxylated surface because of presence of reactive ndashOH group Initial

TMA depositions on -OHSiO2 are both kinetically and thermodynamically favorable as

compared to those on H-terminated surface[29] Comparing to ALD TMA on H terminated

silicon surface the incubation period is reduced for OH-terminated Si(100) surface[30]

And it is also found that the Al2O3 films grown on OH-terminated surface have much

smoother morphology than those grown on H-terminated surface [30]

On the another hand however the ndashOH SAM is unique and different from other

ndashOHSi surface in term of surface distribution and number density of ndashOH group due to the

steric restriction existing in the alkylation of H-Si(111) The density of ndashOH should be

lower than hydroxylated silicon dioxide The spacing between adjunct reactive surface

ndashOH sites on SAM is estimated at least 72 Aring assuming converting efficiency from

ndashCOOH to -OH is 100 and initial ndashCOOH uniformly distributed over the whole surface

This spatial limitation makes some reaction pathway impossible for TMA absorption

on ndashOH SAM For example the ring closing reaction proposed on OHSi(100) surface [31]

166

where one TMA molecule could bond to two surface ndashOH sites forming two interfacial

Al-O bond (Figure 620II) will less likely appear on ndashOH SAM In addition since the

way of ndashOH distributed is more uniform (spatial orientation etc) on ndashOH SAM than SiO2

surface the absorbed TMA may have more uniform spatial distribution on the ndashOH SAM

surface It is indicated by the CH3 bending mode of Al-CH3 at ~1203 cm-1 which is

sensitive to how Al bond A very sharp single peak centered at 1203 cm-1 is observed on

ndashOH SAM surface On the SiO2 surface multiple bands appear at 1270 1213 1187 cm-1

after initial TMA exposure 1270 cm-1 is assigned to the Si-CH3 due to methyl transferring

to silicon [2] The fact that as the band at 1213 disappears after subsequent water exposure

it confirms the assignment of 1213 cm-1 to Al-CH3 In summary TMA on HO-SAM exist

in the structure as shown in Figure 620I with one TMA bond to one ndashOH while TMA on

hydroxylated silicon dioxide exists in the form II with one TMA bond to at least two ndashOH

and in other forms like Si-CH3 through ligand exchange as well

A further discussion is on correlating surface density ndashOH with growth rate[32 33]

They donrsquot have direct spectroscopic data to reveal which types of initial TMA reaction on

the surface with different surface ndashOH concentration One TMA molecule could bond to

one two or three ndashOH sites and also ligand exchange could lead to the formation of

Si-CH3 Our data clearly shows that both Al-CH3 and Si-CH3 existed on the chemical

oxidized surface after initial TMA exposure(Figure 617) Therefore the single model

(ligand exchange) given by Puurunen may not enough to explain the cause of steric

hindrance which accounting for saturation of precursor Instead it could be a mix of Model

II (ligand Si-CH3) and Model III (chemically absorbed precursor Si-O-Al-CH3) [33]

For chemical oxides the Si-OH density is most likely at the level of 7 x 1014 OHcm2

[19] (7 -OH per nm2 this number may vary with substrate temperature) For ndashCOOH SAM

the surface density of alkyl chains is quantified as ~27 x 1014 cm2 (27-OH per nm2) [17]

The lower concentration of surface ndashOH groups the lower growth-per-cycle (GPC) during

ALD growth of aluminum oxide[33] Based on the empirical linear relationship given by

Puurunen the growth of Al2O3 on SiO2 is estimated as much as three times more than

Al2O3 grown on ndashOH surface This is consistent with our infrared data as shown in Figure

624 where the integrated area of Al2O3 phonon modes at ~734 and 930 cm-1 is used to

estimate the total amount of absorbed Al2O3 layers

167

Comparing to regular chemically oxidized silicon surface ndashOH SAM provides ideal

model to control the concentration of surface ndashOH in order to study the correlation between

ALD growth and concentration of ndashOH It eliminates other side reaction such as formation

of Si-CH3 on silicon dioxide SAM-directed assembly makes it different from growth on

chemical oxide Different orientation (cubic hexagonal) could produce different saturation

coverage

644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM FTIR spectra demonstrated TMA immediately absorbs on the ndashNH2 SAM The

characteristic peaks of absorbed TMA are clearly seen at 2940 2888 cm-1 (-CH3

asymmetric and symmetric stretching) 1201 cm-1 (CH3 bending) and 703 cm-1 (CH3

rocking) They disappear upon subsequent water exposure The peak at 803 cm-1 is

previously assigned to Al-N bond The possible mechanism for TMA absorbed on the

ndashNH2 SAM is shown in Figure 621 This reaction mechanism is similar to that of TMA on

a hydroxyl-terminated surface Both are Lewis base-acid interaction with a group III alkyl

(TMA) acting as the Lewis acid and a group ndashNH2 or ndashOH acting as the Lewis base

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Figure 619 -COOH SAM terminated Si(111)

~72 Aring

~72 Aring

168

O

Si

AlCH3 CH3

O

Si

Al

CH3

O

Si I Initial absorption II Closing ring

Figure 620 Possible absorbed TMA on ndashOH terminated Surface

NH2

AlCH3 CH3

CH3

AlCH3 CH3

NH

CH4 AlCH3

CH3

N

Al

CH3

CH3OR

Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM

Because of the way we prepared ndashNH2 is based on ndashCOOH SAM on Si(111) the spacing

between -NH2 group is similar with the sapceing of ndashOH groups of ndashOH SAM (shown in

the Figure 620) and with same surface density of reactive sites (limited by the chemical

conversation rate) However it may be possible that two TMA molecules bind to one ndashNH2

site and double the surface density of absorbed TMA The spectra have shown that the

integrated area of Al-CH3 peak at ~1210 cm-1 is nearly double for growth on ndashNH2 SAM

(~00064) than that on ndashOH SAM (~00035) which indirectly supports the initial reaction

mechanism that we proposed In addition a higher nucleation rate on ndashNH2 SAM is

consistent with the fact that higher quality Al2O3 film forms on ndashNH2 SAM surface than on

ndashOH SAM surface after 10 ALD cycles indicated by the sharper LOTO phonon mode of

amorphous Al2O3

By first look our experiment results seem not consistent with theoretical calculations

[18] in which ndashOH SAM is favor both thermodynamically and kinetically over the

169

reaction with ndashNH2 SAM toward TMA The reaction of TMA with ndashNH2 SAM is relative

slow compared to the on ndashOH SAM The initial probability of reaction is in the order of

SiO2 gt -OHgt -NH2 gt -CH3 In our study the film quality observed is like that in the order

of -COOHgt -NH2 gt SiO2 gt -OH gt -CH3 But the quality of ALD grown film is not solely

determined by how fast or easily TMA forms bond with surface groups but overall how it

nucleates on the surface The latter involves other consideration like available nucleation

sites etc Even though TMA can easily form bond with ndashOH the behavior of TMA on

ndashNH2 may be more complex than just forming Al-N bond as on ndashOH surface

Our experimental result is consistent with other on SAM with different terminal group

For instance ALD Ti[N(CH3)] on ndashNH2 SAM[34] found that comparing to ndashOH SAM and

ndashCH3 SAM -NH2 SAM gives the cleanest growth where essentially all reactivity could be

associated with the terminal amine group

645 Comparing Initial Reaction of TMA on SAMs with different

terminal group

The FTIR spectra of first several ALD cycles reveal the initial nucleation and growth on

the SAM surface in detail As shown in the Figure 622 for the ndashOH SAM we immediately

observe the spectroscopic features belong to Al-CH3 appear after the first TMA exposure

indicating absorption of TMA on the surface The symmetric deformation CH3 modes of

Al-CH3 is ~12001209 cm-1 for TMA absorbed on ndashNH2 SAM 1203 cm-1 for -OH SAM

1213 cm-1 for chemically oxidized silicon and 1215 cm-1 for ndashCOOH SAM The band

shape analysis has shown that nonoxygen-containing Si-Al-CH3 coexists on the H-Si(111)

surface at high TMA exposure On H-terminated Si surface a homogenous Al2O3

nucleation is achieved by extending the initial TMA exposure until methyl saturation

For ndashCOOH SAM the chemical reaction with acid group takes place immediately and

has no penetration The presence of two major peaks at 1400-1600 cm-1 suggests that

majority of C=O still remain and the recovery of COOH group after immersing in HCl

solution suggests the weak acid group react with weak base Al(OH) to form salt like

structure CO(δminus)-O-Al(δ+) The formation of this complex on the surface blocks the

170

penetration The C=O is not 100 recovered may be due to the reaction of C=O with

Al(OH) forming C-O-Al

When penetration happens C-H stretching mode shows a blue shift and loss in the

intensity at the original position The blue shift indicates the alkyl chains undergo

significant disordering upon interaction with TMA The decreasing in the intensity of C-H

stretching mode indicates a possible screening effect due to the incorporation of TMA into

SAM The disorder presumably is induced by repulsive steric interactions between

neighboring TMA clusters For ALD on SAM with reactive terminal groups (-COOH

-NH2 -OH) the nucleation occurs immediately and no incubationinduction period

646 Comparing the Nucleation and Al2O3 films grown on the different

substrate

By comparing the FTIR spectra of a Al2O3 film grown on the SAMs with different

terminal groups the peak position peak area and peak shape of LOTO phonon mode of

Al2O3 films reveal the dependence of film quality and properties on the terminal groups

Figure 624 shows the comparison of FTIR spectra of 10 TMAD2O cycles grown Al2O3

film on all surfaces The C-H stretching mode of alkyl chains of SAM at 2800-3000 cm-1 is

used as indicator of the reactions As shown in the Figure 624b for the ndashCOOH SAM

there is no significant spectral change observed in this region indicating little or no

penetration occurrs and reaction is well confined to the terminal groups on the top of

surface For the ndashNH2 and ndashOH SAM a little spectral changes indicate a few more

penetrationsinterference may occur For the non-reactive ndashCH3 SAM the change is

observed immediately upon first TMA exposure and keep increasing with increasing

number of ALD cycles Al2O3 nucleation occurs slowly at the sites (may near surface but

not necessary must be at SAMSilicon interface) where precursor are trapped Because of

the dense packing of well ordered solid-like alkyl SAM the penetration is relatively

difficult for a large size of TMA molecule and only limited amount of TMA could be

trapped into SAM at each exposure (Figure 623)The detailed diffusion mechanism need

to be further elaborated and beyond the study here

171

1000 1500 2000 2600 2800 3000 3200

Wavenumber (cm-1)

00038

00067

00060

~ 1210Al-CH3

-CH3

SiO2

1st TMA on SAMs with different functional group

Abso

rban

ce

-OH

-COOH

-NH2

initial TMA exposure

29392894

Al-CH3

00039

5x10-4

Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different terminal groups

In-situ FTIR spectra were recorded immediately after first 10 second TMA exposure All reactive surfaces show sharp peak at ~1210 cm-1 attributed to Al-CH3 The exact peak position depends on Al-CH3 bond to surface 1213 cm-1 (on SiO2) 1203 cm-1 (on HO-SAM) 1200 cm-1 (on NH2 ndashSAM) and 1215 cm-1 (on COOH-SAM) The corresponding stretching mode of CH3 of Al-CH3 is seen at 2894 and 2939 cm-1

172

We could apply the diffusion mechanisms proposed earlier time for metal atom diffusion

on SAM-Au system to our system

The Al2O3 nucleation cluster can only form near around the sites with pre-trapped

TMA Even after 10 cycles the coalescence of Al2O3 cluster is still undergoing locally and

no continuous film forms on the top of SAM Incoming TMA molecule is still able to

diffuse into the uncovered SAM However after 5 cycle growth the larger percentage of

TMA starts to nucleate at the region near the top of SAM These can be seen from change

of C-H stretching mode and the formation of very broad LOTO mode of Al2O3 in the IR

spectra

The reactivity of terminal group toward TMA has largely determined the efficiency of

diffusion blocking As we have shown earlier time the ndashCOOH SAM apparently is less

ordered than ndashCH3 SAM due to the interaction of ndashCOOH groups However as we can see

here the diffusion is still completely blocked by ndashCOOH SAM even though it possibly has

larger free volume between more disordered alkyl chains comparing to ndashCH3 SAM

Si Si I with reactive terminal group II with ndashCH3 terminal group

Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group

Al2O3 nucleation clusters forming from D2O reaction with TMA trapped in the near surface region but this absorption rate is processed slowly [35]

173

1000 1500 2000 2400 2600 2800 3000

-0006

-0004

-0002

0000

0002

0004

0006

0008(a)

2740υOD

TOLO Al2O3

Al-CH3 υCH2

S30A

10 cyc TMAD2O on SAMs with different functional group

Wavenumber

Abs

orba

nce

-OH

-CH3

SiO2

-COOH

-NH2

2500 2600 2700 2800 2900 3000

-00010

-00005

00000

00005

00010

υCH2υOD

10 cycle TMAD2O

SiO2

-COOH

-NH2

-OH

Abso

rban

ce

Wavenumber (cm-1)

-CH3

(b)

Figure 624 Comparison of ALD TMA on the surface with different termination group

174

65 Conclusion The ALD of high-κ metal oxide (TMA TEMAH) on the silicon functionalized with

self-assembled monolayers (SAMs) processing -COOH -OH - NH2 and -CH3 terminal

groups have been investigated using in situ infrared spectroscopy As a comparison the

data of ALD of TMA on silicon oxide is examined as well The ALD process are found to

depend on all following factors 1) chemical reactivity of terminal groups 2) polarity of

group charges 3) surface number density 4) chains packing density and 5) subsurface

reactivity (ie SAMsilicon interface)

These studies demonstrate that the terminal grous of the SAM significantly affect the

selectivity toward TMA adsorption and subsequent ALD of Al2O3 These studies can be

also extended to ALD deposition of other high-k metal oxide for example TEMAH

Understanding the underlying reactions between precursors and various surface functional

groups gives us the control over these surface reactions that eventually leads to a technique

to tailor the ALD As a model system our current study can be further applied to study

ALD nucleation organic-inorganic interface for future nanoelectronics and molecular

electronics applications

175

Bibliography

1 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal

oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

2 Frank MM YJ Chabal and GD Wilk Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides Applied Physics Letters 2003 82(26) p 4758-4760

3 Frank MM et al Hydrogen barrier layer against silicon oxidation during atomic layer deposition of Al2O3 and HfO2 Journal of the Electrochemical Society 2007 154(2) p G44-G48

4 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

5 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

6 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

7 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 8 Glass JA EA Wovchko and JT Yates Reaction of Methanol with Porous

Silicon Surface Science 1995 338(1-3) p 125-137 9 Fidelis A F Ozanam and JN Chazalviel Fully methylated atomically flat (111)

silicon surface Surface Science 2000 444(1-3) p L7-L10 10 Puurunen RL et al Successive reactions of gaseous trimethylaluminium and

ammonia on porous alumina Physical Chemistry Chemical Physics 2001 3(6) p 1093-1102

11 Ferguson JD AW Weimer and SM George Atomic layer deposition of Al2O3 films on polyethylene particles Chemistry of Materials 2004 16(26) p 5602-5609

12 Gow TR et al Decomposition of trimethylaluminum on silicon(100) Chem Mater 1989 1(4) p 406-411

13 Ott AW et al Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 1997 292(1-2) p 135-144

14 Mozgawa W M Sitarz and M Rokita Spectroscopic studies of different aluminosilicate structures Journal of Molecular Structure 1999 512 p 251-257

15 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

16 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

176

17 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

18 Xu Y and CB Musgrave A DFT Study of the Alltsubgt2ltsubgtOltsubgt3ltsubgt Atomic Layer Deposition on SAMs Effect of SAM Termination Chem Mater 2004 16(4) p 646-653

19 Haukka S and T Suntola Advanced materials processing by adsorption control Interface Science 1997 5(2-3) p 119-128

20 Fisher GL et al The interaction of vapor-deposited Al atoms with CO2H groups at the surface of a self-assembled alkanethiolate monolayer on gold Journal of Physical Chemistry B 2000 104(14) p 3267-3273

21 Xu M et al Mechanism of interfacial layer suppression after performing surface Al(CH3)(3) pretreatment during atomic layer deposition of Al2O3 Journal of Applied Physics 2006 100(10)

22 Chen R et al Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics Applied Physics Letters 2004 84(20) p 4017-4019

23 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

24 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

25 Killampalli AS PF Ma and JR Engstrom The reaction of tetrakis(dimethylamido)titanium with self-assembled alkyltrichlorosilane monolayers possessing -OH -NH2 and -CH3 terminal groups Journal of the American Chemical Society 2005 127(17) p 6300-6310

26 Hooper A et al Chemical Effects of Methyl and Methyl Ester Groups on the Nucleation and Growth of Vapor-Deposited Aluminum Films J Am Chem Soc 1999 121(35) p 8052-8064

27 Alam MA and ML Green Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO[sub 2] gate dielectric layers Journal of Applied Physics 2003 94(5) p 3403-3413

28 Puurunen RL and W Vandervorst Island growth as a growth mode in atomic layer deposition A phenomenological model Journal of Applied Physics 2004 96(12) p 7686-7695

29 Halls MD and K Raghavachari Atomic Layer Deposition Growth Reactions of Alltsubgt2ltsubgtOltsubgt3ltsubgt on Si(100)-2amp2151 J Phys Chem B 2004 108(13) p 4058-4062

30 Lee SS et al Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Alltsubgt2ltsubgtOltsubgt3ltsubgt J Phys Chem B 2004 108(39) p 15128-15132

31 Ghosh MK and CH Choi The initial mechanisms of Al2O3 atomic layer deposition on OHSi(1 0 0)-2 x 1 surface by tri-methylaluminum and water Chemical Physics Letters 2006 426(4-6) p 365-369

32 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

177

33 Puurunen RL Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Applied Surface Science 2005 245(1-4) p 6-10

34 Killampalli AS PF Ma and JR Engstrom The Reaction of Tetrakis(dimethylamido)titanium with Self-Assembled Alkyltrichlorosilane Monolayers Possessing -OH -NHltsubgt2ltsubgt and -CHltsubgt3ltsubgt Terminal Groups J Am Chem Soc 2005 127(17) p 6300-6310

35 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

178

7 Chapter 7 Summary

71 General Conclusions In the work described above we have demonstrated that 1) FTIR provides adequate

spectral information for interfacial Si-O-Si bond formation for silane-based SAMs on

silicon oxide surfaces and for chain effects on head group cross-linking 2) three

functionalization routes can be used for HSi(111) surfaces to produce -NH2 terminated

surfaces with high free -NH2 group surface density and with higher stability in aqueous

solution for biological sensor applications than on silicon oxide substrates 3) carboxyl-

terminated SAMs have higher thermal stability than CH3-SAM and both types of SAMs

are thermally stable during the ALD growth conditions and 4) ALD of metal oxide can be

performed on a variety of SAM-terminated Si surfaces in a controllable manner without

formation of unwanted interfacial SiO2

Surface-sensitive transmission infrared spectroscopy captures the spectral changes of

SiO2 surfaces after modification with trichlorosilane A substrate-adsorbate mode at

1200-1260 cm-1 is attributed to the LO phonon mode induced by the coupling of newly

formed Sis-O-Si bonds with the Si-O-Si network of SiO2 Formation of high density

Sis-O-Si is equivalent to adding a layer to SiO2 thus increasing the thickness of the SiO2

layer Consequently the LO mode of SiO2 is blue-shifted and its intensity is increased [1

2] For an organosilane with alkyl chain steric restrictions prevent the formation of dense

head group cross-linking Si-O-Si and interfacial Sis-O-Si suppressing the vibrational

coupling between the siloxane layer and SiO2 substrate In this case the Si-O-Si mode is

decoupled from the SiO2 LO phonon Such spectroscopic information and understanding

provides a tool towards controlling the interfacial structure and a means to better define the

growth mechanisms operative for the silaneSiO2 system In particular this tool will make

it possible to develop method to achieve a densely packed SAMs for use as dielectrics

Among the many known organosilane molecules used for SAMs amino-terminated

SAMs have been used for the fabrication of patterned surfaces Such surfaces are important

to promote the absorption of enzymes and peptides and to achieve selective electroless

179

deposition of metals and nano-particles on semiconductors An immense problem exiting

in fabrication of amino- terminated surfaces either on SiO2 or on H-terminated Si surfaces

is the acid-base interaction between NH2 head group of precursor and the surface silanol

groups on SiO2 surfaces or catalyzed oxidization of H-Si surfaces These side reactions

degrade the stability and quality of the films In this study we have developed three new

approaches to prepare high quality and stable amine-terminated surfaces and verified the

presence of free amine by monitoring its reactivity with PMPI linker molecules It enables

controllable specific attachment of biomolecule to the surface

From FTIR spectra of CnH2n-1-terminated and COOH-(CH2)10-terminated surfaces

spectral features have been identified which could be ascribed to the Si-H stretching mode

confirming that a Si-H group is present on the alkylated surface The peak corresponding to

Si-H stretching mode is centered at 2073 cm-1 ie red-shifted from its common position at

2083 cm-1 for freshly prepared H-Si(111) surface[3] and also much broader than the sharp

feature seen on the ideal flat H-Si(111) surface indicating that Si-H is isolated (surrounded

by atomsmolecules other than H) as is expected if the surface functionalization is uniform

and incomplete The integrated area of this peak is ~25 and ~55 of the integrated area

(0014 cm-1) of Si-H on freshly prepared H-Si(111) surfaces for CnH2n-1-terminated and

COOH-(CH2)10 terminated surfaces respectively Since a lower value of the Si-H

integrated area is correlated with a higher degree of partial oxidization COOH-terminated

SAMs can therefore form denser layers than CH3-terminated SAMs All these observations

confirm that Si-H bonds can react to for Si-C bonds but only partially (up to 50) due

steric interactions [4]

In situ FTIR spectroscopy makes it possible to compare the thermal decomposition

pathways of CnH2n-1-terminated and COOH-(CH2)10-terminated Si(111) surfaces The

simultaneous appearance of H-Si bonds at ~2076 cm-1 with reduction of CH2 mode

intensity on both surfaces indicates that the chain removal proceeds though βminuselimination

by cleavage of the Si-C bond instead of the C-C bond with formation of Si-H The higher

decomposition temperature of COOH-terminated SAMs indicates that they have a higher

thermal stability than CH3-terminated SAMs Both SAMs are thermally stable during the

ALD growth condition (typically from 100-150 degC)

180

In situ infrared spectroscopy also reveals that the ALD of high-κ metal oxide

(TMAH2O) can be performed on the silicon functionalized with self-assembled

monolayers (SAMs) with -COOH -OH - NH2 or -CH3 terminal groups The study shows

that the nature of the end groups significantly affects the selectivity toward TMA

adsorption and subsequent ALD growth of Al2O3 These studies can be also extended to

ALD deposition of other high-k metal oxides such as HfO2 using TEMAH and H2O to

understand the mechanism of growth of interface formation

The ALD process on SAM is found to depend on all following factors 1) the chemical

reactivity of terminal groups 2) the polarity of terminal group charges 3) the end group

surface density 4) the chain packing density and 5) the accessibility and reactivity of the

siliconASM interface The results show that Al2O3 can grow on all surfaces but in a

different manner forming more homogeneous film on the -NH2 and -COOH surfaces and

very non-homogeneous films on -CH3-terminated surfaces due to a lack of initial reactive

nucleation sites Silicon functionalization with all SAMs not only efficiently eliminates the

formation of unwanted interfacial silicon oxide during ALD growth but also prevent SiO2

formation during post-annealing up to 400 degC (ie SAM decomposition temperature) This

provides a potential method to avoid the formation of SiO2 during ALD processing

The understanding of underlying reactions between precursors and various surface

functional groups obtained from this study gives us a better control over these surface

reactions that may constitute an important method to tailor ALD growth for fabricating

nanoelectronic devices These studies of ALD on SAMs also establish a foundation to

understand the ALD nucleation and growth on more complicate organic films such as

ALD on polymers [5] and selective ALD on patterned surfaces [6 7] In addition the

stability of ultra-thin metal oxide films grown on SAMs demonstrated here provides a basis

for the development of a protective nano-coating method

In contrast to conventional methods using thermal pretreatment to control the ndashOH

density on silica and alumina surfaces SAMs provide a convenient way to control the

surface reactivity and surface density of reactive sites which can be applied to a number of

systems

181

Bibliography

1 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

2 Quayum ME et al Formation of organic monolayer on a hydrogen terminated Si (111) surface via silicon-carbon bond monitored by ATR FT-IR and SFG spectroscopy Effect of orientational order on the reaction rate Chemistry Letters 2002(2) p 208-209

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Sieval AB et al Amino-terminated organic monolayers on hydrogen-terminated silicon surfaces Langmuir 2001 17(24) p 7554-7559

5 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

6 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

7 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

182

CURRICULUM VITAE

Meng Li Rutgers University

Department of Physics amp Astronomy Piscataway NJ 08856 Phone (732) 910-7247

mengliphysicsrutgersedu EDUCATION PhD in Physics (Surface Science) Oct 2007 GPA 37540 Rutgers University New Brunswick NJ (Advisor Prof Yves J Chabal Director of Laboratory for Surface Modification) Master of Science in Computational physics Oct2003 GPA 37340 Rutgers University New Brunswick NJ (Advisor Dr Bernie Yurke Bell Labs) MS and BS in Physics Jun 19991997 GPA 8810 Nanjing University Nanjing PR CHINA (Advisor Prof Qiuhe Peng) WORK EXPERIENCE Thick Film Group AZ Electronic Materials USA Corp Branchburg Process Engineer Jul 2007 ~ Aug 2007 (Internship) bull Develop and test photoresists for thick film applications

- Developed and optimized the formulation of thick film photoresists for desired performance

- Test performance of thick film photoresists on different substrates including silicon copper and gold using standard micro lithography methods

Department of Physics amp Astronomy Rutgers University New Brunswick NJ Lab Instructor (Aug2000 ~ May 2003) bull Instructed over 50 engineering students and 120 college students in classical and

modern physics experiments computational physics and experimental data analysis using MatlabExcel

bull Tutored high school students in advanced mathematics and physics and helped them succeed in gaining admission to Princeton Stanford and Cornell

183

RESEARCH EXPERIENCE Research Assistant (Advisor Prof Yves J Chabal) Laboratory for Surface Modification Rutgers University New Brunswick NJ Aug 2003 ~ Aug 2007 bull FTIR study of Atomic layer deposition (ALD) of high-kmetal oxide on semiconductor

surface modified by organic Self-Assembled Monolayers (SAMs) - Examined the chemical and thermal stability of SAMs under the conditions of

ALD processes - Characterized the ALD-grown HfO2 and Al2O3 on organic SAMs with different

functional termination - Investigated ALD precursors chemistry involved in the HfO2 and Al2O3 at

SAMsSilicon interface and optimized the reaction conditions - Studied mechanistically the effects of surface active sites on nucleation and

growth dynamics of ALD high-k metal oxide by using SAMs as model with controllable surface chemistry

- Tested condition of SAM-assisted area-selected ALD on silicon bull Investigation of phase transition in Poly(N-isopropylacrylamide) (PNIPAM) films on

silicon surfaces for applications in controllable micro- nano- fluidic systems - Characterized thin Poly(N-isopropylacrylamide) (PNIPAM) film end-tethered on

surface and investigated the conformational changes during phase transition using in-situ FTIR spectroscopy

bull Modification and characterization of silicon surfaces using organic self-assembled

monolayers for applications in optimization of attachment of biomolecules to silicon surface and nanoelectronics - Fabricated silicon surface with a variety of SAMs through Si-O-Si or Si-C surface

linkages - Studied surface morphology and chemical properties of silicon surface modified

by SAMs - Analyzed chemical bonding and interfacial structure of SAMsSilicon - Developed novel surface chemistry to prepare high quality bio-reactive surface

for biotechnology Research Assistant (Advisor Dr Bernie Yurke) Bell Laboratories Lucent Technologies Murray Hill NJ Apr 2003 ~ Apr 2004 bull DNA Self-Assembly

- Designed DNA strands for formation of DNA nano-complexes - Assembled and Analyzed DNA complexes and imaged the resulting

nano-structures - Developed computer programs to assist designing and optimizing desired

nano-patterns

184

Research Assistant (Advisor Dr Bernie Yurke) California Institute of Technology Computation and Neural Systems Pasadena CA (Prof Eric Winfreersquos Lab) Jun 2003 bull DNA Self-Assembly and Algorithmic principles in biological systems

- Designed DNA strands to generate nano-patterns through DNA self-assembly - Utilized biochemistry techniques to prepare DNA samples and to form synthetic

nano-complexes quantified DNA samples using UV spectrometer formed complicated DNA building blocks to achieve nano-patterns in Polymerase chain reaction (PCR) machine checked structure formation using Gel electrophoreses imaged DNA self-assembled nano-patterns on mica surface using Atomic Force Microscopy (AFM)

- Assembled bio-inorganic nano-systems a periodic gold nano-particle arrays (with L~25nm) using the self-assembled DNA lattice as scaffold imaged the pattern using AFM

EXPERIMENT SKILLS Clean Room Fabrication bull Semiconductor wafer clean and processing bull Micro lithography Suss Coater ACS300 FLEXIFAB coater ASM-L Stepper

Nanospec 8000 film thickness system Suss MA200 Aligner OptiTrac CoaterDeveloper Amray 4200L SEM

Surface Characterization bull Fourier Transform Infrared Spectrometry (FTIR) using a variety of probing

geometries bull Scanning Probe Microscopy (SPM AFM) tapping amp contact mode (in air and in

liquids) bull Scanning Electron Microscopy (SEM) bull Rutherford Back-Scattering (RBS) bull X-Ray photoemission spectroscopy (XPS) bull UHV systems   ChemistryOrganic Polymer Synthesis bull Wet Chemical methods semiconductor sample cleaning (RCA Piranha HF) and

specialized sample handing in inert atmosphere using a glove box bull Functionlization of silicon surfaces with organic material (using both gas and liquid

phase methods) bull Chemistry for thin film deposition (CVD ALD) Computer Skills bull Computer Language C++FortranJava Matlab bull Scientific Software Origin Labview Latex bull Office application MS office 2000

185

bull Operating System UNIX Linux Windows XPNT200098 SUN Solaris on Sparc5Ultra30 workstations SGI R4400 Dell Precision workstation cluster

PUBLICATION bull Meng Li Min Dai Yves J Chabal ldquoIn-situ infrared spectroscopy of Atomic Layer

Deposition high-κ dielectrics on organic self assembled monolayersrdquo Applied Physics Letter 2007 (manuscript in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoSpectroscopic Signature of Self Assembled Monolayer SAMSilicon interfacerdquo 2007 (manuscripts in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFTIR study of Self Assembly of Aminopropyltriethoxysilane on Atomic Flat Hydrogen Terminated Silicon(111) surfacerdquo 2007 (manuscripts in preparation)

bull Guillaume Paumier Anne-Marie Gue Franccediloise Vinet Meng Li Yves J Chabal Alain Estegraveve Mehdi Djafari-Rouhani Jan Sudor ldquoDynamic control of electrokinetic flows on stimuli-responding Surafcerdquo 2007 Langmuir (Acceped )

bull Meng Li Xinlian Luo Qiuhe Peng Zou ZG Chou CK ldquoA new method to determine the thickness of spiral galaxies Apply to Galaxy M31rdquo Chinese Physics Letter 2000 17 (6) 466-468

PRESENTATIONS bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFormation of Aminosilane

self-assembled monolayers on Hydrogen terminated Si(111) surfacerdquo 2006 20th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Alexandra Langner Sandrine Rivillon Yves J Chabal ldquoFTIR Study of Structure and Growth (3-Aminopropl) Triethoxysilane and Triethoxysilane Self Assembly on Silicon Investigated by FTIRrdquo 2005 19th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Bernie Yurke Yves J Chabal ldquo DNA templated nanofabricationrdquo 2004 18th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

PROFESSIONAL AFFILITIES bull Member of American Physics Societies (since 2005) bull Member of American Chemistry Societies (since 2006) REFERENCES Available upon request

  • CoverMeng-fromLatex
  • MengLi_Final_NoCover_10012007
Page 3: MODIFICATION OF SILICON BY SELF …

iii

spectroscopy The SAM with reactive terminal group (-COOH) shows higher thermal

stability than SAM with -CH3 termination The decomposition of alkyl chains at high

temperature is through β-hydride elimination with cleavage of Si-C bond The

alkene-based SAMs are further used as model systems to study reaction and nucleation

processes in ALD The ALD of aluminum oxide on SAM-functionalized silicon with

various terminal groups (-CH3 - NH2 -COOH and -OH) was systematically investigated

using in situ FTIR The results show that all Si-C bound SAMs with different terminal

groups efficiently eliminate the formation of unwanted interfacial silicon oxide during

ALD growth The results also show that aluminum oxide can grow on all terminations of

SAM surfaces but in a type-dependent manner forming more homogeneous films on the

-NH2 -COOH surfaces and very non-homogeneous films on -CH3-terminated surfaces due

to a lack of reactive nucleation sites at the starting CH3-terminated surface

iv

Acknowledgements

Foremost I would like to express my deep gratitude to my advisor Prof Yves Chabal

for providing me with the extraordinary opportunity to complete my PhD work at

Laboratory for Surface Modification at Rutgers Yvesrsquos continuous strong support and

guidance made my thesis work possible He has been always actively interested in my

work and has always been available to advise me I am very grateful for his patience

openness motivation enthusiasm and immense knowledge all these taken together make

him a great mentor What I have learned from Yves is far beyond the knowledge only

I would also thank Dr Bernie Yurke at Bell Laboratories for introducing me into the

wonderful world of DNA self-assembly which finally lead me to my initial PhD work

Bernie was very patient and always ready to help It was he who taught me how to start the

fundamental chemistry and biological lab work from the beginning We had worked

closely for three months and spent half of the summer at Caltech There were lots of funs I

still remember during our stay at Caltech we went out during the weekend to search for the

fossil in the California Mountain and got some pieces of stone from nature for our DNA

surface attachment experiments I would also like to thank Prof Eric Winfree at Caltech

for providing me the opportunity to work in his DNA computing algorithm group There I

got to know many interesting and enthusiastic people and learned lots from them A sincere

appreciation needs to go to my PhD committee members Prof Eric Garfunkel Prof Harry

Kojima Prof Larry Zamick and Prof Sobin Kim for their years-long support and valuable

suggestions

A journey is a lot easier when you travel together This thesis is the result of four years

of work whereby I have been accompanied and supported by many people It is a nice

opportunity that I have now to express my gratitude to all of them

I want to thank present and previous members of our lab Norman Lapin Melissa Stick

Min Dai Alexander Langner Chien-Lan Hsueh Ming-Tsung Ho Dr Sandrine Rivillon

Amy Dr Yu Wang Dr David Michalak and Dr Jean-Francois Veyan It was Norman

who first introduced me to Yvesrsquos lab in 2003 He helped a lot in setting up chemical and

biological equipment which make our life in the lab much easier and smoother Thanks to

v

Melissa for continuous sharing of lots of useful information and research resources with us

It is particularly valuable in an interdisciplinary lab Thanks to Min We worked closely on

the ALDSAM surface project during the last six months of my PhD research He helped

me to extend my research into the field of atomic layer deposition efficiently which was

great adventure and exploration I am grateful to Dr Sandrine Rivillon Amy who helped

me to become better chemical experimentalist in the lab and to Dr Jean-Francois Veyan

who provided me with lots of help to setup and maintain lab instruments from vacuum

chamber to air compressor Thanks to Nancy Pamula who made all administrative issues

during my stay at LSM very easy Thanks to my colleagues and friends in LSM and

Physics departments who made my life at Rutgers more colorful and enjoyable

I feel a deep sense of gratitude for my father and mother who formed part of my vision

and taught me the good things that really matter in life When I was a child my father

taught me all kinds of material which I could not learn enough from the school from

calligraphy music instrument and nature science The happy memory of my father still

provides a persistent inspiration for my journey in my life I have also my deepest gratitude

to my mother She has shouldered all the heavy burden of taking good care of two children

when my father passed away Without her great caring and strong endless support and love

it was impossible for me to get a high quality education and achieve my goals in life I

dedicate this work to both of them to honor their love patience and support during these

years I am very grateful to my wife Julia for her love and patience during the period of

study and to my sister Xin for helping my mother and the family

Last I would like to express my sincere gratitude to Dr Yan Xin who offers me the

precious opportunity to learn traditional advanced Chinese qigong - Yan Xin Qigong

Learning Yan Xin Qigong has accompanied me during my whole academic period since

1994 soon after I entered the Nanjing University at China It has maken my life much

healthier and more energetic and helped to handle any challenge on my way to seek a

higher goal of life and its true meaning

vi

Table of Contents

ABSTRACT OF THE DISSERTATION ii Acknowledgements iv Table of Contents vi List of Figures ix Chapter 1 Introduction to Silicon Surface Modification Using Self-Assembled

Monolayers 1 11 Introduction 1 12 Chemical Modification of Silicon Dioxide 4 13 Chemical Modification of Silicon (111) Surfaces 5 14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition 7 15 Summary 8 Bibliography 10

Chapter 2 Experimental Detail of Silicon Surface Modifications and Characterization

Technique ndash FTIR Spectroscopy 14 21 Introduction 14 22 Experimental 14

221 Materials 14 222 Sample Preparations 15

23 Instrumentation FTIR Spectroscopy 16 231 Molecular Excitations and Vibrations 16 232 Fourier Transform Infrared Absorbance Spectroscopy 17

24 Instrumentation Atomic Layer Deposition Set-up 23 Bibliography 25

Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study of Surface Bonding and

Interfacial Structure of alkyltrichlorosilane-based SAMs on Silicon Dioxide 26 31 Introduction 26 32 Experimental 28

321 Materials 28 322 Sample Preparations 29 323 Characterization 30

33 Results 30 331 TCS SAM on SiO2 30 332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2 39 333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2 (Chain Length Effects on Head group cross-linking Structures) 45

34 Discussion 51 35 Conclusion 58 Bibliography 59

vii

Chapter 4 Amino-Terminated Monolayer on H- Terminated Crystalline Silicon (111) By an Self Catalytic Reaction 62 41 Introduction 62 42 Experimental 63 43 Results 65

441 Amine-catalyze Oxidization of H-Si backbond and attachment of APTES 88 442 Limited Interfacial Oxidization 89 443 Stability of APTES layer and Binding Capability 89

45 Conclusion 91 Bibliography 92

Chapter 5 Alkylation of H-Terminated Silicon (111) and Thermal Stability of SAMs with

Different Functionalities 95 51 Introduction 95 52 Experimental 96

521 Materials 96 522 Preparation of Self Assembled Monolayers 96

53 Results 98 531 Preparation of Octadecylated Si (111) Surface 98 532 Preparation of COOH-Terminated Monolayer on Si(111) Surface via a Si-C bond 102 533 Chemical Stability Resistance of Organic Monolayer via a Si-C bond to HFNH4F 104 534 Thermal Stability of Octadecylated Si(111) 105 535 Thermal Stability of COOH-Terminated-Si (111) 109

54 Discussion 113 541 Alkyl Packing on the H-Si (111) surface (Theory and Model) 113 542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111) 113 543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)115

54 Conclusion 119 Bibliography 120

Chapter 6 Selective Atomic Layer Deposition (ALD) of Metal Oxide on SAMs with

Different Functional Groups 122 61 Introduction 122 62 Experimental 125

621 Materials 125 622 Preparation of Self Assembled Monolayers 125 623 Characterization of the Self-Assembled Monolayers 128 624 Atomic Layer Deposition Chamber Set Up UHV apparatus 128

63 Results 129 631 Preparation and Characterization of COOH- terminated SAMSi(111) (same as Chapter 5) 129 632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111) 129 633 ALD Growth of TMA on COOH-terminatedSi (111) 134

viii

634 ALD TMA on ndashOH terminated SAMSi (111) 142 635 ALD TMA on ndashNH2 terminated SAMSi(111) 148 636 ALD TMA on ndashCH3 Terminated SAMSi(111) 155 637 ALD Growth of TMA on SiO2 157

64 Discussion 160 641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM 160 642 Mechanism for ALD growth on ndashCH3 SAM 161 643 Initial Reaction Mechanism for ALD growth on ndashOH SAM 165 644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM 167 645 Comparing Initial Reaction of TMA on SAMs with different terminal group 169 646 Comparing the Nucleation and Al2O3 films grown on the different substrate 170

65 Conclusion 174 Bibliography 175

Chapter 7 Summary 178

71 General Conclusions 178 Bibliography 181

CURRICULUM VITAE 182

ix

List of Figures

Figure 11 A Diagram of Field Effect Transistor 3 Figure 21 Inside layout of FT-IR Spectrometer (Nicloet) 18 Figure 22 Interferogram of silicon sample 19 Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission Geometry 21 Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated Si(111) sample

22 Figure 25 FTIR Spectra of water Vapor and CO2 gas 23 Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission FTIR

spectroscopy set up24 Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with

Different Thickness 32 Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 33 Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon Mode of Oxidized

Silicon Substrate35 Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1 35 Figure 35 FTIR Spectra of Polymerized TCS film on SiO2 36 Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area of H-SiO3 and Peak

at 1200-1200 cm-138 Figure 37 Toluene Effect on Oxidize Silicon Substrate 40 Figure 38 Different TCS configuration on the Surface and polymerized film 40 Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode)43 Figure 310 Deconvolution of Si-O-Si absorption band of TCS films 44 Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2 46 Figure 312 A reaction between Monochlorine silane and SiO2 substrate46 Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes

with different chain length47 Figure 314 Sis-O-Si in different configuration Different group attached to Si 48 Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different Substrate 50 Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 52 Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

54 Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface 57 Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane62 Figure 42 p-maleimidophenyl isocyanate (PMPI)65 Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES 66 Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect 69 Figure 45 FTIR Spectra of Time Dependence Experiments70 Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and

without UV (284nm) Illumination72 Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time74 Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water

75 Figure 49 PMPI 24 hours on APTESH-Si(111) 77 Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c

Toluene only79 Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine81 Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or without water

83 Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I) 86

x

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II) 87 Figure 415 Possible Mechanism of APTES reacts with surface H-SiO388 Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)97 Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene 100 Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains 101 Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid103 Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution 106 Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure

N2 gas from 100 to 400 degC 107 Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated

Si(111) sample108 Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c111 Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111)112 Figure 510 Possible Reaction during Annealing 118 Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen

environment118 Figure 61 SAM Assisted Atomic Layer Deposition of Metal 124 Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM 128 Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to TMA for various time

130 Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900 cm-1 131 Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl solution treatment

133 Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111) 135 Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)138 Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on COOH-SAM H-Si(111)

post-annealed in ultra pure N2 gas from 300 to 600 degC 139 Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with COOH-SAM and

following 10 cycle TMAD2O growth and 600 degC annealing 140 Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM 144 Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)147 Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) 150 Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

151 Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method

(Method II) are verified by reacting with PMPI 152 Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) 154 Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111) 156 Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post

annealed from 300 to 600c 159 Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA

Growth on -CH3 SAM164 Figure 619 -COOH SAM terminated Si(111) 167 Figure 620 Possible absorbed TMA on ndashOH terminated Surface 168 Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM168 Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different

terminal groups171 Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group172 Figure 624 Comparison of ALD TMA on the surface with different termination group 173

1

1 Chapter 1 Introduction to Silicon Surface Modification

Using Self-Assembled Monolayers

11 Introduction

Silicon chips have been the backbone of modern electronic technology and computing for

several decades As the size of devices on silicon wafers scales down to sub-nanometer the

surface characteristics become dominant and play an increasingly crucial role in device

operation As the ratio of surface area-to-volume increases in smaller objects precise

control of interface properties is required in the many fields not only in microelectronics

but in other applications including sensors MEMSNEMS molecular electronics and

biologically active surfaces The conventional SiO2Si passivation widely used in

microelectronics solely is not able to fully meet the need of developments It becomes very

exciting field to integrate molecular scales devices solid state inorganic structure with

biological active interface

The conventional ldquotop-downrdquo fabrication technologies become more limited at such a

small scale and prohibitively expensive with impractically low throughput Alternatively

self-assembly an inspiration from the nature could provide a very promising solution to

building nanostructures from the ldquobottom uprdquo Self-Assembled Monolayers (SAMs) of

organic molecule are highly ordered two-dimensional structures on the surface and forms

spontaneously They have been widely used as surface modifiers for practical applications

and basic research since they offer a means of altering and controlling the chemical nature

of surfaces They also have a great potential to satisfy many requirements for a new

nanofabrication technology Self-assembly for instance could make it possible to produce

devices with nanometer precision (even in three dimensions) with parallel processing using

simple wet-chemistry or gas-phase technique for cost-effective manufacturing

SAMs have been widely used in the many areas [1] and particular useful in the

nanotechnology and biotechnology including wetting adhesion friction chemical

2

sensing nano-scale lithography surface patterning[2 3] molecular lubrication[4] and

corrosion prevention [5]

There are different SAM systems have been investigated The most common

adsorbatesubstrate combinations are sulfur-containing molecule on gold (forming Au-S

bonds) organosilanes such as alkylchlorosilanes and alkylalkoxysilanes on oxide surface

(forming Si-O-Si bonds) alkenes on hydrogen terminated silicon surface(forming Si-C

bonds) alcohols on H-terminated silicon surface (forming Si-O-C bonds) Although thiol

Au constitutes the most studied model system metal substrates are less useful or versatile

for fabricating electronics than semiconductor materials In this study we therefore focus

on self-assembly on silicon substrates a system with beneficial properties for future

applications such as fabrication of organic-inorganic hybrid devices biochips (protein

array and DNA array) and biosensor We consider attachment of alkoxysilane molecules

on oxidized silicon (SiO2) as an important model of SAMS on glass We then consider the

interaction of two important classes of molecules on H-terminated silicon surfaces first

amino-terminated SAMS (with ethoxy termination) such as APTES and chlorosilanes such

as octodecylchlorosilanes

Consideration of these systems is important for electronics Let us consider for instance a

conventional field effect transistor with a SiO2 gate oxide (Figure 11) It has been shown

that a dense packed organic monolayer alkyl chain with only a few nanometer thickness is

a very efficient insulating barrier [6] It has a high tunneling barrier (45 eV) for charge

carriers that reduces the tunneling current density at a negligible level (10ndash8 Acm2 at 4

MVcm) [7 8] In comparison the SiO2 insulating layer within equivalent nanometer

thickness range (lt3nm) has leakage current as large as 10-1-10-3 Acm2 [9] SAM-dielectric

organic transistors have been successfully demonstrated on silicon [7 10-12] and on

substrate of metal aluminum terminated with hydroxyl groups [13] The advantage of using

SAMs is not only that is reduces the leakage current but also that it allows an organic thin

film transistor to operate at low voltage which is intrinsic requirement for the device made

of organic semiconductor material [10] Furthermore the tailorable SAM surface can be

modified with methyl- carboxylic amine and hydroxyl group terminations with different

surface energy which can be used to control the carrier density in a device such as organic

3

field-effect transistors [14] SAMs are also widely used as gate dielectrics in fabricating

novel nanoeletronic devices such as carbon nanotube field effect transistors [15]

Figure 11 A Diagram of Field Effect Transistor

Determination of the electrical properties of the organic monolayers and their

interfaces is a key issue for both molecular and silicon nanoelectronics For this purpose

the presence of the 10ndash15 nm thick SiOx layer in the alkylsiloxane SAMs formed from

n-alkyltrichlorosilane on oxidized silicon surfaces precludes the study of a true

siliconorganicndashmonolayer interface The direct formation SAMs on H-Si by

hydrosilylation with alkenes allows direct measurement of SAM-Si interface [16 17] The

interface trap density obtained in the case of the silicon1-octadecene interface was more

than an order of magnitude lower than that obtained in the case of the alkyl chain

monolayer on the oxidized silicon surface [17]

The interaction of biomolecule such as DNA proteins or cells with semiconductors

such as silicon is of great interest for applications such as electronic biosensing To

achieve this silicon need to be properly functionalized by using SAMs with desired end

groups SAMs can be used to either enhance or inhibit adsorption of biomolecules The

SAMs can be also used to control the electronic properties of the surface For example a

single nanowire modified with amine-terminated SAMs is used to detect the pH change in

the solutions [18] It is also shown the direct electrical detection of DNA synthesis can be

done by applying SAMs[19]

4

12 Chemical Modification of Silicon Dioxide

Because silicon dioxide has been widely used in the microelectronics industry for decades

as a method to passivate silicon surface control surface electronic and chemical properties

and pattern surface the first interest of this work focuses on the modification of SiO2 with

SAMs The study of SAMSiO2 has grown rapidly since Sagiv and his co-workerrsquos

pioneered working on SAMSiO2[20] In general understanding silane-based SAMs are

believed to be bonded to SiO2 substrate through Si-O-Si bond and thus can be integrated

into current SiO2-based micronano electromechanical systems (MEMSNEMS) SAMs

also play important roles in fabricating novel hybrid organic-inorganic devices For

instance formation of the SAMs onto the SiO2 gate insulators is known to be a very

effective method to increase the field-effect mobility of organic thin film field

transistors[21]

In spite of wide applications of alkylsiloxane SAMs on SiO2 the actual mechanism of

monolayer formation on SiO2 remains a subject of debate Many studies have been carried

out to investigate the underlying mechanism but the results are often conflicting

In general picture the silane molecule first must be hydrolyzed to form reactive silanol

groups and further they condense with surface hydroxyl groups [22] to form Sis-O-Si bond

or with other hydrolyzed silane molecule to form Si-O-Si cross-linking Unlike SAMs of

alkanethiols and fatty acids in which the ultimate film structure is determined by

substrate-molecule and van der Waals chain-chain interactions the reactive head-groups in

organosilanes introduce potential lateral film growth mechanisms at substrates Formation

of head group cross-linking is very sensitive to environment such as water content

temperature [23] [24] The competition between head group cross-linking and surface

bond formation leads to poor reproducibility and inconsistent results

It is also argued that cross-linking and thin adsorbed water layer are keys to form high

quality SAMs but the bond between the chains and substrate is not necessary [25] [26]

The earlier IR study suggests few Sis-O-Si forms if there is any[27] In addition to the

existing debate on the formation of interface Sis-O-Si bond the growth dynamics of SAMs

whether it is uniform growth or island growth is also under the debate Overall results

suggest that it depends on water content [28 29] and self-assembly process strongly favors

5

island-type growth with increasing water content However the island-growth is still found

in the condition of absence of traces of water in solution [30]

Amine-terminated surface is very useful in the biological applications The important

applications of APTES-modified surface include promotion of adhesion and

immobilization of membrane and biological molecule physically or chemically Aminated

surface is also used for directing growth of the nanoparticles and nanorodes [31] [32] on

the surface One of widely used method is using aminosilane (APTES) on SiO2 substrate

However compared to the other alkylsilaneSiO2 the ndashNH2 functional group introduces

more complexity into already complicated SilaneSiO2 system The interaction between

NH2 group and surface hydroxyl groups or silanol groups makes it even more difficult to

form ordered layers NH2 group acts like build-in catalyst for the reaction of alkoxy [33]

[34] and chlorosilane [35 36] It can efficiently catalyze the formation of Si-O-Si bond and

exaggerate the self-polymerization Even worse APTES-modified SiO2 is found to be

unstable in the aqueous solution and can be easily removed from the surface This problem

greatly hinders its applications in the biological application where most of reactions are

conducted in the water-soluble solution

13 Chemical Modification of Silicon (111) Surfaces

Hydrogen passivated silicon has been the starting point for many important applications in

which the oxide is not desirable due to its insulting barriers and high density of electronic

defects at the interface H-terminated crystalline silicon (111) is particularly interesting

because of its unique characteristics H-Si(111) surface is atomic flat over large area (over

hundred Angstroms) and has very well defined surface structure with three of the Si atom

tetrahedral bond tied up with bulk silicon and one Si which is normal to surface terminated

with hydrogen atom It is least sterically hindered surface available on Si crystal that can be

easily prepared by wet chemical etching in aqueous hydrofluoride and ammonium fluoride

solutions[37] H-Si(111) is stable and can be easily manipulated in the air or organic

solvent without using expensive vacuum system Finally the reactivity of H-Si toward

organic materials and the well understood interface makes it great candidate for potential

molecular-semiconductor devices or chemical biosensor

6

Even though H-terminated silicon (111) is relatively stable in pure O2 or pure water

environments an oxide layer quickly forms upon exposure to ambient environment

Consequently the oxidization reduces its electrical properties and compromises the device

performance One promising technique to overcome this oxidization problem is to form

molecular monolayers with stable surface Si-C bond

Covalently attached organic monolayers on oxide-free silicon surface get increasing

attention because of their structural order stability technique usefulness and

controllability It can be easily prepared by a reaction between 1-alkene and a hydrogen

terminated silicon surface thermally [38-40] photo-chemically[41] by employing

transition metal catalysis[42] or by Lewis acid catalyzed reactions[43 44] It also has been

accomplished using alkyl Grinard and alkyl lithium reagents in a two-step process [45-47]

The structure of monolayers formed on silicon by hydrosilylation of 1-alkenes and

1-alkynes has been studied by FTIR contact angle experiments X-ray analysis [39 48

49] impedance spectroscopy[50 51] and a variety of theoretical techniques including

molecular mechanics[52] molecular dynamics[53] and density functional computations

[52 54-57]

Two mechanisms have been proposed for hydrosilylation of H-terminated silicon

Linford suggested radical chain mechanism [38 39] A surface silyl radical is formed from

UV or thermal activation attacks the unsaturated bonds (C=C) of 1-alkenen to form Si-C

bond with formation of a new radical center on the carbon atom The carbon centered

radical of chain abstracts nearestndashneighbor H atom forming a new silyl radical and

initiating the free-radical chains reaction An alternative mechanism involving formation

of electron-hole pairs has been proposed by Buriak et al They investigated hydrosilylation

promoted with white light and suggested an unbound exciton produced by light absorption

that leads to a surface-localized supra-band gap positive charge This surface charge can

then interact with alkenes and form a silylated β-carbocation upon Si-C bond formation

This carbocation can then abstract a hydride from an adjacent Si-H bond yielding the

neutral organic termination [58]

A great interest is on how alkyl chains pack on the Si(111) surface In a simple steric

model of alkylated surface the inter-nuclear distance between adjacent atop sites on the

Si(111) crystal face is 38 Aring and a methyl group with a van der Waals diameter 25 Aring is

7

small enough to replace every H atom and link to every Si atom reaching 100 coverage

However alkyl chain with chain length longer than two carbons has van der Waals

diameter 45- 50 Aring implying that it cannot fit to every Si site because of steric restriction

A theoretical model study on alkyl packing has shown the maximum coverage for long

n-alkyl chains on Si(111) is 50-55 [52]

This work concerns with thermal hydro-silylation of H-Si(111) with a linear

1-alkene1-undecenic acid and its derivatives

14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition

SAM modified silicon substrate can be integrated into other nanoelectronics applications

because it can effectively control the surface properties One application is the application

of deposition of ultra-thin inorganic material (high-k) on the top of SAM modified surface

Atomic Layer deposition (ALD) is a thin film growth technique based on a sequential

self-limited surface reaction It has recently received considerable attention as a method for

depositing high quality thin films of insulators semiconductors and metals with

sub-nanometer control of growth process The surface-reaction-controlled binary

deposition mechanism ensures high conformal growth with precise control of the film

thickness and uniformity over large area

The ALD growth and film quality are sensitive to the starting surface The nucleation

and the interface formation are greatly affected by the surface functional group and

reactivity with ALD precursors Usually hydroxyl group -OH on SiO2 is mostly used By

controlling the surface functional group ALD process can be manipulated for

area-selective ALD growth[59 60] a controllable nucleation

Scaling down integrated circuit require to replace the SiO2 gate dielectric (dietetic ~ 34)

in metal-oxide-semiconductor field effect transistor (MOSFET) with high-k dielectrics in

order to reduce the required effective oxide thickness (EOT) without considerable leakage

Among the stable oxide Al2O3 (k~7) and HfO2 (k~25) are very promising candidates with

high dielectric constant so that have been studies intensively on different substrate such as

8

SiO2 porous silicon and H- terminated crystal silicon The popular combination includes

trimethylaluminum (TMA) and water for Al2O3 and TEMAH and water for HfO2 growth

To fully take advantage of using high-k material ALD deposition requires to use

oxide-free H terminated silicon as starting surface to obtain atomically sharp Sihigh-k

interface The existences of SiO2 will significantly compromise the benefits of using

high-k dielectrics by reducing the effective gate stack capacitance

The usage of HSi as a substrate for high-k material such as HfO2 and Al2O3 deposition

although very promising has been found to have the following problems 1) low reactivity

HSi has remarkable resistance to oxidization however it also causes the poor nucleation

characteristics of many ALD-grown high-k films resulting in nonlinear growth kinetics

and formation of discontinuous and electrically leaking gate stacks 2) interfacial SiO2

formation It is found that interfacial SiO2 is unavoidably formed during ALD growth as a

side-reaction [61] [62] The method to increase nucleation and promote linear growth by

using more reactive precursor such as replacing water with Ozone has been found increase

interfacial SiO2 formation more So to have a surface with enough reactivity toward ALD

precursor while remaining resistance to unwanted oxidizations is important to solve the

obstacle mentioned above

In this thesis we use self-assembled monolayers formed from hydrosylation of

H-Si(111) as starting substrate and use Al2O3 as an example to demonstrate SAM assisted

ALD deposition of high-k dialectics on oxide-free surface The Alkyl-SAM covalently

bond to Si substrate through Si-C bond is extremely stable and will not be oxidized in the

ambient environment up to months or under the current ALD growth condition Meanwhile

the tailorable head groups of SAM provide a neat way to study and control (either promote

or inhibit) nucleation of ALD process We research into high-k precursor adsorption and

initial ALD growth on SAM with different chemical functional groups (-OH -COOH

-NH2 -CH3) using in-situ infrared spectroscopy In addition our study also provides

deeper understanding on ALD on polymers surface

15 Summary

9

The work presented here addresses several important issuers existing in current two most

popular silicon substrate-based self-assembled monolayers systems alkylsilaneSiO2 and

alkenesH-Si(111) by using powerful FTIR spectroscopic technique We first discuss the

chemical modification of SiO2 via Si-O-Si bond through formation of siloxane monolayers

and chemical modification of oxide-free crystal Si surface by Si-C bond through one-step

thermal alkylation process using alkenes

In silaneSiO2 system particular emphasis is placed on identifying IR spectral

signature at the SAMSiO2 interface This is first time that the spectral changes of SiO2

substrate is observed after SAM modification implying Sis-O-Si formation between silane

and substrate which is described in Chapter 3 In Chapter 4 we discuss a specific example

of silane-based new method to prepare stable -NH2 terminated surface on H-Si(111)

surface without required ndashOH surface groups The new methods different from either

conventional SilaneSiO2 system or alkeneH-Si(111) system overcomes some

disadvantages in current widely used methods

Chapter 5 discusses functionalization of H-Si(111) using 1-alkene (-CH3) and

1-undecylnic acid (-COOH) and its thermal stability by using is-situ FTIR technique In

Chapter 6 we first discuss the preparation of Si-C SAM with other two types of chemical

functional groups (-OH -NH2) and atomic layer deposition of high-k metal oxide (Al2O3)

on different functional surface is described and compared in the second part of Chapter 6

Finally a general conclusion of current understanding of the mechanism of

SilaneSAM and atomic layer deposition of metal oxide on alkylated Si(111) with different

functional groups is summarized in Chapter 7

10

Bibliography

1 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev

1996 96(4) p 1533-1554 2 Finnie KR R Haasch and RG Nuzzo Formation and Patterning of

Self-Assembled Monolayers Derived from Long-Chain Organosilicon Amphiphiles and Their Use as Templates in Materials Microfabrication Langmuir 2000 16(17) p 6968-6976

3 Zheng J et al Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon Langmuir 2000 16(10) p 4409-4412

4 V V Tsukruk Molecular Lubricants and Glues for Micro- and Nanodevices Advanced Materials 2001 13(2) p 95-108

5 Jennings GK et al Effect of Chain Length on the Protection of Copper by n-Alkanethiols Langmuir 1998 14(21) p 6130-6139

6 Boulas C et al Suppression of Charge Carrier Tunneling through Organic Self-Assembled Monolayers Physical Review Letters 1996 76(25) p 4797 LP - 4800

7 Collet J et al Low-voltage 30 nm channel length organic transistors with a self-assembled monolayer as gate insulating films Applied Physics Letters 2000 76(14) p 1941-1943

8 Vuillaume D et al Organic insulating films of nanometer thicknesses Applied Physics Letters 1996 69(11) p 1646-1648

9 Lo S-H et al Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFETs Electron Device Letters IEEE 1997 18(5) p 209-211

10 Halik M et al Low-voltage organic transistors with an amorphous molecular gate dielectric 2004 431(7011) p 963-966

11 Park YD et al Low-voltage polymer thin-film transistors with a self-assembled monolayer as the gate dielectric Applied Physics Letters 2005 87(24) p 243509

12 Yoon MH A Facchetti and TJ Marks sigma-pi molecular dielectric multilayers for low-voltage organic thin-film transistors Proceedings of the National Academy of Sciences of the United States of America 2005 102(13) p 4678-4682

13 Klauk H et al Ultralow-power organic complementary circuits 2007 445(7129) p 745-748

14 Kobayashi S et al Control of carrier density by self-assembled monolayers in organic field-effect transistors 2004 3(5) p 317-322

15 Weitz RT et al High-performance carbon nanotube field effect transistors with a thin gate dielectric based on a self-assembled monolayer Nano Letters 2007 7(1) p 22-27

16 Miramond C and D Vuillaume 1-octadecene monolayers on Si(111) hydrogen-terminated surfaces Effect of substrate doping Journal of Applied Physics 2004 96(3) p 1529-1536

11

17 Kar S C Miramond and D Vuillaume Properties of electronic traps at silicon1-octadecene interfaces Applied Physics Letters 2001 78(9) p 1288-1290

18 Cui Y et al Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species

101126science1062711 Science 2001 293(5533) p 1289-1292 19 Pourmand N et al Direct electrical detection of DNA synthesis 101073pnas0601184103 PNAS 2006 103(17) p 6466-6470 20 Netzer L and J Sagiv A new approach to construction of artificial monolayer

assemblies J Am Chem Soc 1983 105(3) p 674-676 21 Lin YY et al Stacked pentacene layer organic thin-film transistors with

improved characteristics Ieee Electron Device Letters 1997 18(12) p 606-608 22 Zhuravlev LT Concentration of hydroxyl groups on the surface of amorphous

silicas Langmuir 1987 3(3) p 316-318 23 Parikh AN et al Correlation of Molecular-Organization and Substrate

Wettability in the Self-Assembly of N-Alkylsiloxane Monolayers Journal of Physical Chemistry 1995 99(24) p 9996-10008

24 Glaser A et al Investigation of the role of the interplay between water and temperature on the growth of alkylsiloxane submonolayers on silicon Langmuir 2004 20(13) p 5599-5604

25 Finklea HO et al Formation of an organized monolayer by solution adsorption of octadecyltrichlorosilane on gold electrochemical properties and structural characterization Langmuir 1986 2(2) p 239-244

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

28 Vallant T et al Formation of self-assembled octadecylsiloxane monolayers on mica and silicon surfaces studied by atomic force microscopy and infrared spectroscopy Journal of Physical Chemistry B 1998 102(37) p 7190-7197

29 Vallant T et al Investigation of the formation and structure of self-assembled alkylsiloxane monolayers on silicon using in situ attenuated total reflection infrared spectroscopy Langmuir 1999 15(16) p 5339-5346

30 Wang MJ et al Self-assembled silane monolayers Fabrication with nanoscale uniformity Langmuir 2005 21(5) p 1848-1857

31 Taub N O Krichevski and G Markovich Growth of Gold Nanorods on Surfaces J Phys Chem B 2003 107(42) p 11579-11582

32 Mieszawska AJ GW Slawinski and FP Zamborini Directing the growth of highly aligned gold nanorods through a surface chemical amidation reaction Journal of the American Chemical Society 2006 128(17) p 5622-5623

33 Blitz JP RSS Murthy and DE Leyden Ammonia-catalyzed silylation reactions of Cab-O-Sil with methoxymethylsilanes J Am Chem Soc 1987 109(23) p 7141-7145

12

34 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

35 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

36 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

37 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

38 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

39 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

40 Sieval AB et al An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces Langmuir 1999 15(23) p 8288-8291

41 Terry J et al Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift scanned-energy photoelectron diffraction Applied Physics Letters 1997 71(8) p 1056-1058

42 Holland JM et al Metal Mediated Reactions on Porous Silicon Surfaces Journal of Solid State Chemistry 147 p 251-258

43 Buriak JM and MJ Allen Lewis Acid Mediated Functionalization of Porous Silicon with Substituted Alkenes and Alkynes J Am Chem Soc 1998 120(6) p 1339-1340

44 Ohyama H et al Photoluminescence of porous silicon surfaces stabilized through Lewis acid mediated hydrosilylation Journal of Luminescence 80 p 29-35

45 Bansal A et al Alkylation of Si Surfaces Using a Two-Step HalogenationGrignard Route J Am Chem Soc 1996 118(30) p 7225-7226

46 Bansal A et al Spectroscopic Studies of the Modification of Crystalline Si(111) Surfaces with Covalently-Attached Alkyl Chains Using a ChlorinationAlkylation Method J Phys Chem B 2001 105(42) p 10266-10277

47 Webb LJ and NS Lewis Comparison of the Electrical Properties and Chemical Stability of Crystalline Silicon(111) Surfaces Alkylated Using Grignard Reagents or Olefins with Lewis Acid Catalysts J Phys Chem B 2003 107(23) p 5404-5412

48 Fidelis A F Ozanam and J-N Chazalviel Fully methylated atomically flat (111) silicon surface Surface Science 2000 444(1-3) p L7-L10

49 MP Stewart EGR TW Geders MJ Allen H Cheul Choi JM Buriak Three Methods for Stabilization and Functionalization of Porous Silicon Surfaces via Hydrosilylation and Electrografting Reactions physica status solidi (a) 2000 182(1) p 109-115

50 Allongue P et al Organic monolayers on Si(111) by electrochemical method Electrochimica Acta 1998 43(19-20) p 2791-2798

51 Yu H-Z et al Molecularly Tunable Organic Capacitors at SiliconAqueous Electrolyte Interfaces1 J Phys Chem B 2000 104(47) p 11157-11161

13

52 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

53 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

54 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

55 Kruse P et al Patterning of Vinylferrocene on H-Si(100) via Self-Directed Growth of Molecular Lines and STM-Induced Decomposition Nano Lett 2002 2(8) p 807-810

56 Kang JK and CB Musgrave A quantum chemical study of the self-directed growth mechanism of styrene and propylene molecular nanowires on the silicon (100) 2 x 1 surface The Journal of Chemical Physics 2002 116(22) p 9907-9913

57 Pei Y J Ma and Y Jiang Formation Mechanisms and Packing Structures of Alkoxyl and Alkyl Monolayers on Si(111) Theoretical Studies with Quantum Chemistry and Molecular Simulation Models Langmuir 2003 19(18) p 7652-7661

58 Buriak JM and MP Stewart Exciton-Mediated Hydrosilylation on Photoluminescent Nanocrystalline Silicon J Am Chem Soc 2001 123 p 7821-7830

59 Chen R et al Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Applied Physics Letters 2005 86(19)

60 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

61 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

62 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

14

2 Chapter 2 Experimental Detail of Silicon Surface

Modifications and Characterization Technique ndash FTIR

Spectroscopy

21 Introduction

Two classes of methods are applied to silicon surface modification based on starting silicon

surface For silicon dioxide surface organicsilane-based silanization was applied For

hydrogen terminated silicon (111) surface a thermal alkylation method adapted from

Chidsay et al was used[1 2]

22 Experimental

221 Materials

Float-Zone grown Si(100) from Silicon Valley Microelectronic (San jose CA) with thin

oxide ( ~ 60 Aring) is used for silicon oxide based silanization The native oxide was etched

away by diluted HF solution and re-oxidized in hot piranha solution It provides a dense

and uniform thin oxide layer with thickness 15-20 Aring

Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was

used for preparing hydrogen terminated surface The silicon wafer was doped with p to a

resistivity gt 30 Ω cm and polished on both sides to a thickness of 450 μm Si(111) samples

are cut to a size of 15 x 38 cm in order to fit into homemade sample holder for ALD

chamber

All solvents used in silanization and alkylation reaction were anhydrous (for detailed

information see the specific chapters respectively) stored under nitrogen-purged glove box

and used as received from Sigma-Aldrich Corp All chemicals were used as received

except where noted The deionized water with resistivity of gt182 MΩ cm obtained from a

Barnstead Nanopure system (Dubuque IA) was used at all time

15

222 Sample Preparations

2221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in a

hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yeild high quality oxide with high density of surface

hydroxyl group In addition the thickness of oxide can be appropriately controlled by

varying the time of dipping in the piranha solution

2222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into either N2 purged FTIR spectrometer

chamber for taking reference spectra or N2 purged glove box where all further chemical

functionalizations were conducted

16

2223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used for surface modification It is well

known that silanization process is very sensitive to many parameters such as water content

humidity glassware history resulting in the lack of reproducibility The experiments

reported in this work has been carried in N2 purged glove box with extreme care However

some parameters are still hardly possible to be controlled For example the mount of thin

water layer physically absorbed on the hydroxyl surface depends on the environmental

humidity In our experimental condition there was no chance to remove them or control

them The freshly cleaned silicon oxide sample was immediately introduced into the N2

glove-box after taking reference spectra The 01 (vv) silane solution (OTS BTCS TCS

APTES) is freshly prepared by pipetting 20 μL neat silane liquid into 20 mL anhydrous

toluene The sample was sitting inside the 01 APTES solution for a desired time and

rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried in

N2 (g) flow and transformed into FTIR chamber for measurement

2224 Thermal Alkaylation of H-Si(111) Surface

Neat alkene (ocatadecene (C18) (98) ) was pre-treated with 3Aring molecular sieve for

overnight and then N2 (g) bubbling for at least 1 hour right before the reaction It is very

important to have N2 bubbling for sufficient time period in order to get high quality SAM

without oxidizing the silicon surface After transferring the ocatadecene liquid into the

three-necked flask loading H-Si(111) sample and assembling the condenser tube in the N2

glove box the whole set was sealed and moved out from N2 glove box to a regular chmical

hood The octadecene was then continuously purged with N2 (g) for additional 30 min

before heating it up to reaction temperature of 200 degC in a silicon oil bath

23 Instrumentation FTIR Spectroscopy

231 Molecular Excitations and Vibrations

Not all molecular vibrations absorb infrared radiation It depends on the dipole moment of

the bond The electric field of incoming infrared beam alternately stretches and compresses

a polar bond If this alternate stretching and compressing of the bond occurs at the natural

17

frequency of vibration of bond energy may be absorbed This kind of vibration of bond

with dipole moments generally results in IR absorption (IR active) When the bond has

symmetric distribution and zero dipole moment the external electric field does not interact

with it As a consequence no IR absorption can be observed (IR inactive) However this

bond could still possibly be seen in IR spectrum whenever its symmetric becomes

non-symmetric for the part of time because of the effect from environments

232 Fourier Transform Infrared Absorbance Spectroscopy

Infrared Spectroscopy is powerful tool used for material analysis for over seventy years It

meansures the frequencies of infrared light absorbed by a compound Unlike conventional

dispersive IR where each wavelength of radiation is scanned individually and requires a

considerable amount of time an FTIR spectrum contains all frequencies scanned at the

same time (all included in the interferogram) The infrared spectrum represents a

fingerprint of a sample with absorption peaks which corresponds to the frequencies of

vibrations between the bonds of the atoms that make up the material Furthermore FTIR

offers high signal-to-noise ratio and can be used to detect even monolayer molecule on the

surface

In the surface science FTIR technique is particular useful tool for investigating the

surface-bound species and interface bonding of a chemically modified surface It provides

a non-destructive method to probe the sample surface and interface High sensitivity allows

FTIR to probe monolayer at the interface In addition with modern software algorithm it

makes FTIR a great tool for quantitative analysis

2321 Overview Figure 21 shows the overview layout inside a FTIR spectrometer (Nicolet) A

polychromatic infrared beam is emitted from source and then focused into the plane of an

adjustable aperture by an elliptical mirror The light hits a beam splitter and half of light

passes through directly to a fixed mirror and half of it reflected on a fast-moving mirror

Two beams are reflected back from mirrors and recombined at splitter forming

interferogram The encoded interferogram passes through the sample and finally reaches

the detector (Liquid nitrogen cooled MCT-A MCT-B or room temperature DTGS

18

detector) A helium-neon monochromatic laser beam is used as reference to calculate

position of moving mirror to make sure interferometer measures at exactly the same data

point

Figure 21 Inside layout of FT-IR Spectrometer (Nicloet)

2322 Interferogram The interferometer produces a unique type of signal which has all of the infrared

frequencies ldquoencodedrdquo into it The signal can be measured very quickly usually on the

order of one second or so Interferometers employ a beamsplitter which takes the incoming

infrared beam and divides it into two optical beams One beam reflects off from a flat

mirror which is fixed in place The other beam reflects off of a flat mirror which is on a

mechanism that allows this mirror to move a very short distance (typically a few

millimeters) away from the beam splitter The two beams reflect off from their respective

mirrors and are recombined when they get back at the beam splitter Because one path that

one beam travels is a fixed length and the other is constantly changing as its mirror moves

the signal which exits the interferometer is the result of these two beams ldquointerferingrdquo with

19

each other The resulting signal is an interferogram which has the unique property that

every data point (a function of the moving mirror position) which makes up the signal to

have information about every infrared frequency which comes from the source This means

that as the interferogram is measured all frequencies are being measured simultaneously

Thus the use of the interferometer results in extremely fast measurements[3] The

ldquoencodedrdquo beam passes through the sample and reaches the detector with the information

of sample A typical interferogram detected by the detector is shown in the Figure 22

υυδπυλδπυδ dBBI )2cos()()2cos()()( intint

infin

infinminus

infin

infinminus

== (Eq 1)

1000 2000 3000 4000

-8

-6

-4

-2

0

2

4

Volts

DataPoints

-002

000

002

3000

Zoom In

1000

Figure 22 Interferogram of silicon sample

2323 Fourier Transformation The signal received by detectors is still an interferogram in space domain (Figure 22) It

needs to be converted to the frequency domain by applying Fourier transformation ((Eq 2)

After employing the FT transformation to interferogram we obtain a single beam spectrum

20

as shown in Figure 24 (top two) Ideally when applying FT to interferogram it integrates

with the retardation value from negative infinite to infinite But this is physically

impossible because moving mirror travel only finite distance The setting limit on the

integration equally truncates the interferogram mathematically and the truncation results in

a finite line shape with side lobes after Fourier Transformation To eliminate the side lobes

an apodization functions is applied [4] There are different apodization functions that can

be used In this work all spectra are corrected using the Happ-Genzel apodization

algorithm

δυπδυ dIB )2cos()()( intinfin

infinminus

= (Eq 2)

2324 Experimental Set up and Absorbance Spectroscopy Processing In the conventional FTIR geometry a multiple internal reflection (MIR) geometry is often

used to increase the absorption signal ([5 6]) because the low number of total absorbers on

a crystalline Si surface (~ 1015 cm-2 monolayer -1) produce weak or undetectable signal

However this technique is in trade of increased signal with decreased detectable spectral

range so because of long path length multi-phonon absorption renders the silicon

substrate opaque at lt 1500 cm-1 Recent advance in FTIR technology have enabled the use

of transmission mode geometry to measure the low frequency infrared absorption mode of

surface specifies and its sensitivity allows measuring even a monolayer on the surface For

example on an atomically flat hydrogen terminated Si(111) surface the Si-H bond of

monolayer hydrogen on the top of surface is clearly represented by two very sharp peaks

Si-H stretching mode at 2083 cm-1 and its bending mode at 620 cm-1 [7-9] as shown in

Figure 24

In this work Nicolet Nexus 6700 Nexus 670 or Magna 760 were used in the

experiments All Infrared absorption spectra were collected in transmission absorbance

geometry as shown in Figure23 For maximum transmission signal the incident angle 74ordm

the Brewster angle for silicon is used At the Brewster angle p-polarized component (its

electric field of the light wave lies in the same plane as the incident ray and the surface

21

normal) completely transmits through the sample without reflection The sample is also

measured at 10ordm a nearly normal incident angle The incident-angle-dependence can

identify the orientation of the surface species and interface bonding The bonds with dipole

perpendicular on the surface will not be seen in the normal incidence

DetectorIR beam

interferometerθ

Sample

Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission

Geometry

Spectra are usually recorded for a surface before treatment as a reference and after

each surface modification The absorbance spectrum can be calculated with the following

equation from the single beam spectra of reference and sample (Eq 3) All positive

features in absorbance spectrum correspond to the newly formed bonds or adding materials

to the reference and all negative features correspond to the removal of material or breaking

bond of the reference sample In this way we are able to detect tiny changes of the amount

of monolayer on the surface and the interface with the removal of interference from

background(bulk silicon etc) An absorbance spectrum processed from two single beam

spectra are shown in Figure 24C

010log

IIA minus= (Eq 3)

All spectra are recorded with a resolution of 4 cm-1 with 1000 scans per loop of total 5

loops and the last three loops are averaged in order to improve the signal-to-noise ratio

(SNR) which is proportional to square root of a number of scans

In most cases absorbance spectra directly processed from single beam spectra

includes spectrum of water vapor and carbon dioxide and must be subtracted carefully A

22

Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated

Si(111) sample

This demonstrate that how we obtains the absorbance spectrum of freshly etched H-Si(111) by processing two single beam spectra recorded immediately before and after HF etching

23

water and CO2 spectrum used for spectral subtraction are generated with the same detector

under the similar experimental condition Usually we subtract two single beam spectra

from first two consecutive loops obtained for the same sample As the chamber is

constantly purged with N2 assuming sample itself is stable the spectra changes between

two loops are solely caused by the removal of water vapor and CO2 inside chamber by

purge A typical water and CO2 correction spectra are shown in Figure 25 The water and

CO2 subtraction should be processed separately All the spectra processing work

mentioned above and others such as base line correction and integration of peak area are

done using Ominc Software from Thermo Nicolet Inc

Figure 25 FTIR Spectra of water Vapor and CO2 gas

24 Instrumentation Atomic Layer Deposition Set-up

A home-built Atomic Layer Deposition reactor system with in-situ Infrared spectroscopy

is used for this study [10 11] The schematic drawing of ALD system is shown in Figure

26 The pressure in the ALD chamber is normally maintained at ~3 Torr for the deposition

and the silicon substrate is kept at 100 degC during Al2O3 deposition and at 60 degC during

24

FTIR measurements The sample temperatures are measured using a thermocouple clipped

to the substrate The H-terminated silicon samples are immediately loaded in nitrogen

(oxygen impurity lt 10-6 ppm) purged reactor chamber for Al2O3 deposition Growth is

performed by using alternative pulses of 5 sec TMA (carried with ultra-pure N2 gas) and 5

sec D2O mixture in a home-built ALD reactor connected to an FTIR spectrometer (Nicolet

Nexus 670 with external MCTB detector) for in-situ surface analysis The reactant sources

are introduced into the chamber through stain steel pipe by bubbling the sources (TMA

bubbler ot D2O bubbler) at room temperature The partial pressure of TMA (Shipley

OptoGrade) and D2O (Aldrich 999) are 1 mbar and ~10 mbar respectively The IR beam

is incidented at 70deg (~Brewster angle) and the IR transmitted KBr windows are protected

from contamination by closing gate valves during precursor exposure The shutters are

only opened to take IR measurements between depositions cycles after the chamber is fully

purged To prevent cross reaction between residual metal and D2O precursors the ALD

chamber is purged and pumped for 5 min with ultra-pure N2 gas after each precursor

exposure Post-deposition annealing is performed in-situ under N2 gas purge

Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission

FTIR spectroscopy set up

25

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Nicolet Introduction to Fourier Transform Infrared Spectrometry 2001 Thermo Nicolet Publication

4 Griffiths PR De Haseth James A Fourier transform infrared spectrometry 1986 New York Wiley

5 Boukherroub R et al Insights into the formation mechanisms of Si-OR monolayers from the thermal reactions of alcohols and aldehydes with Si(111)-H Langmuir 2000 16(19) p 7429-7434

6 Ozanam F A Djebri and JN Chazalviel The hydrogenated silicon surface in organic electrolytes probed through in situ ir spectroscopy in the ATR geometry Electrochimica Acta 1996 41(5) p 687-692

7 Rivillon S et al Chlorination of hydrogen-terminated silicon(111) surfaces Journal of Vacuum Science amp Technology A 2005 23(4) p 1100-1106

8 Rivillon S et al Gas phase chlorination of hydrogen-passivated silicon surfaces Applied Physics Letters 2004 85(13) p 2583-2585

9 Watanabe S and Y Sugita Anisotropic Dynamic Polarization of Surface Vibrations Associated with H on Stepped Si(111) Chemical Physics Letters 1995 244(1-2) p 105-110

10 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

11 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

26

3 Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study

of Surface Bonding and Interfacial Structure of

alkyltrichlorosilane-based SAMs on Silicon Dioxide

31 Introduction

Organosilane monolayers on hydroxylated oxidized silicon surfaces self-assembled

systems are one of the most studied SAMs system However there is considerable debate

on the mechanism of how SAMs forms on SiO2 surface and contradictory results are

keeping seen in different references Among them one of debated central questions is if

silane molecule is covalently anchored to the surface or instead cross-linked absorbed to

thin water layer of the surface only

The only reported spectroscopic evidence about interfacial covalent bond formed

between silane and surface is at ~1060 cm-1 (attributed to asymmetric stretching mode of

Sisubstrate-O-Si) reported by Tripp in his early work in 1995[1] In his work Tripp reported

that few if any Sisubstrate-O-Si surface bonds are formed However to our knowledge the

detailed information on the structure of SAMs on the interface especially when it forms

long range ordering on the interface is not clear and lacks spectroscopic evidence In this

work we study the direct evidence of the species covalently formed on the surface and

systematically investigate the interfacial structure of silane-based SAMs on SiO2 surface

using FTIR in transmission geometry

The key to understand surface bonding and mechanism of SAMs formation is spectral

region between 1000-1300 cm-1 which contains Sisubstrate -O-Si Si-O-Si Si-O-C modes

The overlapping multiple modes make it a very complicated band structure It may also

contain the contribution from bulk silicon Using absorbance FTIR spectra in the

transmission allows us overcome this problem and solely acquire information from the

interface

27

The advanced technology gives us enough sensitivity to detect the spectral region

below 1400 cm-1 in the transmission geometry which normally is inaccessible in

conventional multiple reflection geometry

Another topic covered in the chapter is how the chain length affects the interfacial

structure (head group cross-linking) and the packing of chains in the final self-assembled

monolayer Stevens[2] pointed out that the cross-linking must be prevented in order to get

fully covered and densely packed monolayer due to the steric interaction between

hydrocarbon chains Fontaine et al study the effect of head group cross-linking on the chain

packing in a Langmuir system without substrate influence using X-ray diffraction They

found that hexagonal structure at maximum packing density typical of long chain

amphiphiles is preserved during the polymerization process But the extent of the order is

a decreasing function of the number of cross-links [3] Using silane molecule with

different head groups which has different hydrolysis rate Fancis et al found that the faster

the cross-linking forms the less dense the film packs There is a competition between

packing of chains under van der Waals and cross-linking formation of head group When

the cross-linking process is slower the better (denser) chains packing will be achieved[4]

In this Study we use n-alkyltrichlorosilane with different chain length including

trichlorosilane (TCS) Butyltrichlorosilane (BTCS C4) Dodecyltrichlorosilane (DTS

C12) and octadecyltrichlorosilane (OTS C18) TCS is the simplest silane molecule with

only one hydrogen attached to Si atom which is used as a probe molecule to study

spectroscopic feature of interfacial bond

The use of trichlorosilane instead of alkoxysilane is based on two reasons chlorosilane

is much more reactive and can be quickly hydrolyzed which allows us solely focus on the

chain length effect and head group cross-linking Second alkoxy- head groups have larger

size than a chlorine atom It will put some additional steric restriction on the packing of

SAMs if they are not completely hydrolyzed This is very likely as the hydrolysis takes

place slowly In addition the previous studies have shown that alcohol products from

hydrolysis of alkoxysilane may react with the surface further thus introducing more

complexity on the surface As for the product HCl from chlorosilane there is no observed

significant evidence of reaction with surface [5 6]

28

Self-Assembling of alkyl chains is usually driven by the van der Waals (VDW)

attraction that favors formation of compact arrays of molecules with maximum contact

between chains and by the interaction between head-group and surface With increasing

length of alkyl chains van Der Waals attractive interaction between chains increases which

actually helps improve the pack density of chains and ordering of SAM[7] In general a

crystal structure will try to find a balance between packing as closely as possible so as to

maximize the van der Waals energy and packing as loosely as possible so as to maximize

molecular motion

The reproducibility problem still existed in forming siloxane SAMs on SiO2 substrate

since the monolayer formation is very sensitive to the reaction condition such as water

content and temperature The major intrinsic source of the reproducibility problem is the

coupling of polymerization (cross-linking) and surface anchoring (Sisubstrate-O-Si) Even

small difference in the water content for example humidity in the environment may lead

to significant difference in the monolayers quality and morphology This is one of the

reasons for a to considerable debate on how siloxane SAMs form on SiO2 [8]

32 Experimental

321 Materials

Float-Zone grown n-Si(100) from Silicon Valley Microelectronic (San jose CA) was

used The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both

sides to the thickness of 450 μm

Following chemicals were used as received except where noted (3-aminopropyl)

triethoxysilane (APTES) (98) trichlorislane (HSiCl3 TCS 99) Butyltrichlorosilane

(n-C4H9SiCl3 BTS99) Dodecyltrichlorosilane (n-C12H25SiCl3 DTS97) and

n-octadecyltrichlorosilane (n-C18H37SiCl3OTS gt90) Butyl (chloro)dimethylsilane

anhydrous toluene (998) anhydrous acetonitrile(998) (all from Sigma-Aldrich Corp)

29

322 Sample Preparations

3221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yield high quality oxide with high density of surface

hydroxyl group

3222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide layer

and produce an atomic flat H- terminated Si(111) surface During the etching step the

wafer was occasionally agitated to remove the bubbles formed on the surface After NH4F

etching sample is rinsed thoroughly with DI water and dried under a stream of N2 (g) The

sample was then immediately removed into N2 purged FTIR spectrometer chamber for

taking reference spectra or into a N2 purged glove box where all further chemical

functionalization were conducted

3223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used to engineer SiO2 surface It is well

known that silanization processes are very sensitive to many environment parameters such

30

as water content humidity glassware history etc where it usually lacks reproducibility

The experiment reported in this work had been carried in N2 purged glove box with

extreme care However some parameters can still hardly be controlled For example the

amount of thin water layer physically absorbed on the hydroxyl terminated SiO2 surface

depends on the environmental humidity In our experimental condition there is no way to

remove them or control them The freshly wet-cleaned silicon dioxide sample was

immediately introduced into the N2 glove-box after taking reference spectra The 01 (vv)

silane solution is freshly prepared by pipetting 20μL neat silane liquid into 20mL

anhydrous toluene Toluene is chosen as a solvent since it is known to dissolve an optimal

quantity of water (ie ~015 mgmL) necessary for the formation of well-packed silane

SAMs [9] The sample was then sitting inside the 01 APTES solution for a desired time

and rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried

in N2 (g) flow and transformed into FT-IR chamber for measurement

323 Characterization

Infrared absorption spectra of functionalized Si surfaces are recorded between 650cm-1

and 4000cm-1 on a Nicolet FT-IR spectrometer equipped with liquid nitrogen cooled down

MCT-A detector in transmission geometry The data acquisition is performed with an

incident IR beam at 74deg off normal (Brewster angle for silicon) and 10deg off normal

(This angle is chosen to minimize the possible reflection interferences observed at normal

incidence) A 4 cm-1- resolution is used and total 5 loops with 1000 scansloop are acquired

for each spectrum The deconvolution analysis of FTIR spectral was done using the ldquofit

multiple peak Gaussian functionrdquo and ldquoNonlinear Curve fitrdquo of ORIGIN 75 software

33 Results

331 TCS SAM on SiO2

Figure 31 shows FTIR spectra of TCS layer formed on the different oxidized silicon

substrates with different thickness of silicon dioxide The peak at 2253 cm-1 is attributed to

the stretching mode of H-SiO3 and corresponding bending mode is at 890 cm-1[10 11] The

31

band at 1000-1200 cm-1 belongs to the Si-O-Si asymmetric stretching mode typical for a

siloxane network or chains The band consists of several overlapping peaks that correspond

to Si-O-Si in different configurations The band between 800-900 cm-1 consists of at least

two peaks 830 cm-1 for stretching mode of Si-O-Si and 890 cm-1 for deformation mode of

H-SiO3 [12-14] The negative peak at 975 cm-1 is attributed to the surface Si-OH[15] The

disappearance of this mode accompanied with positive modes at 1000-1200 cm-1 directly

indicate the removal surface hydroxyl group by reacting with TCS silane

The position of peak that appeared at the range 1200-1260 cm-1 is found to be

substrate-dependent 1256 cm-1 for TCS on thick oxidized silicon 1236 cm-1 for thin

oxidized silicon substrate but it is absent for TCS film formed on H-Si(100) (Figure 31)

To quantify this dependence on substrate the thickness of SiO2 thin film is monitored by

checking the peak position of longitude optical phonon (LO) mode of asymmetric

stretching mode of Si-O-Si

As shown in the Figure 32 both LO and TO phonon mode of SiO2 blue-shifts with

increasing thickness of SiO2 film Although TO mode is believed to be more reliable than

LO mode to reflect the change of interface [16 17] LO mode is used here instead of TO

because the spectroscopic change caused by the peak shifting of TO mode locates within

the range of 1050-1065 cm-1 overlapping with the multiple vibration modes of Si-O-Si

from siloxane and is very difficult to be resolved LO mode usually between 1200 and

1260 cm-1 can be more easily differentiated from other bands of TCS and still can provide

qualitative information about SAM SiO2 interface

In Figure 33 the peak position of peak at 1200-1260 cm-1 after TCS deposition is

plotted against the peak position of LO mode of SiO2 substrate All other experiment

conditions are the same The position of this peak is linearly correlated with the peak

position of the LO phonon mode of SiO2 substrate below 1240 cm-1 spectral range This

phenomena is similar to the earlier study by Queeney on thermal SiO2Si interface[18] For

thermal thin silicon oxide the position of LO mode is positively correlated with the

thickness of thin SiO2 film within the range of 1215-1240 cm-1 When SiO2 film becomes

thicker this thickness-dependence becomes negligible the position of LO mode reaches

the plateau at ~1255 cm-1 and becomes less sensitive to the change of SiO2 thickness In our

experiment the average deviation of this peak from the original position of LO mode of

32

Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with Different Thickness FTIR spectra of TCS layer deposited on a thick SiO2 (LO1254 cm-1) b thin SiO2 (LO1225 cm-1) c oxidize free H-terminated Si(100) surface The thickness of oxidized silicon layer is monitored by the position of LO phone mode of SiO2 shown on the Figure 2 The peak at 880 cm-1 is assigned to deformation mode of H-SiO3 and 830 cm-1 is due to Si-O-Si symmetric stretching mode

33

Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 The FTIR Spectra are obtained for SiO2 substrate with different SiO2 thickness used for TCS functionalization Both Spectra are referenced to the oxide free H- terminated Si(100) surface

34

substrate is 12 cm-1 as shown in Figure 33 Keeping in mind this peak is not a real peak

but a differential peak due to the peak shifting of LO As shown in a schematic drawing in

Figure 34 the actual peak shift is less than this number This thickness-dependence

strongly suggests that TCS modification of SiO2 is equivalent to added SiO2 layer to SiO2

substrate The Si-O from TCS is coupling with Si-O-Si network in the SiO2 substrate TCS

molecule must covalently form Si-O-Si bond with substrate Si atom in order to have such

strong coupling of asymmetric stretching mode of Si-O-Si between added siloxane layer

and silicon oxide substrate Based on the size of TCS molecule it is theoretically possible

to match it to Si-O-Si network of silicon oxide of substrate This is very clear evidence for

interfacial structure formation between siloxane and SiO2 substrate

In order to further confirm that the peak within 1200-1260 cm-1 is related to interfacial

structure not contributed from the siloxane layer itself the spectra of polymerized TCS

thick film (poly-TCS) made from mixing TCS with excess water are acquired As shown

in the Figure 35 the intensity of all spectroscopic features related with polymerized-TCS

layers increase proportionally various Si-O mode including polymeric (Si-O-Si)x

stretching modes at 1000-1200 cm-1 and corresponding bending mode at 830 cm-1 the

stretching mode of H-SiO3 at 2250 cm-1 and bending mode at 871 cm-1 However the peak

within 1200-1260 cm-1 is not seen in the spectra of poly-TCS Although we cannot tell if it

exists and hides in the broad band of 1000-1200 cm-1 we could at least make the

conclusion that this peak is not contributed from the polymerized layer but from interfacial

structure The detailed analysis of spectral region 1000-1200 cm-1 will be conducted in the

following session

35

Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon

Mode of Oxidized Silicon Substrate

The X-axis is position of LO phonon mode of fresh cleaned SiO2 substrate Y-axis is position of peak at 12xx cm-1 observed in the absorbance spectra of TCSSiO2 referencing relative to the starting cleaned SiO2 surface

Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1

This drawing shows as effective SiO2-like layer is added to the initial SiO2 film by depositing TCS on SiO2 the peak of LO phonon mode is shifted to the higher frequency with increasing intensity(red) As a result a differential peak (green) depending on the

12 cm -1

36

peak position of LO phonon mode of initial SiO2 substrate is appeared at even higher frequency when spectra are referenced to the initial SiO2 surface

1000 1500 2000 2500 3000 3500

TCS 18h

973

~005

Abso

rban

ce

Wavenumber(cm-1)

10-3

b

interface

10851170

2256881

10-2

a

10701157

υH-SiO3

~058 poly-TCS2250

871

Figure 35 FTIR Spectra of Polymerized TCS film on SiO2

FTIR Spectra of a polymerized TCS film formed by mixing TCS with water and then quickly deposited on an oxidized silicon surface b TCS thin layer deposited from 01 TCS in anhydrous toluene The spectra are displayed at different scale shown by scale bars in the figure Both spectra are referenced relative to the initial clean SiO2 surface The peak of stretching mode of H-SiO3 is shifted from 2256 cm-1 for the TCS monolayer to 2250 cm-1 for polymerized TCS and the corresponding H-Si bending mode is shift from 881 to 871 cm-1 The peak at ~1230 cm-1 does not proportionally increase with increase of thickness of film indicating it is interface features

37

Since the stretching mode of H-SiO3 of TCS at 2253 cm-1 does not overlap with other

bands it is sensitive to bond environment such as the electro-negativity of the other

substituents attached to Si atom So we can use it as a probe to estimate the total amount of

absorbed TCS Figure 36a shows the correlation of integrated area of stretching H-SiO3

and integrated area of region 1000-1200 cm-1 contributed from various modes of Si-O-Si

Figure 36b shows the correlation between integrated area of stretching H-SiO3 and

integrated area of peak at 1200-1260 cm-1 A perfect linear relationship (with R=0994)

between integrated area of H-SiO3 and Si-O-Si suggests that both of them are proportional

to the amount of siloxane attached on the surface On the contrary for the integrated area of

peak at 1200-1260cm-1 it is not correlated with the amount of siloxane absorbed on the

surface and remains unchanged with average value at ~0015cm-1 when integrated area of

H-SiO3 is less than 006 cm-1 This suggests that this peak is contributed from the interface

The saturation also suggests that the number of interfacial Sis-O-Si bonds might reach its

maximum at this particular experiment condition

Is this peak at 1200-1260 cm-1 caused by the oxidization of substrate in the solvent or is

it really caused by the attachment of TCS siloxane To answer this question the effect of

solvent on the substrate is checked Figure 37 shows the spectral change of clean oxidized

silicon after the exposure to anhydrous toluene for a significantly long period of time(3

days) The tiny peak at the position of initial LO phonon mode (~1213 cm-1) of underlying

SiO2 substrate indicates that the surface oxidization caused by solvent has negligible effect

on the formation of observed peak at 1200-1260 cm-1

In summary we have identified that the peak at 1200-1260 cm-1 is a spectral feature

related to interfacial covalent Si-O-Si bond formed between TCS siloxane and SiO2

substrate It is a direct evidence that TCS forms desnly covalent bond with the SiO2 by

strong coupling with Si-O-Si network of SiO2 substrate

38

002 004 006 008 01000

02

04

06

08

10

12

Inte

grat

ed A

rea

of S

iOS

i Reg

ion

Integrated Area of υ(H-SiO3)

002 004 006 008 0100005

0010

0015

0020

0025

0030

Inte

grat

ed A

rea

of B

and

at 1

200-

1260

cm

-1

Intergrated Area υ(H-SiO3)

Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area

of H-SiO3 and Peak at 1200-1200 cm-1

39

332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2

Figure 35 shows FTIR spectra of TCS SAM and poly-TCS film We are particularly

interested in the multiple modes of Si-O-Si overlapped at 1000-1200 cm-1 and H-SiO3

mode at ~2250 cm-1 Both regions reveal important information for characterizing structure

of SAM or thick polymerized film Besides the difference discussed in the last session

about peak at 1200-1260 cm-1 a noticeable peak shift is found for both peaks of Si-O-Si

and H-SiO3 Both are blue-shifted to higher frequency for the TCS-SAM

Our approach is to use the knowledge from analyzing modes of the poly-TCS film to

help interpret the spectra of SAMs with alkyl chain on SiO2 In the spectra of monolayer

the interfacial structure becomes dominated and the difference comparing to thick

polymeric film will reflect the characteristics of interfacial structure

H-SiO3 Mode

H-SiO3 mode is centered at 2250 cm-1 for poly-TCS and blue-shiftes to 2256 cm-1 for

TCS-SAM It is well known that both stretching and bending mode of H-SiOx is sensitive

to environment of back bond Si bonding For example depending on the number of oxygen

atom to which Si atom bonded the peak position of H-Si stretching mode at 2260 cm-1 for

H-SiCl3 and it shifts to lower wavenumber at 2250 cm-1 with substitution of all Cl with O

atom[19] The different peak position of H-SiOx mode can be also explained as different

configuration of TCS on substrate and in the polymerized film In the poly-TCS TCS bond

to other silane molecule through Si-O-Si bridge forming a thick layer while on the surface

at least one or two Si atom of a TCS molecule may bond to surface silicon atom and is more

restrained The schematic drawing is shown in the Figure 38

40

Figure 37 Toluene Effect on Oxidize Silicon Substrate

The FTIR spectra of clean oxidize silicon after exposure to a TCS for 20 hours b Anhydrous Toluene for 3 days The changes in the region of 1200-1260 cm-1 induced by surface oxidization are negligible compared to the spectroscopic feature of TCSSiO2 in the same region

Si OH

H

O

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

OSi

SiOH

H OH

I II

OHSi

H

SiOH

H

OSi

H

O

O

SiO

HO O

SiO

H

On Surface Poly-TCS

Figure 38 Different TCS configuration on the Surface and polymerized film

41

Si-O-Si Mode

Because the Si-O-Si asymmetric stretching band at 1000-1200cm-1 includes multiple

contributions from Si-O-Si band at different configuration it is extremely difficult to

characterize it First we analyze the band of poly-TCS by deconvoluting it into four

Gaussian peaks centered at 1023 1063 1115 1178 cm-1as shown in Figure 39

The assignments are made partially based on Grill earlier work and references to it [20]

The 1023 cm-1 is attributed to asymmetric stretching of Si-O-Si with a small bond angle

that might be encountered in networked silicon suboxide (with non-oxygen atom H CH3

etc attached to Si) It may also include contribution from bound six-membered rings

contained in the poly-film [21 22] Depending on the density of poly-film a LO-TO

splitting may exist 1023cm-1 could be TO mode of asymmetric stretching of Si-O-Si with

small bond angle and corresponding LO mode that exists at ~1220cm-1 which may be

hidden in the broad peaks [23]The ~1060cm-1 is assigned to Si-O-Si in networking

structure and 1115 cm-1 can be assigned to Si-O-Si in cage structure with large Si-O-Si

bond angle of approximately 150deg or larger [20] [12 14 24 25] It should be noted here

first before we determine the assignment to 1178cm-1 Since Grill uses 30deg incidence angle

when he recorded IR spectra it is near normal incidence and the LO mode of Si-O-Si may

not be seen even if there is LO-TO splitting existing in amorphous poly-film[23 26]

Indeed in our further polarization study with setting incidence angle of IR beam at 10deg we

found that the higher frequency portion of band 1000-1300 cm-1 significantly reduced at

10deg incidences which makes us feel confident on assigning 1078 cm-1 to the LO mode of

asymmetric stretching mode of Si-O-Si in networked structure with small Si-O-Si bond

angle The corresponding TO mode is seen at 1063 cm-1 as mentioned above Some other

possible contributions to band at 1200-1260 cm-1 may come from the LOTO mode of

Si-O-Si in a four-membered rings structure [23 26 27]

TCS-SAM

Figure 310 shows the deconvolution of 1000-1300 cm-1 for TCS-SAM formed on SiO2

Four Gaussian peaks centered at 1061 1121 1178 1232 cm-1 are used to fitting the peaks

Compared to poly-TCS 1023cm-1 is not seen and the new peak at 1221 cm-1 becomes very

apparent The imposition of all four sub-peaks gives two dominate peaks at 1085 and 1170

42

cm-1 which is higher than 10701157 for poly-TCS film The absence of 1023cm-1 peak

may suggest that networked sub-oxide with smaller Si-O-Si angle less than 144deg (indicated

by ~1028cm-1) does not appear in the SAM

As we discussed in the last section 1232 cm-1 is induced by increasing effective

thickness of SiO2 caused by the formation of dense interfacial Si-O-Sis bond between TCS

and substrate It should have corresponding TO mode at ~1060 cm-1 Here we assign

10611232 cm-1 to the Si-O-Si of SiO2 due to modification of SiO2 substrate by attaching

TCS molecule The Si-O-Si is tightly restrained to the substrate Si-O-Si through covalent

surface bonding (Sis-O-Si) and coupled with Si-O-Si network of substrate

Based on the general knowledge the intense peak at 1063 cm-1 is likely an imposition

of two peaks at the close position with different origin One is TO mode at 1232 cm-1 and

another one is TO mode at 1176 cm-1 10601178 cm-1 could be assigned to TO-LO mode

of networking Si-O-Si between two adjacent molecules It is previously suggested that not

every (or even only few) silane molecule is bonded to surface Currently we cannot

quantify the exact ratio of amount of interface Si-O-Si to Si-O-Si between molecules

however we believe that sufficient amount of Sis-O-Si is formed in order to generate

observered coupling with SiO2 substrate 1121cm-1 could be assigned to the Si-O-Si with a

large bond angle in an open structure (cage-like) They donrsquot have LO-TO splitting

possibly because of weak dipole coupling between nearby Si-O-Si bond in such

configuration

43

1000 1100 1200 1300

0000

0002

0004

0006

0008

0010

0012

0014

0016

0018

0020

0022

TO

1028

1063

1115

Abso

rban

ce

Wavenumber (cm-1)

poly-TCS 4 peak modes LO-TO Splitting

1178

2x10-3

LO

Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode) A deconvolution of the spectral region 1000-1300 cm-1 was performed by non-linear least squares fitting methods (in Originreg Software) by means of Gaussian functions By assuming all dominated band from the film itself and not from interface we find a best fit using 4 peaks Our deconvuliton is considered both Si-O-Si in different configurations (with different bond angle) and the possible LO-TO splitting of some of Si-O-Si modes LO-TO splitting depends on the degree of long-rang interactions in the film

44

1000 1100 1200 1300

00000

00005

00010

00015

00020

1230

1178

1065

SAM-TCS 4 peak ModeAb

sorb

ance

Wavenumber (cm-1)

1125

Figure 310 Deconvolution of Si-O-Si absorption band of TCS films

The peak at 1121 cm-1 is attributed to Si-O-Si with large angle in a cage structure 1061 cm-1 and 1178 cm-1 are assigned to the stretching mode of smaller angle Si-O-Si in a networking structure 1232 cm-1 is induced by shifts of LO mode of underneath SiO2 because of increasing effective thickness of SiO2 caused by the formation of interfacial Si-O-Si bond

45

333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2

(Chain Length Effects on Head group cross-linking Structures)

TCS as the simplest trichlorosilane provides a good model to characterize the

structure of interfacial bonding and siloxane layers Because of only hydrogen atom

attached to Si there is essentially no steric restriction for TCS head group cross-linking In

this section we will further check how alkyl chain length affects the head group

cross-linking

First of all we have checked the attachment of monochlorosilane to SiO2 As shown in

Figure 311 a strong sharp peak at 1099 cm-1 is directly assigned to Sis-O-Si bond between

silane molecule and substrate Other positive peaks at 1261 and 2964 cm-1 are related to

alkyl chains and are assigned to CH3 rocking mode and its stretching modes The negative

peak at ~980 cm-1 is previously assigned to stretching mode of surface hydroxyl group

Sis-OH[15 28] It is consistent with that silanol group of hydrolyzed silane that is

condensed with surface hydroxyl group forming Sis-O-Si Another negative peak at 1230

cm-1 is associated with modification of SiO2 substrate by the attachment of silane When

silane molecule covalently bonds to surface Si atom it disturbs the longitude optical mode

of Si-O-Si network of substrate SiO2 which is sensitive to long range interaction These

negative features also strongly suggest covalent attachment of silane molecule to surface

(as shown in Figure 312)

Figure 313 shows the comparison of TCS BTS (C4) DTS (C12) and OTS (C18) SAM

formed on SiO2 substrate As a comparison a spectrum of monochlorosilane from Figure

311 is relisted on the bottom

46

1000 1500 2000 2600 2800 3000 3200

0001

0002

0003

ρCH3 1261

1236

υSi-OH 980

Si CH3CH3 Cl

CH3

Abso

rban

ce

Wavenumber (cm-1)

2964υCH

1099υSis-O-Si

2x10-4

Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2

FTIR Spectra were recorded after wet-chemistry cleaned SiO2 exposed to monochlorosilane solution for 20 hours A strong sharp peak at 1099 is assigned to Sis-O-Si bond between silane and substrate[29] Other postive peaks at 1261 and 2964 cm-1 are related to alkyl chains and are assigned to CH3 rocking mode and CH stretching mode The negative peak at 980 cm-1 is assigned to Si-O stretching of the surface isolatedgeminal groups [30] and 1236 cm-1 is assigned to LO mode of SiO2 substrate

OH

SiO

OH

SiO

OH

SiO

OH

SiO

OHSi

O

OH

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

SiCH3CH3

CH3

OH

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

O

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

ClH2O

condensation

HClH2O

Figure 312 A reaction between Monochlorine silane and SiO2 substrate

Formation of Sis-O-Si should be a dominated reaction The cross-linking between molecules is impossible Binding of silane molecule to substrate will perturb the original Si-O-Si network in substrate

47

1000 1500 2000 2500 3000

0042

1467υCH3

υCHυH-SiO3

1099υSi-OH

BTMS (monochorosilane)

OTS C18

DTS C12

BTS C4

Ab

sorb

ance

Wavenumber (cm-1)

TCS

υSis-O-Si

973 interface SiO2

10-3

0026

Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes with different chain length

FTIR spectra of SiO2 exposed to TCS solution for 18 hours and BTS (C4) solution for 48 hours DTS (C12) 48 hours and OTS (C18) 3 days were compared (longer time to allow saturation) In addition a spectrum of clean SiO2 exposed to Butyl (chloro) dimethylsilane (BCDMS) is listed on the bottom as a further comparison BCDMS have only one reactive chlorine group and cannot form cross-linking with each other The band peaked at 1100 cm-1 attributed to Sis-O-Si surface linkage provides insightful information for deconvoluting the complicate region at 1000-1200 cm-1 for trichlorosilane

48

Si-O-Si Region (1000-1200cm-1)

As what we expect TCS has highest integrated peak area of 1000-1200 cm-1 It

suggests TCS form denser Si-O-Si cross-linking BTS and DTS show lower integrated

peak area at the same spectral range suggesting less dense Si-O-Si cross-linking forms

Although for monochlorosilane (BCDMS) the band peaked at ~1100 cm-1 is

straightforwardly assigned to Si-O-Si surface linkage[28] we cannot simply assume the

same mode of Si-O-Si of TCS since BTS will be also in the same position as BCDMS at

1100 cm-1 On the contrary a shift is expected The difficulty here is that the exact position

of this mode varies depending on the bond environment The Si-O-Si-R will be different

from Si-O-Si-O- (see Figure 314 below) This may explain different value for Si-O-Si

seen in the different references For example in the earlier study by Tripp et al they found

asymmetric stretching mode of Si-O-Si at 1060 cm-1 [31] for a monochlorotrimethylsilane

which is 1080 cm-1 for trichlorosilane on silica [19]

Figure 314 Sis-O-Si in different configuration Different group attached to Si

Si

O

Si

CH3

CH3 CH3Si

O

Si

CH3

CH3Si

O

Si

CH3

OH O

A B

49

For OTS-SAM it has higher integrated area of 1000-1200 cm-1 and with intense peak

at 2250 cm-1 which is assigned to H-SiO3 stretching mode For the origin of H-SiO3 it will

be discussed later With presence of H-SiO3 mixed in the SAM it may reduce the steric

interaction and decrease the alkyl chain packing density while the density of Si-O-Si

cross-linking increases

The saturated integrated area of CH2 stretching mode at 2800-3000 cm-1 is 004 for

BTS 006 for DTS 090 for OTS (Niharika Unpublished data) The factors affecting this

value include chain length packing density and orientation

There is negative peak at ~973 cm-1 in the spectra of BTS and DTS SAM indicating

removal of surface Si-OH group Both have not shown spectral features at ~1230cm-1 as

seen in the TCS-SAM suggesting BTS and DTS donrsquot form dense interfacial Si-O-Si

linkage on the oxidize surface as TCS does This is expected because the steric interaction

may prevent BTS or DTS from forming dense cross-linking OTS-SAMSiO2 has shown

similar negative feature at ~973 cm-1 but positive feature around ~ 1230 cm-1 a similar

feature as TCS As we discussed in the previous session it is the evidence that OTS forms

dense long-ranged cross-linked Si-O-Si and is coupled with Si-O-Si of SiO2 substrate

which is equivalently increases the thickness of SiO2 by adding a layer of Si-O to the SiO2

substrate At the same time the stretching mode of CH2 group of alkyl chains is found at

2921 cm-1 suggesting formation of well ordered SAM This ldquoabnormalrdquo behavior of OTS

compared to DTS BTS will be discussed later

We have further polarization study for OTSndashSAM Figure 316 shows Si-O-Si spectral

region (1000-1300 cm-1) of OTS-SAM formed on SiO2 surface with deconvolution into

four components centered at 1061 1108 1150 1195 cm-1 The spectra were recorded at

both 74deg and 10deg incidence angle in transmission geometry The intensity of modes at 1150

and 1195 cm-1 are significantly reduced when the spectra are taken at 10deg incident

angle(near normal) suggesting both may attribute to the LO mode of asymmetric

stretching of Si-O-Si 10611195 cm-1 might be assigned to TOLO mode of Si-O-Si in

4-ring configuration 1108 and 1150 cm-1 could be assigned to TOLO mode of Si-O-Si in

a configuration with larger Si-O-Si bond angle Polarization dependence shown above

suggests the existing of LO component (a similar dependence seen in the case of infrared

spectra of SiO2)

50

1000 1500 2000 2500 3000-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005Si-C SAM (Alkene HSI111) vs SiO SAM (OTSSiO2)

~002

~05

1467ρCH3

υSiO

2083

2256υH-SiO3

υSiH~0084

~0093

Abs

orba

nce

Wavenumber (cm-1)

Si-CHSi(111)

OTSSiO2

υCH28502918

10-3

Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different

Substrate

FTIR Spectra were recorded for H-Si(111) sample after thermally alkylated with octadecyldecene (C18 Si-C SAM) The spectra was referenced relative to freshly etched H-Si(111) surface Si-O SAM was formed by immersion SiO2 sample into the OTS solution for 3 days at 4 degC The spectra were referenced relative to clean SiO2 surface Since both have same length of carbon chains (C18) we could use the Si-C SAM which is better defined as reference to predicate the packing status of OTS SAM (The tilting angle may be different and may lead to different integrated area even when both have same number density of alkyl chains)

51

Comparing to TCS-SAM Si-O-Si modes of OTS-SAM appear at higher wavenumber

(blue-shifted) This might be explained because the chain-chain VDW interactions of OTS

have impact on the head group cross-linking Si-O-Si Such cross-linking will introduce

topological constraint on the head structure resulting in shift to higher frequency

34 Discussion

The region 1000-1200 cm-1 of infrared spectra of siloxane SAMs on SiO2 provides very

useful information on SAMSiO2 interface for both inner structure of SAMs and thick

siloxane poly-film However interpreting this region of amorphous film is extremely

difficult because this region includes contribution from multiple modes of Si-O-Si whose

actual peak position is very sensitive to local bond environment and varies with different

Si-O-Si bond anglesUnlike thermal grown SiO2 the Si-O-Si may exist in more

complicated configurations for SAMs or poly-film with different bond angle and

attachments of different groups (H Cl CH3 etc) to Si atom In addition each Si-O-Si

asymmetric stretching mode may have TO-LO splitting and shows two modes instead of

one in the spectra The TO-LO splitting appears when near-by Si-O-Si can form strong

coupling This is also environmently-dependent And in an amorphous film (like siloxane)

the environment can vary a lot depending on preparation conditions

FTIR spectra of TCS-SAMSiO2 clearly show that substrate-dependent positive peak

appears at ~1200-1260 cm-1 It is assigned to LO mode of coupled Si-O-Si between

siloxane and SiO2 substrate The shift of LO mode to higher wave number with increasing

intensity strongly suggests the added siloxane layer be well cross-linked and coupled with

substrate Si-O-Si This is equally like when TCS-SAM modifies the SiO2 substrate by

adding SiO2ndashlike layer on top of it In order to have strong couple with Si-O-Si in substrate

a dense Si-O-Si covalent linkage is needed This is evidence that TCS is covalently

anchored to SiO2 substrate through Sis-O-Si by ondensation with surface Sis-OH group

Based on this fact it is unlikely that TCS is only physically absorbed on the surface

52

1000 1100 1200 1300

TO1 TO2LO2

10o

Abso

rban

ce

Wavenumber (cm-1)

LO1

υasym Si-O-Si

OTS

OTSSiO2 11-02-2006

10-3

74o

Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 Four Gaussians component with maximum at around 1061 1108 1150 1195 cm-1 are used to fit the spectra The mode at 1150 and 1195 cm-1 are significant reduced in the spectra taken at 10 incident angle(near normal) suggesting both are most likely LO mode of asymmetric stretching of Si-O-Si 10611195 might be assigned to TOLO mode of Si-O-Si in 4-ring configuration 1108 and 1150 might be assigned to TOLO mode of Si-O-Si in different less tensioned configuration Comparing to TCS-SAM Si-O-Si modes in OTS-SAM appear at higher frequency (blue-shifted) This might be attributed to the chain-chain VDW interactions of OTS that have impact on the head group (more strained in OTS) cross-linking Si-O-Si

53

The blue-shift (with increasing intensity) or red-shift (with decreasing intensity) of the

LO phonon mode of SiO2 depends on how siloxane bond to substrate at the interface In

case of monochlorosilane no cross-linking is formed The Si-O-Si network of SiO2 is not

able to couple with single Sis-O-Si linkage Instead the attachment actually disrupts the

vibration of Si-O-Si in the very first layer of SiO2 substrate (ie reduced SiO2 thickness)

As a consequence a negative peak appears at the lower wavenumber relative to the original

position of LO mode The packing density of cross-linking of Si-O-Si may also determine

the appearance of LO mode In trichlorosilane with alkyl chain like BTS DTS even

though they can form cross-linking we didnrsquot observe the positive peak at the position of

LO mode as we did for TCS-SAM Instead we observe how a negative peak at the position

with lower wavenumber relative to the LO mode of SiO2 substrates as well

This can be explained because the steric interaction prevents them from forming dense

coupled Si-O-Si This is consisted with the fact that the integrated peak area of 1000-1200

cm-1 (Si-O-Si region) is significantly less than TCS-SAM In addition the alkyl chain

attached to Si-O-Si (ie R-Si-O-Si) may decouple surface Si atom from Si-O-Si network

vibration And the spray of alkyl chain on the surface also reduces the surface attachment

of silane molecule

There is long-time debate whether silane bond is substrated or just cross-linked and

physically absorbed on the top of a thin water layer And there is only few reports on the

evidence of formation of interfacial covalent bond Sis-O-Si In earlier work by Tripp[19]

he assigned 1080 cm-1 to covalent bond Sis-O-Si by investigating TCS on silica The peak

frequency was also previously suggested at 1060 or 1100 cm-1[1] The variance of the peak

position may be due to the different bond environments of Sis-O-Si In the Tripprsquos work

however he found only few Sis-O-Si forms

The appearance of either positive or negative peaks at range of 1200-1270 cm-1

strongly suggests that silane is indeed covalently bonded to SiO2 substrate and forms

Sis-O-Si The Sis-O-Si could either help to couple (positive peak) or decouple (negative

peak) with the original LO mode of substrate depending on the packing density

54

HH H

HH

H

OO

SiO

SiO

SiO

SiO

SiO

SiO

Si

OH O OH

Si OO

Si

O

OSi

OHOH

Si OHSi OSi

OOH

Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

Si-O-Si inside the SAM and poly-siloxane film

Besides the mode at 1200-1260 cm-1 that we discussed above we further characterize

the film structure by analyzing 1000-1200 cm-1 region which is dominated by the

asymmetric stretching mode of Si-O-Si at various configurations We start our analysis

from poly-siloxane as it has higher reproducibility

The peak position of Si-O-Si asymmetric stretching mode depends on the Si-O-Si

bonding angle The peak red-shifts with reduction of Si-O-Si bond angle and blue-shifts

with increasing of Si-O-Si bond angle[32 33] In fully relaxed stoichiometric thermal

silicon oxidizes grown at temperature gt1000 degC the bond angle is reported to be ~144deg

with IR spectral absorbance at around 1080 cm-1 However in low temperature

stoichiometric silicon oxidizes this band is observed to decrease from 1080 to 1060 cm-1 as

the Si-O-Si bond angle increases A decrease in the frequency of Si-O-Si asymmetric

stretching has also been observed for the silicon atoms having one or more non-oxygen

neighbors [32 34]

FTIR spectra demonstrate that TCS poly-film has overall red-shifted peak at

1000-1200 cm-1 regions compared to that of TCS-SAM This is expected inside the

poly-TCS film the spectra are dominated by the Si-O-Si networking of the thick film and

is less constrained than that of Si-O-Si formed at the interface of SAMSiO2 with covelant

bond to substarte Si As a consequence the mode of Si-O-Si occurs at the lower

wavenumber (red-shift) inside poly-TCS compared to Si-O-Si network at the interface of

SAMSiO2 The similar red-shift trend is found for other types of poly-film system

55

compared to SAMs forming on the surface For example APTESSiO2 TOLO is

10371137 cm-1 for poly-APTES film and 10351178 cm-1 for APTES-SAMSiO2

The blue-shift of LO mode for SAMs compared to poly-film (thicker film) is

apparently different from what is observed in the case of thermal silicon oxide In a thermal

thick oxide it is found that the LO mode is red-shift with decreasing thickness of SiO2 [18]

This is because that suboxide and stoichiometric at the SiO2Si interface become

dominated with decreasing thickness of SiO2 layer and the Si-O-Si in the suboxide

structure (Si bond to some non-oxygen atom instead of O) is at the lower frequency [35]

For siloxane layers when the thickness of film reduces from thick poly-film to

monolayer the interfacial structure becomes dominated which constrained Si-O-Si and

Sis-O-Si more than the loosely networked Si-O-Si in poly-film with existence of multiple

configuration[20] Inside the poly-siloxane if the Si-O-Si bond angle is open to higher

degree in a more cage-like structure this peak will shift to higher frequency sometime as

high as 1180 cm-1 In a more network-like structure the Si-O-Si bond angle reduces to lt

144 degree and the asymmetric Si-O peak position may shift down to lower frequency at

1060 cm-1 or lower[32 36] Because both configuration might co-exist inside

poly-siloxane it maybe used to explain the split to two peaks at 1030 and 1180 cm-1 for

polymeric (Si-O-Si)x [1]

We have also compared the alkyl chainrsquos effect on poly-siloxane structure FTIR shows

LOTO of poly-OTS (C18) (10951180 cm-1) appear at much higher frequency than

poly-TCS (10701157 cm-1) but with nearly the same LO-TO separation This difference

is consistent with our analysis above The steric interaction between alkyl chains may put

extra strain on the head group cross-linked Si-O-Si and cause band blue-shifted compared

to TCS which lacks constraints

The deconvolution of Si-O-Si asymmetric stretching modes at 1000-1200 cm-1 shows

that all the following modes exists Si-O-Si in network cage suboxide configurations as

suggested by Grill[20] Our polarization study further suggests that LO-TO splitting exists

for some of Si-O-Si configuration The LO-TO splitting has been previously used to

interpret complicated 1000-1200 cm-1 region in amorphous organosilicon polymers[23 26]

and amorphous SiO2 structure [37] [38] [39] [40] although there is still controversal

concern about the existence of TO-LO splitted in disordered material

56

For thermal SiO2 the currently accepted modle about a-SiO2 is a continuous random

network in which the dihedral angle of bridging oxygen is a random variable The

asymmetric stretching mode of O in the Si-O-Si bond gives two predominate features the

transverse optical phonon (TO) at 1060 cm-1 and longitudinal optical model (LO) near

1254 cm-1 It is found that both modes will shift with the change of thin SiO2 film thickness

and it has been explained as that either compressive stress (interfacial strain) [41] or

subtioichiometry [18 35] or both [42] may influence the shift of TO and LO peaks LO-TO

splitting is considered as a consequence of long-range Columbic interactions for a given

oscillators and being sensitive to any kind of internal network structural changes

Mechanism of Formation of trichlorossilaneSiO2

Comparing to TCS SAMs form from trichlorosilane with alkyl chains (except OTS

C18) shows less Si-O-Si bond and a negative (instead of positive) peak at the range of

1200-1260 cm-1 suggesting formation of disordered and less dense siloxane film with

covalent bonding to SiO2 substrate Based on the above mentioned analysis there are

possibly two different mechanisms for formation of alkyltrichlorosilane on SiO2 surface

depending on chain length

For alkyltrichlorosilane with short chain like BTS and DTS because of the relatively

very reactive Cl- head group the Si-O-Si cross-linking quickly forms at room temperature

and as a consequence a disordered SAM forms on the SiO2 It is previously suggested that

it is more difficult to achieve ordered SAMs with shorter alkyl chain [43] and in order to

get an ordered SAM a reaction temperature must be lower than its critical temperature[44]

The silane with shorter alkyl chain has lower critical temperature (for example 0 degC for a

chain having 10 carbon and 38degC for 22 carbon chain [44 45]) This is a result of

competition between cross-linking and van der Waals attraction force At the lower

temperature cross-linking (chemical reaction) is significantly suppressed and VDW force

becomes dominant and helps to form more ordered SAMs Indeed anything that can

increase formation of cross-linking will result in a loss of coherence of the lateral order

For example a decrease in the lateral order with increasing pH is reported where it is

known that higher pH accelerates the creation of cross-linking Si-O-Si [3]

57

Stevens [2] suggested that the fully covered dense monolayer cannot be realized if the

head group is cross-linked because of the steric interaction between hydrocarbon chains

and limited Si-O-Si distance (le 32 Aring) The van der Waals diameter for C is 35Aring and for H

bonded to C is 25Aring and the minimum distance between hydrocarbon chains is 48 Aring

corresponding to minimum van der Waalsrsquo potential

The calculated distance between alkyl chains with cross-linking head group is 043nm

To minimize the system free energy the system can choose two of following possibilities

1) head groups are cross-linked with chains splaying apart implying that cross-linking

prevents the formation of full coverage layer In this scenario the disordered film is formed

(Figure 318a) 2) disordering of the chains by introduction of gauche defects to maximize

the entropy 3) Maintaining the formation of cross-linking while satisfying the steric

constraints through chain compression or selective cleavage of Si-C of long chains as

shown in the Figure 318b Energy gained from formation of siloxane bond is 8eV and the

elastic energy required to approach two chains from 048nm to 043nm is estimated as

80meV[3] The reason that we didnrsquot observe H-SiO3 in the case of BTS and DTS is

probably because the Si-C cleavage is chain length-dependent It may take place only when

the chain length is long enough and the chain-chain interaction is strong enough to meet the

requirement for cleavage of Si-C with formation of Si-H In addition the chlorine may also

play a role in promoting cleavage of Si-C bond A further investigation is needed to verify

this mechanism in the future work

OSi

OOSi

OSi

OSi

OSi

CH3 CH3

HH

CH3

OH

Si

OHSi

OH

Si

OSi

Si

CH3

OSi

O

Si

CH3

Si

OH

Si

lt 32 Aring

(a) BTS or DTS (b) OTS

Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface

In the case a attachment of disordered SAMs disrupt the Si-O-Si vibration of substrate In case b long range ordered SAMs couple with Si-O-Si substrate

58

35 Conclusion

The spectra change of LO phonon mode of SiO2 substrate provides information on

interfacial bond and structure between SAMs and surface It is first time that the spectral

signature is given for a SiO2 substrate change induced by the attachment of

alkyltrichlorosilane This is unique spectral signature only related to SAMSSiO2 interface

Comparison of FTIR spectra and other trichlorosilane with different length of alkyl

chain has shown that trichlorosilane also directly attaches to oxidized substrate instead of

the thin water layer on the top This attachment affects the SiO2 substrate LO phonon mode

It either increases LO by coupling with Si-O-Si of SiO2 or decreases LO by disrupting

Si-O-Si vibration on substrate depending on ordering and packing the density of SAMs

The formation of OTS (C18) SAM on SiO2 has unique behavior An unexpected

H-SiO3 species are observed and a higher cross-linking and chain packing is achieved A

possible mechanism for OTS SAM formed on SiO2 may involve chlorine-catalyzed

cleavage of Si-C with formation of Si-H under condition of compression of chains The

cleaved silane can play a role of spacer to reduce steric interaction and help to form denser

cross-linking and packing of alkyl chain

59

Bibliography

1 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

2 Stevens MJ Thoughts on the Structure of alkylsilane monolayers Langmuir 1999 15(8) p 2773-2778

3 Fontaine P M Goldmann and F Rondelez Influence of headgroup cross-linking on chain packing in Langmuir monolayers of n-alkyltrialkoxysilanes Langmuir 1999 15(4) p 1348-1352

4 Francis R G Louche and RS Duran Effect of close packing of octadecyltriethoxysilane molecules on monolayer morphology at the airwater interface Thin Solid Films 2006 513(1-2) p 347-355

5 Hair ML and W Hertl Reactions of chlorosilanes with silica surfaces J Phys Chem 1969 73(7) p 2372-2378

6 Hair ML and CP Tripp Alkylchlorosilane Reactions at the Silica Surface Colloids and Surfaces a-Physicochemical and Engineering Aspects 1995 105(1) p 95-103

7 Bain CD et al Formation of monolayer films by the spontaneous assembly of organic thiols from solution onto gold J Am Chem Soc 1989 111(1) p 321-335

8 Onclin S BJ Ravoo and DN Reinhoudt Engineering silicon oxide surfaces using self-assembled monolayers Angewandte Chemie-International Edition 2005 44(39) p 6282-6304

9 McGovern ME KMR Kallury and M Thompson Role of Solvent on the Silanization of Glass with Octadecyltrichlorosilane Langmuir 1994 10(10) p 3607-3614

10 Lin SY Variation of Si-H and Si-D bond-bending modes in different local bonding environments in amorphous silicon dioxide Materials Chemistry and Physics 1999 58(2) p 156-161

11 Marrone M et al A Fourier transform infrared (FTIR) study of the reaction of triethoxysilane (TES) and bis 3-triethoxysilylpropyl tetrasulfane (TESPT) with the surface of amorphous silica Journal of Physical Chemistry B 2004 108(11) p 3563-3572

12 Marcolli C and G Calzaferri Vibrational structure of monosubstituted octahydrosilasesquioxanes Journal of Physical Chemistry B 1997 101(25) p 4925-4933

13 Loboda MJ CM Grove and RF Schneider Properties of a-SiOx H thin films deposited from hydrogen silsesquioxane resins Journal of the Electrochemical Society 1998 145(8) p 2861-2866

60

14 Bornhauser P and G Calzaferri Ring-opening vibrations of spherosiloxanes Journal of Physical Chemistry 1996 100(6) p 2035-2044

15 Morrow BA IA Cody and LSM Lee Infrared studies of reactions on oxide surfaces IV Structure of chemisorbed ammonia on silica J Phys Chem 1975 79(22) p 2405-2408

16 Queeney KT et al Silicon oxidation and ultra-thin oxide formation on silicon studied by infrared absorption spectroscopy Physica Status Solidi a-Applied Research 1999 175(1) p 77-88

17 Queeney KT et al In-situ FTIR studies of reactions at the siliconliquid interface Wet chemical etching of ultrathin SiO2 on Si(100) Journal of Physical Chemistry B 2001 105(18) p 3903-3907

18 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

19 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

20 Grill A and DA Neumayer Structure of low dielectric constant to extreme low dielectric constant SiCOH films Fourier transform infrared spectroscopy characterization Journal of Applied Physics 2003 94(10) p 6697-6707

21 Lewis HGP TB Casserly and KK Gleason Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane Journal of The Electrochemical Society 2001 148(12) p F212-F220

22 Pryce Lewis HG DJ Edell and KK Gleason Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials Chem Mater 2000 12(11) p 3488-3494

23 Trasferetti BC CU Davanzo and MA BicadeMoraes LO-TO Splittings in Plasma-Deposited Siloxane Films J Phys Chem B 2003 107(39) p 10699-10708

24 Bornhauser P and G Calzaferri Normal coordinate analysis of H8Si8O12 Spectrochimica Acta Part A Molecular Spectroscopy 1990 46(7) p 1045-1056

25 Long-Hua Lee W-CC Wei-Chih Liu Structural control of oligomeric methyl silsesquioxane precursors and their thin-film properties Journal of Polymer Science Part A Polymer Chemistry 2002 40(10) p 1560-1571

26 Trasferetti BC et al Infrared Studies on Films of Carbosilazane and Siloxazane Networks Chem Mater 2005 17(18) p 4685-4692

27 Alexandra Fidalgo LMI Chemical Tailoring of Porous Silica Xerogels Local Structure by Vibrational Spectroscopy Chemistry - A European Journal 2004 10(2) p 392-398

28 Tripp CP and ML Hair Reaction of alkylchlorosilanes with silica at the solidgas and solidliquid interface Langmuir 1992 8(8) p 1961-1967

29 Awazu K Oscillator strength of the infrared absorption band near 1080 cm-1 in SiO2 films Journal of Non-Crystalline Solids 1999 260(3) p 242-244

30 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

61

31 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

32 G Lucovsky MJM JK Srivastava and EAIrene LOW-TEMPERATURE GROWTH OF SILICON DIOXIDE FILMS - A STUDY OF CHEMICAL BONDING BY ELLIPSOMETRY AND INFRARED-SPECTROSCOPY J Vac Sci Technol B 1987 5 p 530

33 Hirose K et al Structural transition layer at SiO_2Si interfaces Physical Review B 1999 59(8) p 5617 LP - 5621

34 PGPai SSC Y Takagiand G Lucovsky INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION J Vac Sci Technol A 1986 4 p 689

35 Queeney KT et al Infrared spectroscopic analysis of an ordered SiSiO2 interface Applied Physics Letters 2004 84(4) p 493-495

36 Kim YH et al Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films Journal of Applied Physics 2001 90(7) p 3367-3370

37 Kirk CT Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica Physical Review B 1988 38(2) p 1255 LP - 1273

38 Kamitsos EI AP Patsis and G Kordas Infrared-reflectance spectra of heat-treated sol-gel-derived silica Physical Review B 1993 48(17) p 12499 LP - 12505

39 Sarnthein J A Pasquarello and R Car Origin of the High-Frequency Doublet in the Vibrational Spectrum of Vitreous SiO2

101126science27553081925 Science 1997 275(5308) p 1925-1927 40 Pasquarello A and R Car Dynamical Charge Tensors and Infrared Spectrum of

Amorphous SiO_2 Physical Review Letters 1997 79(9) p 1766 LP - 1769 41 Miyazaki S et al Structure and electronic states of ultrathin SiO2 thermally

grown on Si(100) and Si(111) surfaces Applied Surface Science Proceedings of the Eighth International Conference on Solid Films and Surfaces 1997

113-114 p 585-589 42 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2

interface Physical Review B 2003 67(24) p 245305 43 Aswal DK et al Self assembled monolayers on silicon for molecular electronics

Analytica Chimica Acta Molecular Electronics and Analytical Chemistry 2006 568(1-2) p 84-108 44 Brzoska JB N Shahidzadeh and F Rondelez Evidence of a transition

temperature for the optimum deposition of grafted monolayer coatings 1992 360(6406) p 719-721

45 Wasserman SR YT Tao and GM Whitesides Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 1989 5(4) p 1074-1087

62

4 Chapter 4 Amino-Terminated Monolayer on H-

Terminated Crystalline Silicon (111) By an Self

Catalytic Reaction

41 Introduction Traditionally amino-terminated alkoxysilane-based self-assembly system is formed on

hydroxylated surfaces through the formation of a Si-O-Si surface bond between the silanol

group of the alkoxysilane chain and the surface hydroxyl group (Figure 41) In reality the

formation structure and morphology of the siloxane layer on semiconductor surfaces are

extremely sensitive to traces of water in the solvent which leads to self-polymerization

irregular cluster structures and poor structural reproducibility It is very hard to control the

amount of water that physically absorbed on the surface Moreover for widely used

aminosilane the optimized routes are still not available for preparing amine functional

surface with short chains[1 2] partially because aminosilane could interact with

hydroxyl-terminated SiO2Si through multiple reactions including ionic interactions

hydrogen bonds and covalent bond Si-O-Si that lead to more complex conformation and

disorder

SiOEtEtO

EtO

H2N

SiOHHO

HO

H2N

CH3OH

3 H2O+ +

(Hydrolysis)

SiOHHO

HO

H2N

SiOHHO

HO

H2N

+Si

HOHO

H2N

SiOHHO

O

H2N

(Condensation)

Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane

(APTES) SAM Formation

63

For hydrogen-terminated silicon surface in spite of its atomically well-defined surface

structure[3] is not suitable for direct application of silane agents because of the lack of

hydroxyl group or physical absorbed water which are commonly believed to be crucial for

the siloxane layer formation on the surface [4]

In this chapter we reported a new method to prepare ndashNH2 terminated Si (111) surface

by attaching (3-Aminopropyl) triethoxysilane (APTES) molecule to hydrogen terminated

surface through a simple one-step reaction By applying infrared spectroscopy technique

we have shown that APTES can readily react with H- terminated surface and attach to the

silicon surface forming stable layer We have found that the amine group of APTES is the

key for the reaction to take place Also the structure of the interfacial surface of SAMSi

especially the formation of interfacial SiO2 is investigated A possible mechanism of that

is proposed and discussed

42 Experimental

421 Materials Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both sides to

a thickness of 450 μm Following chemicals were used as received except where noted

(3-aminopropyl) triethoxysilane (APTES) (98) triethoxysilane (TES 95)

heterobifunctional crosslinker p-maleimidophenyl isocyanate (PMPI) anhydrous

toluene(998) anhydrous acetonitrile(998)(all from Sigma-Aldrich Corp)

422 Preparation of H-terminated Si(111) N-doped FZ silicon (Si (111)) wafers are cut into 1cm x 2cm pieces cleaned with acetone

and methanol The standard cleaning procedures (RCA) are then applied to the Si surface

de-ionized water (DI water 182M cm)H2O2NH4O (411) DI waterH2O2HCl  

(411) Both solutions are heated at 80degC for 10min with thorough rinsing with DI water

after each step The RCA cleaning removes organic and metallic contamination The

atomic flat hydrogen terminated Si(111) surface (H-Si(111)) is prepared by etching the

64

Si(111) surface in dilute HF (~10) for 30s followed by etching in ammonium fluoride

(49) for 2min 30s at room temperature [3] The prepared H- terminated silicon surface is

atomically flat and hydrophobic

423 Formation of Aminosiloxane SAM on H-terminated Si(111) (3-aminopropyl) triethoxysilane (APTES) monolayer is formed by immersing freshly

prepared H-Si (111) surface into 01 (vv) APTES in anhydrous toluene (998 both

from Aldrich) for various time in a nitrogen gas (N2) purged-glove box After the reaction

the sample is rinsed in anhydrous toluene three times and then sonicated in anhydrous

toluene for 5min Finally the functionalized sample is blown dry in N2 stream Sample is

transferred to the infrared spectrometer under ambient environment and spectra are

collected under a dry air-purge

As a control experiment other solutions are prepared One consist of HSi (111) in

solution in anhydrous toluene and the other one is 01 (vv) triethoxylsilane (TES) agent

in anhydrous toluene TES has three ethoxy groups similar to APTES But instead of having

an aminopropyl chain the Si atom is bonded to a unique hydrogen atom All experiment

procedures were the same as the above descriptions for APTES

424 Stability of Aminosilane SAM APTES modified Si (111) samples were immersed in DI water for various period of

time from 30min to 46 hours Spectra were collected after each exposure time

425 Chemical Reactivity of Amino- SAM on Si(111)

P-maleimidophenyl isocyanate (PMPI structure shown in Figure 42)solution is freshly

prepared right before the reaction with APTESSi(111) by dissolving xx mg PMPI power

in 20 ml anhydrous acetonitrile Acetonitrile was used as the solvent due to its better

suitability than NN-dimethyl formamide dimethyl sulfoxide or aqueous buffers in similar

applications [5] APTESSi (111) sample is transferred into the PMPI solution in a N2

purged glove box Reaction was carried out for 24 hours at room temperature in the sealed

test tube Sample is then rinsed with anhydrous acetonitrile and dried in a stream of N2 flow

65

All procedure is operated in a N2 purged glove box

N OO

NCO

Figure 42 p-maleimidophenyl isocyanate (PMPI)

426 Infrared Spectroscopy Characterization

Infrared absorption spectra (IRAS) of functionalized Si surfaces are recorded between

650cm-1 and 4000cm-1 on a Nicolet FT-IR spectroscometer equipped with liquid nitrogen

cooled down MCT-B detector and using a transmission set up The infrared beam is

incidented at 74 degree off normal corresponding to the Brewster angle for silicon and 10

degree off normal to minimize the possible reflection interferences A 4cm-1 (data spacing

1912cm-1) resolution has been chosen with 1000 scans

43 Results 431 Formation of APTES SAM on Hydrogen Terminated Si(111) Figure 43 shows a typical infrared spectrum of freshly deposited APTES on

hydrogen-terminated Si (111) The spectra are recorded after a 20 hoursrsquo reaction time

followed by rinsing and sonication in anhydrous toluene Figure 43 a is recorded at 74deg

incident angle off normal while Figure 43 b is recorded at 10deg After exposure to APTES

solution the sharp feature of Si-H stretching mode at 20837 cm-1 is significantly reduced

broadened and red-shifted to 2076 cm-1(Figure 43a) The total integrated area is only ~

23 of the initial fresh-etched Si-H mode (~0014) As shown in the

66

Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES

FTIR spectra of freshly-etched H-Si (111) samples exposure to the APTES in anhydrous Toluene for 20h (nov1) at room temperature in a nitrogen purged glove box Spectra were recorded for sample at a) 74 degree (Brewster angle for silicon) off normal and b) 10 degree off the surface normal with MCTA detector Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si (111) while range 1900-2400cm-1 is referenced to clean oxidized surface The spectra in the small up-left panel are compared of Si-H stretch mode between spectra a and c fresh-etched H-Si (111) surface as prepared Polarization experiments on H-Si (111) (a and b) demonstrated that Si-H bond is normal to the surface The free primary amine NH2 bending mode at 1600cm ndash1 also shows some polarization dependence indicating ndashNH2 perpendicular to the surface

67

inserted left-up panel in the Figure 43 the sharp H-Si stretching mode at 20837 cm-1

(Figure 43c) reveals a monohydride-terminated atomically flat surface[3] The

broadening and shift of this band indicates a change in the neighboring chemical

environment of surface H-Si bond A similar shift of the Si-H band to lower frequency due

to hydrogen-bonding interaction with nearby NH2 or Si-NH3 has been previously reported

by Queeney et al [6] Along with the decrease of the Si-H peak in the intensity a weak

broad bump appears around 2240 cm-1This mode has previously been assigned to the

H-SiO3 from Si-Si back bond oxidization[7] revealing that oxidation takes place during the

reaction

With reducing Si-H mode several intense and broad peaks appears in the 1000-1300

cm-1 range contributing from multiple vibration modes such as Si-O Si-O-C modes and in

the region of 2800-3000 cm-1 attributed to C-H stretching modes Since the CH2

asymmetric stretching mode is at 2933 cm-1 greater than reported ~2920 cm-1 for a

crystalline ordered film [8] it indicates that the formed APTES film is disordered A small

shoulder at 2973 cm-1 from CH3 asymmetric stretching mode indicates the existence of

partially hydrolyzed APTES We then expect that the ethoxy group (Si-O-C2H5) will also

contribute in other modes for instance Si-O-C stretching mode at 1107cm-1

The NH2 bending mode at 1604 cm-1 indicates that the amine groups are extended away

from the surface and are free from hydrogen-bonding interaction with the surface hydroxyl

groups A weak broad peak at ~3300 cm-1 is assigned to the corresponding stretching

modes of primary amine group The broad bands peaked at 11381050 cm-1 are assigned to

the various Si-O modes formed by the APTES condensation They are also overlapped

with other bands such as Si-O-C modes from ethoxy group of only partially hydrolyzed

APTES

Figure 44 displays the spectrum for fresh H-Si (111) exposed only to the anhydrous

toluene under the same experimental condition The spectrum from Figure 43a is shown

here as a comparison No absorbance features related to toluene are actually observed after

20 hr Moreover the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a

~95 of total integrated area of initial fresh H-Si(111) surface These evidence indicates

that hydrogen terminated Si(111) is stable in the anhydrous toluene An additional

experiment has shown that H-Si (111) surface is stable in anhydrous toluene even after 72

68

hours (data not shown) Thus we exclude the possibility that solvent alone will contribute

to the spectra features we observed

The stage of the reaction of H-Si (111) with APTES is examined by measuring surface

changes after various time period of immersing time in the APTES solution Figure 45

shows the spectra for fresh H-Si (111) after being exposed to APTES solution for a) 30

minutes b) 2 hours and c) 20 hours respectively Comparing spectra taken after 30 minutes

and 2 hours both 1000-1300 cm-1 region and C-H stretching mode regions increase with

increasing exposure time while the equivSi-H mode decreases and broadens with the exposure

time accompanied with the increase of a new peak at ~2240 cm-1 from oxidization species

A shoulder of CHx at 2973 cm-1 corresponding to CH3 stretching mode is seen in all of

spectra and slightly decreases in the intensity with prolonged exposure time This indicates

gradual loss of ethoxy group of APTES A series of experiments where the exposure time

is varied from 5 minutes to seven days is performed We present in Figure 413 the

integrated area of Si-H (~2083 cm-1) and H-SiOx (~2240 cm-1) as a function of reaction

time and in Figure 414 the integrated area of three regions oxide region (1000-1300 cm-1)

CHx stretching modes (2800-3000 cm-1) and -NH2 bending mode (~1604 cm-1) As shown

in Figure 413 with the increasing exposure time the integrated area of Si-H decreased

and H-SiOx increased initially and then decreased This trend is similar to what is observed

in the initial oxidization of H-Si(111) in air[9] The fact that (70plusmn8 ) of Si-H remains

after 20 hours exposure to APTES solution suggests that the majority of the surface is still

covered by the H-Si The CHx stretching modes and -NH2 bending modes have the same

time dependence initial increase and then decrease The decrease of intensity of CHx may

be due to gradual removal of ethoxy group from partially attached APTES molecule The

integrated area of 1000-1300 cm-1 region increases initially and reaches a plateau after 20

hours This suggests that the film growth is self-terminated and polymerizations are

limited

69

Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to a 01 (vv) APTES in anhydrous toluene for 20 hours b neat anhydrous toluene for 20 hours Both reactions were performed at room temperature in a nitrogen purged glove box Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si(111) and range 1900-2400cm-1 is referred to a clean oxidized surface

Table 1 Peak Assignments for APTES Layer Formed on the H-Si(111)

Peak Position (cm-1) Assignment

2973 CH3 asymmetric stretching 2933 CH2 asymmetric stretching 2878 CH3 symmetric stretching 2250 H-SiO3 stretching 2076 Si-H stretching 1640 H2 O scissoring 1604 Free NH2 bending

1138 1050 Si-O-Si stretching 887 H-SiO3 bending 740 CH3 rocking

70

Figure 45 FTIR Spectra of Time Dependence Experiments

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to 01

(vv) APTES in anhydrous toluene for various time length a 30 minutes b 2 hours c 20

hours All reactions were performed at room temperature in a nitrogen glove box All

spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to

freshly-etched H-Si(111) and range 1900-2400cm-1 are referenced to clean oxidized

surface For clarity absorbance values within region 1900-2400 cm-1 were multiplied by

the scaling factor as shown

71

432 UV experiment UV irradiation has been found to promote the hydrosilylation of alkene on the

H-terminated silicon surface by forming Si-C bond through a free radical chain reaction

[10 11] To investigate the mechanism of reaction further we irradiate the APTESH-Si

(111) solution for 30min with a 284nm UV light The spectra for APTES reaction with and

without UV are shown in Figure 46 and the integrated area values for these featuring

bands are shown in the Table 2

Figure 46 shows that both spectra are dominated by strong and broad peak

900-1300cm-1 with maximum at 1085 cm-1 and 1130cm-1 which are assigned to Si-O-Si

asymmetric stretch This region may also include contributions from Si-O-C stretch mode

at 11001085 due to non-hydrolyzed ethoxy groups (-OCH2CH3) in APTES The H-Si

stretch band at 2081cm-1 is reduced slightly broadened and a small shoulder appeared at

lower frequency ~2070cm-1 Comparing its integrated area with the freshly prepared H-Si

(111) there is ~30 less indicating a loss of H-Si bond The peaks at 2922cm-1 and 2973

cm-1 are assigned to asymmetric stretch mode of CH2 and CH3 respectively The

comparison of the integrated area value as shown differs from relative intensity of 1085 to

1133 cm-1 due to the slightly varied environment of Si-O In summary no apparent

difference is found between both spectra indicating that there is no direct relation between

UV excitation and the attachment of APTES

Table 2 Comparison of integrated area of major bands

Int Peak Area (CHx)

(2800-3000 cm-1)

Int Peak Area (H-Si)

(~2080 cm-1)

Int Peak Area

(1000-1300 cm-1)

30min wo UV 0015plusmn00015 00095plusmn00016 0162plusmn0016

30minw UV (284nm) 0014plusmn00016 00101plusmn00017 0178plusmn0018

72

Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and without UV (284nm) Illumination

Hydrogen terminated n-Si (111) samples were immersed in the 01 (vv) APTES in anhydrous toluene at room temperature for 30min a without UV illumination b 284nm UV illumination is applied during the reaction All spectra within 650-1700cm-1 and 2700-3800cm-1 have been referenced to freshly prepared H-Si(111) surface while spectra within range 1900-2300cm-1 are referenced to initial clean oxidize surface

73

433 APTESH-Si(111) Stability in the water

One important application of amine terminated self-assembled monolayer is to enhance the

attachment of bimolecule such as DNA proteins to the surface The stability of NH2 -SAM

in the biocompatible aqueous environment is crucial since most of these attachmentsrsquo

chemistry is carried in an aqueous environment Here we study the effect of water only We

leave APTES-functionalized H-Si (111) samples (annealed or fresh) in the dionized water

for various times at ambient environment and compare it to the results from conventional

APTESSiO2 surface Figure 47 shows FTIR spectra of changes of APTESSi (111) and

APTESSiO2 after being in the water for various times Figure 48 quantifies the changes of

the APTES films on both surfaces by plotting the integrated area of CHx at 2800-3000 cm-1

against the time in the water For APTES SAM formed on H-Si (111) the largest loss

~30 decrease of the integrated area of CHx at 2800-3000 cm-1 is observed within the first

30 min It then slightly increases with increasing time in the water Finally after 20 hours

it decreases again but quite slowly Nearly ~70 film still remains on the surface even

after 46 hours exposure to aqueous water indicating the film is reasonably stable in the

water

In contrast APTES film formed on SiO2 is quickly removed from the surface once exposed

to water which is consistent with other authorsrsquo previous works [1 12] As shown in Figure

47b the film continues degrades indicated by the reduced stretching modes of C-H in the

intensity with increasing time in the water Meanwhile the increase of negative bands at

1062 and 1232 cm-1 assigned to the TO and LO phonon mode of underneath SiO2 substrate

respectively suggests a continuous etching of substrate After 46 hours at least ~70 film

indicated by the integrated area of CHx (Figure 48) is removed from the surface The

remaining ~30 possibly including contributions from background level

hydrogen-carbon absorption on the solidliquid interface is not necessary only because of

the attached APTES molecules

74

Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time

FTIR spectra are recorded for a APTES SAM formed on H-Si(111) b APTES film formed on regular silicon dioxide surface after immersing in water for various time from 30 minutes to 46 hours at the room temperature

75

Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water The quick dropping in the integrated area of CHx indicates large amount of APS molecules that are quickly removed from the surface upon exposure to aqueous water while APTESH-Si (111) has significantly higher stability than APTESSiO2

76

434 Chemical Reactivity of APTESSi(111)

The heterobifunctional cross-linker p-maleimidophenyl isocyanate (PMPI) is used to

verify the chemical reactivity of APTESSi (111) surface It is known that PMPI reacts

with ndashNH2 group either through its isocynanate group to form urea linkage or through its

NHS- group to form amide bond (Scheme 1) As shown in the Figure 49 after

APTES-modified Si (111) reacted with PMPI multiple PMPI bands appeared among

1350-1800 cm-1 The strongest peak at 1722 cm-1 along with weak peak at 1781 cm-1 are

attributed maleimide asymmetric and symmetric C=O stretching respectively The 1668

and 1550 cm-1 are assigned to amide I and II of urea linkage [13] Peak at 1515 cm-1 is

assigned to the aromatic C-C stretching The integrated area from 1363 to 1430 cm-1

assigned to maleimide symmetric C-N-C centered at ~1396 cm-1 is previously used to

quantify the amount of PMPI attached to the APTES surface [14 15] This particular peak

is chosen to integrate predominantly on criteria of minimal overlap with other spectral

features For instance this region can avoid overlapping with bending mode of ndashNH2

(1500-1600 cm-1)

N OO

NCO

SiO

HO

H2N

SiOHOO

H2N

Si Si

N OO

NH

C O

SiO

HO

NH

SiOHOO

H2N

Si Si

(PMPI)

Scheme 1 The isocyanate group of PMPI forms a urea link (-N-CO-N-) with APTES amine group

77

Figure 49 PMPI 24 hours on APTESH-Si(111)

FTIR spectra of APTES functionlizated H-Si (111) were obtained a before b after exposure to PMPI solution APTES spectra are referenced relative to clean H-Si (111) surface while PMPI spectra are referenced relatively to amine-terminated surface prepared from APTES right before reaction with PMPI

78

435 Control Experiment

In order to further understand the reaction mechanism the spectra from the control

experiments are acquired as shown in Figure 410 where fresh H-Si(111) is exposed to the

similar alkoxysilane molecule propyltrimethoxysilane (TMPS) without amine- end group

(b) and anhydrous toluene only (c) under the similar experimental condition

SiOCH3H3CO

H3CO

CH3

Scheme 2 Trimethoxypropylsilane (TMPS)

No absorbance features related to toluene are actually observed after 20 hr Moreover

the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a ~95 of total

integrated area of initial fresh H-Si (111) surface This evidence indicates that hydrogen

terminated Si (111) is stable in the anhydrous toluene An additional experiment has shown

that H-Si (111) surface is stable in anhydrous toluene even after 72 hours (data not shown)

Thus we exclude the possibility that solvent alone can contribute to the spectroscopic

features of APTESH-Si (111) we observed

For alkoxysilane without amine (Figure 410b) the surface H-Si lost lt10 of initial

fresh etched H-Si (111) This loss of H-Si is slightly greater than the loss (~5) when it is

in neat anhydrous toluene but less than the loss (~30) when it is exposed to APTES It

maybe due to the reaction of H-Si (111) with alcohol produced from hydrolysis of silane

molecule It has been shown previously that H-Si (111) can readily react with methanol and

ethanol even under room temperature and dark environment[16] The FWHM of peak of

remained H-Si stretching mode is ~7plusmn07 cm-1 and 4plusmn05 cm-1 for APTES and TMPS

respectively The fresh etched H-Si (111) has FWHM at 44plusmn007 These results indicate a

significant change of chemical environment of surface H-Si bond that occurs after

exposure to APTES The results from control experiments suggest that amine group ndashNH2

in APTES plays a crucial role in the reaction of APTES with H-Si(111)

79

Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c Toluene only FTIR spectra were obtained for freshly-etched H-Si(111) surface exposed to a 01 APTES in anhydrous toluene b 01 TMPS in anhydrous toluene c neat anhydrous toluene only for 20 hours All spectra are reference relative to freshly-etched H-Si(111) surface

80

436 Reaction of H-Si(111) Surface with Propylamine In this section the reaction between ndashNH2 and H-Si (111) was checked in the toluene with

or without presence of water (wet or dry condition) A short organic molecule propylamine

with ndashNH2 as an end group is chosen The FTIR spectra after H-Si(111) is exposed to 02

propylamine for two hours are shown in the Figure 411A Figure 411B shows the zoom-in

region of H-Si and H-SiO3 stretching vibration mode at 2000-2300 of the same spectra in

Figure 411A referred relatively to an oxidized surface

In the anhydrous environment (Figure 411A-b) ~25 loss of integrated area of H-Si

stretching mode at 2083 cm-1 is associated with an appearance of new peaks at 2262 876

cm-1 attributed to H-SiO3 stretching and bending modes respectively The broad peak

centered at ~1130 cm-1 is assigned to Si-O modes This evidence suggests that local

back-bond oxidization of H-terminated Si (111) surface occurs Further quantifying

amount oxide on the surface is done by comparing the integrated area of LO phone mode

within this region to the LO mode of chemical oxidized (SC-1SC-2) SiO2 which has been

shown previously to produce an oxide layer ~10Aring in thickness[17] The total integrated

area of 900-1300 is ~016 less than ~50 peak area obtained on chemical oxidized

surface

There is no discernable peak at 3400 cm-1 and 1640 cm-1 the characteristic peaks of surface

hydroxyl group and absorbed water suggesting the absence of surface hydroxyl Si-OH

group and absorbed water In addition the sharpness of stretching mode of H-Si (Figure

411B) indicates that major surface region is unaffected by immersing in propylamine

solution and remains H- passivated where dipole coupling between neighboring Si-H

groups on a terrace remains[18-20]

The peak at 730 cm-1 could be assigned to ndashNHndash wagging vibration from formation of

surface Si-N-C bond between propylamine and Si-H The corresponding stretching mode

of NH at ~3400 cm-1 is too weak to be discernable in the spectra [21] The appearance of

various stretching modes of -CH2 and -CH3 at 2800-3000 cm-1 including 295029222964

cm-1 with total integrated area ~ 00131 and bending modes at ~ 1450 cm-1 indicates the

attachment of propylamine on surface The amine dissociative adsorption on silicon

1 As a compassion the integrated area of CH (2800-3000) is ~ 002 for H-Si(111) in APTES for 20 hours and less than 0006 for H-Si(111) in TMPS for 20 hours

81

Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine

In the top panel (A) FTIR spectra of fresh prepared H-Si(111) surface after exposure to 02 propylamine in a) regular toluene b) anhydrous toluene Both are referenced against to fresh H-Si (111) As a comparison the spectra of chemically oxidized SiO2 surface after exposure to 02 propylamine in regular toluene is given (c) For clarity the spectra b and the spectra b and c is displayed on 4 timesrsquo smaller scale than a In the bottom panel (B) same spectra shown in the top panel are referenced to clean oxidized silicon surface

82

surface with Si-N bond formation was previously studied in the UHV condition in gas

phase([22-24]) Under our experiment condition (wet chemistry environment and room

temperature) this reaction may be expected but should be significantly different from

mechanism under UHV condition The spectra show that formation of Si-N competes or

co-exists with the oxidization of Si-H catalyzed by trace amount of water and amine group

When excess water co-existed with propylamine (wet condition) H-Si surface is

oxidized much faster than in the anhydrous case As shown in the Figure 411 the

integrated area of Si-O-Si stretching modes at 1000-1200 cm-1 is nearly 10 times higher

than the case where H-Si (111) is exposed to propylamine in anhydrous environment for

the same time period Both H-SiO3 (2256 880 cm-1) and surface hydroxyl (related

vibration modes SiO-H stretching mode at ~ 3400 cm-1 Si-OH stretching mode at 950

cm-1) species are observed In addition the vibration mode related with hydrogen bonded

water is observed the stretching mode of Si-OH at ~3400 cm-1 and scissoring mode of

water at 1640 cm-1 However the surface hydrogen is not completely replaced by ndashOH

group as there is ~ 40 H-Si remained on the surface while another ~30 existed in the

form of H-SiO3 with corresponding stretching mode at 2256 cm-1 (Figure 411B)

Considering the integrated area of stretching Si-O modes at 1000-1200 cm-1 it is nearly

five times higher than SiO2 prepared from RCA chemical oxidization It suggests that

continuing oxidization may happen in an anisotropic manner The oxidization of substrate

is co-existed with other surface species including surface silicon-hydrogen bond with

insertion of oxygen into back-bonds (H-SiO3) and untouched Si-H bond The broadness

(FWHM-) and peak position (~2073 cm-1) of stretching mode of H-Si indicates a

disruption of the dipole coupling between neighboring Si-H groups on a terrace [18-20]

As a schematic drawing shown in the Figure 412 the numbers 1-4 indicate the surface

species presents on the surface In the dry condition only 1 and 2 are observed and

dominated by specie 1 (untouched H-Si) In the wet condition all three species are

observed And anisotropy oxidization happens toward underneath deeper layers The

species 4 is attributed to reaction ndashNH2 with H-Si forming Si-N linkage Both species 2

and 3 readily react with APTES

It has been found that H-Si (111) is stable in pure water up to several days Apparently

propylamine accelerates the oxidization of H-Si where H2O is a source of oxidization

83

Furthermore the direct reaction between propylamine and H-Si (111) may exist although it

is not dominated and must process at a very slow rate

CH3

NH2

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HOSi

O

OHSi

O

HSi

Si

HSi

O

HSi

O

HSi

Si

HSi

Si

HNSi

Si

CH3

H2O

3 2 1 4

Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or

without water

44 Discussion The FTIR spectra demonstrate that APTES can form stable siloxane layer on the H-

terminated Si (111) in an anhydrous toluene at room temperature without using any

accelerators or pre-hydrolysis step Amine- group of APTES is found to be crucial for the

reaction to take place An insertion of oxygen in the Si back-bond forming H-SiO3 is

observed The amount of attached APTES (CHx stretching modes and NH2 bending modes)

is correlated with the degree of loss of Si-H stretching mode and H-SiO3 stretching mode

As the reaction time in APTES solution increases the initial Si-H stretching mode

decreases in intensity while an H-SiO3 stretching mode appears simultaneously and

gradually increases indicating that oxidation occurs After a couple of hours the H-SiO3

stretching mode decreases while mode corresponding to the absorption of APTES on H-Si

(111) is now present The saturation of the Si surface is observed after 20 hours when no

more active sites are accessible to APTES due to the steric restriction

It has been long-term established that alkylsiloxane self-assembled monolayer is used

to engineer silicon oxide surface [4 25] The general accepted mechanisms although still

under considerable debates involves hydrolysis of alkoxy- groups and condensation with

active surface hydroxyl group or incorporate into a two dimensional cross-linked network

of Si-O-Si bonds in the physical absorbed thin water layer [26 27][more references]

However to our best knowledge there is no report about direct formation of alkoxylsilane

84

on oxide-free and hydrophobic H-terminated single-crystal silicon surface which are

normally alkylated through reaction with alkene forming Si-C linkage thermally or

photochemically [10 11] or it is found recently that organotrichlorosilane could possibly

form on non-oxide surface at room temperature due to the hydrosilylation of

chloride-terminated silicon surface generated by ion exchange between Si-H and chloride

ions in anhydrous toluene [28]

A possible two-step reaction mechanism has been proposed previously for

amine-catalyzed silanization of alkoxylsilane on hydrogen-terminated porous silicon

surface with water[29] the first step is an amine-catalyzed hydrolysis of H-Si to form

hydroxyl-terminated surface at the second step the surface hydroxyl groups further react

with the alkoxy (Si-O-R) or silanol (Si-OH) groups of APTES molecule under amine

catalysis The second step of amine-catalyzed siloxane condensation has been previously

reported For example a significant fraction of adsorbed aminosilane attaches covalently

to the surface silanol group in an anhydrous environment at room temperature under

catalysis of amine motifs [30-32]

This mechanism cannot be fully applied to the results we observed under the anhydrous

experiment condition First the absence (or minimized content) of surrounding water in

anhydrous toluene makes the surface hydrolysis less likely to occur It lacks evidence of

Si-OH in present FTIR data We only see Si-OH under the wet condition accompanied by

the formation of a significant large amount of silicon oxide Second this mechanism

doesnrsquot provide detailed information on the initial stage of oxidization of H- terminated

silicon surface In other words it is questionable whether surface Si-OH forms with or

without oxidization of underneath silicon

The studies of water reaction on H-Si(100) under ultrahigh vacuum have shown that

oxidation of Si-Si bonds occurs both at room temperature and elevated temperatures but

without breaking Si-H bond probably because of the bond energy of Si-H 14eV higher

than bulk Si-Si[9] The surface hydroxyl could form only after Si-O-Si formation[33] A

study of the reaction of O2 with H-Si(111) has found that no oxygen is initially inserted into

the Si-H bond to form Si-OH instead various H-SiOx species are formed[34]

From our time-dependence data in Figure 413 and Figure 414 it has clearly shown

that initially H-Si is slowly decreased while H-SiO3 appears simultaneously This indicates

85

at the first step that an insertion of oxygen into H-Si bandbonds occurs Both H-Si and

H-SiO3 modes are still observed after 7 daysrsquo exposure to APTES The vibration modes

related with absorbed silane molecules like CHx and NH2 are barely observed at the first

two hours but start increasing and then decreasing with exposure of the time Based on

these evidence we propose the following mechanism the Si(111) surface initially

H-terminated evolves into H-SiO3 due to the insertion of oxygen (possibly coming from

traces amount of water in the solvent) into the back-bond of equivSi-H as depicted in Figure

415 This step may be also the result of a catalyst process involving the amine group The

hydrogen bonding formed between water and amine group significantly weakens the O-H

bond and thus making the oxygen atom more nucleophilic and more ready to attack the

surface Si atoms either in Si-H or Si-Si with formation of surface hydroxyl Si-OH (I) or

H-SiO3 (II) respectively Our data suggest that the latter (pathway-II) is dominated over

pathway-I under anhydrous environment Silane molecule from the solution can then

readily react with the H-SiO3 group The presence of the oxygen atoms in the back-bond

polarizes the Si-H bond and weakens it making it more reactive to the APTES molecule

After 20 hours the plateau observed in the 1000-1300 cm-1 region indicates that the

saturation has been reached and the APTES layer acts as a barrier to block any further

attachment on the surface active sites H-SiO3 For extensively longer exposure time after

several days in APTES solution the APTES is removed and surface oxidization continues

corresponding to observed decrease of H-Si and CHxNH2 in the intensity Nearly constant

H-SiO3 could be explained as a result of equilibrium between H-Si oxidization and its

further converting to HO-SiO3

In this mechanism surface hydroxyl groups do not form and cannot be accounted for

the attachment of the silane molecules Instead the formation of H-SiO3 is a key in the

surface attachments of APS on HSi (111) As shown in Figure 415 because of the

inserted oxygen atoms that weaken the Si-H bond H-SiO3 has higher reactivity than Si-H

resulting reaction of H-SiO3 with alkoxy-group of APTES under catalysis of NH2 group

86

Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid triangle represents the peak area of Si-H stretch mode at ~ 2100 cm-1 and open circle represents the peak area of H-SiO3 at ~2250cm-1 X-axis (time) is plotted in logarithm scale

87

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid square in the upper panel represents the peak area within range of 1000-1300 cm-1 contributed from several overlapped bands like Si-O Si-O-C In the lower panel the open circle represents the peak area of NH2 bending ~ 1600 cm-1 and solid triangle represents peak area of CHx (X=2 or 3) stretching modes at 2800~ 3000 cm-1 For some data points the error bar was too small and hardly distinguished from the data points X-axis (time) was plotted in logarithm scale

88

Si

SiSi

H

SiSi

SiSi

H

Si

SiSi

OH

SiSi

SiSi

H

NH2EtO

HH

H2

δ+

δminus

Si

OO

H

OSi

OO

H

Si

OH3CH2C

R

O

CH2CH3δminus

δ+

δ+

δminus Si

O

R

O

CH2CH3

Si

OOO

Si

OO

H

I

II

Figure 415 Possible Mechanism of APTES reacts with surface H-SiO3

441 Amine-catalyze Oxidization of H-Si backbond and attachment of

APTES

In the present study we found that amine group APTES is crucial for the reaction For

the alkoxysilane without the presence of amine the reaction with H-Si (111) can only

proceed if there is any at a very slow rate considering ~90 H-Si remains at terrace

structure even after 20 hours Therefore the formation of H-SiO3 is most likely proceeds

under the catalysis of amine group

There are already extensive reports on that amine group catalyze direct condensation of

alkoxy- [30 35] or chlorine- [36] group to surface hydroxyl group The proposed

mechanism of the catalytic activation is that the interaction between nitrogen and hydrogen

attached to oxygen make oxygen more polar[36] The Si-OH surface mode is known to

have strong interactions with Lewis bases such as propylamine and pyridine The hydrogen

bonding due to this interaction substantially weakens the SiO-H bond and increases the

nucleophilicity of the oxygen atom (δminus) which will attack the electron deficient Si atom

(δ+) of the Si-Cl [37] or Si-O-R to form Si-O-Si linkage

89

We examined the reaction of H-Si (111) with propylamine in anhydrous toluene and

regular toluene The increasing amount of water in the solution did significantly accelerate

the oxidization of silicon substrate and formation of surface Si-OH Without the presence

of water both reactions are suppressed and H-SiO3 species was clearly observed and most

of surface H-Si remains This experiment also confirms that the existence of alkoxy group

is important for the reaction to keep going Under anhydrous environment amine group or

alkoxy group alone cannot fully account for the loss of H-Si we observed

442 Limited Interfacial Oxidization

The earlier study of the initial stage of oxidization of hydrogen-terminated silicon in

the water or in the atmosphere has proposed a two-stage oxidization with different

oxidization rates [38] [39] The Si-Si back-bond instead of Si-H is first attacked by water

molecule to form H-SiOx but the oxidization is a slow process (Induction Period) As

Si-OH coverage increases formation of Si-O-Si bridges can be formed through adjacent

Si-OH while more rapid oxidization is observed in a second stage [38] [39] In this

oxidization mechanism the initial oxidization depends strongly on the surface

concentration of ndashOH groups In the case of APTES silanization APTES could quickly

react with H-SiO3 once it is formed under amine catalyzing in the step 2 and then may

suppress any possible further oxidization The attachment of APTES might limit the

oxidization only to the first or second layer The utilization of a N2-purged glove box also

helps to minimize the oxidization Indeed the fact that there are still ~20 of H-Si and

H-SiO3 remaining after extended exposure time suggests that surface is still covered with

hydrogen existing in the form of either H-Si(Si) or H-SiO3 instead of Si-OH The less

oxidization and partially H- passivation may contribute to the observed higher stability of

APTESSi (111) in the water as well where OH- etching of SiO2 substrate or hydrolysis

Si-O-Si is considered as one of the sources of instability

443 Stability of APTES layer and Binding Capability

The stability of aminosilane is crucial for its various applications An ideal aminosilane

layer should be flat stable with a dense surface free amine functional group However the

90

optimized routes are still not available for preparing amine functional surface with short

chains[1] [2] Although APTESSiO2 system is widely used in many biomedical

applications like promoting biological adhesion (ref) it is found previously that it is not

stable at all SAM or multilayers formed on SiO2 from amino-alkoxysilane is easily

removed when the film is exposed to water or water salt solution [1]

The instability of APS on oxidized surface is explained as the existence of multiple

complicate interactions between function groups of amino-organosilane and SiO2 surface

with a thin physical absorbed water layer Thus the absorbed APTES layers are not only

observed through surface Si-O-Si covenant bonds but most likely also through ions

interaction (NH3+) hydrogen bonds (between NH2 and Si-OH) It is also been suggested

previously that the film most likely linked on the physically absorbed water layer on the

SiO2 surface instead of directly binding to the surface In addition the underneath SiO2

substrate could be etched away in the water environment when there is NH2 group around

as indicated by the increasing negative band from 1000-1300 cm-1 at Figure 47b This is

possibly because of the formation of OHminus anion (the surface ndashNH2 pKa is ~10 and ndashNH2 is

protonated under neutral environment (pH~7)

minus+ +minus⎯rarr⎯+minus OHNHROHNHR 322

By using H- terminated Si (111) surface and the method presented in this study the sources

of complicated various interactions mentioned above is minimized or does not exist at all

In addition physically absorbed water is not present on H-Si (111) surface due to the

hydrophobic nature of the surface As a consequence it minimizes the unwanted

polymerization and unwanted attachment of silane layer to the water layer with

significantly reduced stability Moreover the electrostatic interaction between amine and

surface could be minimized also due to lack of surface hydroxyl group Indeed the IR

spectra shown in Figure 43 indicate the existence of free amine groups (bending mode of

NH2 at 1604 for free amine and 1580 or less for hydrogen bonded ndashNH2)

Biological reactivity of surface with ndashNH2 functionality is further confirmed by

reaction with PMPI which forms covalent bond with surface amine group This is

confirmed by the FTIR spectra of PMPI absorbed on APTESSi (111) surface suggesting

91

the surface prepared using APTESH-Si (111) is well suitable for further biological

applications

45 Conclusion We have demonstrated that (3-aminopropyl) triethoxysilane (APTES) can form

self-assembled monlayer on the atomically flat H- terminated Si (111) surface It is first

time that we show the attachment of APTES molecule to silicon without involving oxide

substrate or appreciable oxidization of substrate APTES layer formed on the H-

terminated surface shows significantly higher stability than APTES layer formed on

SiO2Si (100) with free amine groups which are important for its further application The

prepared NH2- surface shows similar reactivity toward the further biological attachment

with other methods A possible mechanism is proposed for the reaction of APTES with

atomically flat H-Si (111) Amine- group has been demonstrated to be a key for the

reactions to take place The first involves the insertion of oxygen into the Si backbond

forming H-SiO3 under catalysis of amine group The following reaction of H-SiO3 with

APTES has been demonstrated to process very quickly The interfacial oxide silicon is

significantly limited and the surface remains partially hydrogen passivated which may

account for the higher stability of APTES layer formed on H-Si (111)

92

Bibliography

1 Wang AF et al In vitro stability study of organosilane self-assemble monolayers and multilayers Journal of Colloid and Interface Science 2005 291(2) p 438-447

2 Allen GC et al Macro- micro- and nano-investigations on 3-aminopropyltrimethoxysilane self-assembly-monolayers Thin Solid Films 2005 483(1-2) p 306-311

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

5 Xiao S-J et al Covalent Attachment of Cell-Adhesive (Arg-Gly-Asp)-Containing Peptides to Titanium Surfaces Langmuir 1998 14(19) p 5507-5516

6 Queeney KT YJ Chabal and K Raghavachari Role of interdimer interactions in NH3 dissociation on Si(100)-(2 x 1) Physical Review Letters 2001 86(6) p 1046-1049

7 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

8 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

9 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air 1994 76(4) p 2157-2163

10 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

11 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

12 BROKER B SILICON SURFACE FUNCTIONALIZATION FOR DNA ATTACHMENT in Department of Physics and Astronomy 2006 Rutgers University

Piscataway 13 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 14 Shen G MFG Anand and R Levicky X-ray photoelectron spectroscopy and

infrared spectroscopy study of maleimide-activated supports for immobilization of oligodeoxyribonucleotides Nucleic Acids Research 2004 32(20) p 5973-5980

15 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2 interface Physical Review B 2003 67(24) p 245305

16 Michalak DJ et al Infrared spectroscopic investigation of the reaction of hydrogen-terminated (111)-oriented silicon surfaces with liquid methanol Journal of Physical Chemistry B 2006 110(41) p 20426-20434

17 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

93

18 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

19 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

20 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

21 Socrates G Infrared Charateristics Group Frequencies 1997 22 Bitzer T T Alkunshalie and NV Richardson An HREELS investigation of the

adsorption of benzoic acid and aniline on Si(100)-2 x 1 Surface Science Vibrations at Surfaces 1996 368(1-3) p 202-207 23 Rummel RM and C Ziegler Room temperature adsorption of aniline (C6H5NH2)

on Si(100)(2x1) observed with scanning tunneling microscopy Surface Science 1998 418(1) p 303-313

24 Kugler T et al Chemically modified semiconductor surfaces 14-phenylenediamine on Si(100) Surface Science 1992 260(1-3) p 64-74

25 Schreiber F Structure and growth of self-assembling monolayers Progress in Surface Science 2000 65(5-8) p 151-256

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

28 Shirahata N et al Interfacial observation of an alkylsilane self-assembled monolayer on hydrogen-terminated Si Langmuir 2004 20(20) p 8942-8946

29 Xu DS et al Hydrolysis and silanization of the hydrosilicon surface of freshly prepared porous silicon by an amine catalytic reaction New Journal of Chemistry 2003 27(2) p 300-306

30 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

31 White LD and CP Tripp An infrared study of the amine-catalyzed reaction of methoxymethylsilanes with silica 2000 227(1) p 237-243

32 White LD and CP Tripp Reaction of (3-aminopropyl)dimethylethoxysilane with amine catalysts on silica surfaces Journal of Colloid and Interface Science 2000 232(2) p 400-407

33 Rivillon S RT Brewer and YJ Chabal Water reaction with chlorine-terminated silicon (111) and (100) surfaces Applied Physics Letters 2005 87(17)

34 Zhang L K Wesley and S Jiang Molecular Simulation Study of Alkyl Monolayers on Si(111) Langmuir 2001 17(20) p 6275-6281

35 Wu B G Mao and KYS Ng Stepwise adsorption of a long trichlorosilane and a short aminosilane Colloids and Surfaces A Physicochemical and Engineering Aspects 2000 162(1-3) p 203-213

94

36 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

37 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

38 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

39 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

95

5 Chapter 5 Alkylation of H-Terminated Silicon (111)

and Thermal Stability of SAMs with Different

Functionalities

51 Introduction The formation of well-defined and densely packed organic monolayers on semiconductor

surface has received increasing interests in the variety field from micronano-electronics

biosensor and biological attachments There are two main categories of methods for

forming organic layers on silicon One is silane-based SAMs via Si-O-Si bond forming

between silane on oxidized silicon and other is alkene-based SAMS via a Si-C on a H-

terminated silicon Other types of SAMs form via Si-N or Si-O-C are not dicussed here

The major drawbacks of silane-based SAMs (chloro- or alkoxy-) on oxide silicon are

the reproducibility in the synthesis and its stability The Si-O bond formed on the interface

of SAMSiO2 is susceptible to hydrolysis and is thermally liable The extensive studies

have shown that the preparation of trichlorosilane or trialkoxylsilane on silicon oxide is

very sensitive to the environment parameters such as water content humidity temperature

solution histories history of glassware resulting in the reproducibility problem under the

regular lab condition

The formation of well-defined and densely packed organic monolayers directly

attached to single crystalline silicon surface without interfacial silicon oxide layer has

received increasing interests since its invention A highly organized monolayer through

highly stable Si-C bonds can be prepared by the reaction of alkenes with H-terminated

silicon thermally photochemically or catalyticly Because of its ability to tailor the surface

for different applications SAMs with a variety of functionalities have been widely

investigated in their formation interfacial structure and decomposition In addition in the

field of farication of semiconductor and electronic devices many processes involve high

temperature anneals Therefore the thermal behavior of organic SAMs is important for

96

applications such as organicinorganic hydride device micro-nano- patterning selective

Atomic Layer Deposition (ALD)

In this chapter the formation structure and thermal stability of two types of organic

monolayers (-CH3 SAM and ndashCOOH SAM) thermally grafted on H-terminated Si (111)

are studied by infrared spectroscopy

52 Experimental

521 Materials

Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular seive 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional cross-linker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and with nitrogen bubbling for at least 1

hour in order to remove the dissolved water and oxygen before use

522 Preparation of Self Assembled Monolayers

5221 Preparation of H- Terminated Si (111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ-cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

97

123

45 6

78

91 10

23

45 6

78

911

N2 flow

cold water

Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)

peroxide at the ratio 31 at 95Cdeg for 25 minutes to remove the surface contamination

following by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into N2 purged FTIR spectrometer

chamber for taking reference spectra or into a N2 purged glove box where all further

chemical functionalizations were conducted

5222 Preparation of ndashCH3 Terminated Si (111) surface

Neat octadecene was pre-treated with 3Aring molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs without oxidizing the silicon surface

After transferring the octadecene liquid into the three-necked flask loading H-Si (111)

sample and assembling the condenser tube in the N2 glove box the whole set was sealed

and moved out from N2 glove box to a hood The octadecene was then continuously purged

with N2 (g) for additional 30 min before heating it up to reaction temperature at 200 degC in a

silicon oil bath

98

5223 Preparation of -COOH Terminated Si (111) surface

Neat 1-undecylnic acid was pre-deoxygenated for at least 1 hour while heated at 50 degC

(keep it from freezing) and then cooled to room temperature The freshly prepared silicon

H-Si (111) was then placed under N2 in a Schlenk three-necked flask containing a

previously deoxygenated neat undecylnic acid and allowed to react at 120 degC for 2 hours

The excess unreacted reagent was removed after the reaction and the sample was rinsed

with THF dichloromethane hot 10 acetic acid (at 80 degC) and DI water and then dried

under the stream of N2 (g)

53 Results

531 Preparation of Octadecylated Si (111) Surface

Figure 52 shows the typical transmission infrared spectra of freshly-octadecylated Si(111)

surface prepared by immersion of hydrogen-terminated Si(111) into the neat 1-octadecene

for 2 hours at 200 degC [1 2] The spectra acquired from two different incident angles of the

IR beam 74deg and 10deg off normal These spectra are referenced relatively to the

freshly-etched H-Si (111) surface so the negative peaks in the spectra represent features of

H-Si (111) surface removed during the alkylation reaction For the spectra collected at 74deg

off normal (Brewster angle) the negative sharp peak of Si-H stretching mode at 2083 cm-1

and Si-H bending mode at 628 cm-1 indicates removal of H atoms from surface The sharp

negative peak at 2083 cm-1 is not observed when probed with IR incident angle of 10deg off

normal while the Si-H bending mode appeared slightly more negative indicating that Si-H

bond is perpendicular to the Si(111) surface The broad low intensity peak at 2073 cm-1

shown in the spectra referenced against the oxidized surface (inserted in a small left panel)

indicates that the alkylation is not completed which is in agreement with at most ~ 50

surface Si-H which can be grafted due to the steric limitation for alkyl chain with more than

one carbon on Si (111) surface [3]

A rather low surface oxidization is observed as indicated by the weak broad peaks

centered at 1018 cm-1 with FWHM 83 plusmn 2 cm-1 in the region of 900-1100 cm-1 The

effective thickness of Si-O is less than 01 nm estimated by comparing the integrated area

99

of broad Si-O-Si phonon modes to the IR spectra of sample that has been oxidized using

standard RCA cleaning (SC-1 10min immersion in a solution of 114 30 H2O230

NH4OHDionized water at 80degC followed by a water rinse and a SC-2 clean 10min

immersion in a solution of 114 30 H2O2 39 HClDeionized water at 80degC) The RCA

clean has been shown previously to produce an oxidized layer of ~ 1 nm [4] After

alkylation the area of Si-O (~00233 centered at 1018 cm-1) corresponds to less than ~10

of regular oxide prepared from RCA method The lack of typical shape (LOTO) of

oxidized peaks at 1000-1200 cm-1 from RCA clean suggests that Si-O probably exists in

isolated Si-O form

The attachment of alkyl chain to the Si(111) surface is confirmed by the positive peaks

at 2800-3000 cm-1 29192850 cm-1 are assigned to the asymmetric and symmetric

stretching modes of CH2 group and 29662879 cm-1 are assigned to the asymmetric and

symmetric stretching modes of CH3 group respectively The peak at 1467 cm-1 is assigned

to the corresponding scissor mode of CH2 groups The υa (CH2) band is indicator of

packing of linear alkyl chains in the monolayers [5] the denser packing results in lower

wavenumber It is known that this band shifts from 2928 to 2920 cm-1 as the alkyl chains

going from disordered liquid phase to ordered solid phase[6] So the observed peak at

2919 cm-1 suggests the alkyl chains are ordered densely packed In fact as shown in the

Figure 53 the integrated area of stretching modes of hydrocarbon representing the

amount of alkyl chains on the surface is nearly linearly correlated with the wavenumber of

υa (CH2) band The denser packing indicated by the higher integrated area of stretching

modes of carbon-hydrogen corresponds to the lower wavenumber of peak of υa(CH2)

The weak band at ~ 678 cm-1 is assigned to the surface Si-C stretching mode The fact

that it disappeared from the spectra when IR beam incident angle is 10deg indicates that Si-C

bond is normal to the surface However it is worth to note that Si-C peak is quite difficult

to see since it is imposed with nearby strong silicon phonon mode at 610 cm-1 Si-H

bending mode at 626 cm-1 and CO2 at 667 cm-1 A careful spectra reprocess is needed in

order to remove other irrelatated bands

100

Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene

FTIR Spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-octadecene for 2 hours at 200 degC The spectra were measured at 74deg (top) and 10deg (bottom) off normal as indicated Both are referenced relative to the freshly-etched H-Si(111) surface

101

Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains

The packing density is represented by the integrated area of stretching modes of carbon-hydrogen It clearly shows that the denser packing of SAM leads to better ordered layer

102

532 Preparation of COOH-Terminated Monolayer on Si(111) Surface

via a Si-C bond

Figure 54 shows transmission infrared spectrum of COOH-terminated Si(111) through

Si-C bond on Si(111) surface prepared by immersion of hydrogen-terminated Si(111) into

the neat 1-undecylenic acid for 2 hours at 120 degC This is similar with the method applied

to porous silicon surface [7] The negative sharp peak of Si-H stretching mode at 20831

cm-1 and Si-H bending mode at 6267 cm-1 clearly indicates removal of H atoms from

surface

The strong peak appears at ~1718 cm-1 (FWHM 239 plusmn 03 cm-1) which is a

characteristics of a carboxylic acid is assigned to the stretching vibration mode of C=O of

a carboxylic acid The broad shoulder of this peak may contain weak stretching mode C=C

at 1634 cm-1 and stretching mode of C=O in an ester form Si-O-C(O)-R at ~1740 cm-1

Although both alkenes (C=C) and carboxyl group (-COOH) may react with H-Si(111) [8]

here we cannot completely exclude the possibility of reaction between COOH and surface

Si-H and exactly estimate the percentage of reaction through this pathway The intense

peak at 1718 cm-1 and the absence of Si-O-C at ~1100 cm-1 strongly indicate that most of

the surface Si-H was consumed by a hydrosilylation reaction with C=C rather than thermal

reaction with the hydroxyl group of acid function In addition the clear peaks at 1278 and

1413 cm-1 assigned to C-OH stretching and C-O-H bending also suggest that the acid end

group is left intact after the reaction This is similar with what reported that the dominant

hydrosilylation is observed in the reaction between undecylenic acid and porous silicon

[7]

The major bands between 2800-3100 cm-1 are directly assigned to the asymmetric and

symmetric methylene stretching υαs(CH2) at 2926 and υs(CH2) at 2854 cm-1 respectively

It is known that the asymmetric stretching mode of methylene an indicator of surface

monolayer packing order shifts from 2928 to 2919 cm-1 as the phase of monolayer shifts

from disordered liquid phase to ordered crystal phase [6] Therefore the above observed

value indicates that the COOH-terminated monolayers formed from undecylenic acid are

not as orderly and compact as long chains of CH3- terminated n-alkyl monolayers

103

Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid

Transmission infrared absorbance spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-Undecylenic Acid for 2hours at 200 Cdeg The spectrum was measured at 74deg off normal and is referenced relative to the freshly-etched H-Si(111) surface

104

Although there are other indirect methods for forming COOH- terminated monolayers ie

by hydrolysis of ester-terminated monolayers on silicon[9 10] these methods still can not

produce monolayer as orderly as n-alkyl monolayers because the hydrolysis of ester group

usually is not complete[11]

Finally the weak band at the 900-1200 cm-1 indicates a very low concentration of

Si-O-Si or Si-O-C the surface is essentially oxide free Compared to the reaction of

n-alkylation of Si(111) using 1-octadecene there is usually a certain small amount of oxide

(~10 equivalent of the chemical oxide formed by standard RCA cleaning with effective

thickness ~ 1 A) that is formed during the similar thermal reaction condition a common

problem in hydrosilylation [2] One explanation of this largely suppressed oxide formation

is that the residual water a cause of oxide formation during alkylation is trapped by

undecylenic acid [12] This chemical modification method is especially useful in the place

where oxide is unwanted Meanwhile the chemically reactive acid groupsrsquo termination

leaves the surface available for being further tailored to meet the requirements of different

applications

533 Chemical Stability Resistance of Organic Monolayer via a Si-C

bond to HFNH4F

Figure 55 shows the FTIR spectral changes of Octadecylated Si(111) after immersed

in the 10 HF solution for 30 second and 40 NH4F solution for 90 second (a typical

recipe used for removing silicon oxide and preparing atomic flat H-Si(111) surface) The

SAM has high resistance toward HFNH4F etching Not only the hydrocarbon has very tiny

loss but also the silicon oxide under SAM formed during alkylation is significantly

protected by organic layer This suggests that SAM is densely packed over the whole

surface and behaves like a very good barrier to prevent HF and NH4F from attacking the

sub-oxide of substrate This also indicates that the oxide must exit as local small patches

surrounded by alkyl chains otherwise the area without alkyl chains protection would be

etched away by HF

105

534 Thermal Stability of Octadecylated Si(111)

Figure 56 shows the typical FTIR data after octadecylated Si (111) annealed at stepped

increasing temperature from 100 to 400 degC In the Figure 56a all the spectra are

referenced against freshly SAM modified Si (111) surface As indicated by the stretching

modes of carbon hydrogen at 2800-3000 cm-1 the loss of alkyl chains starts at 150 degC This

is consistent with the results reported by Fauxheus [13] in the nitrogen environment and

Sung in the ultra vacuum environment [14] With the gradual loss of alkyl chains a peak

appears at 2080 cm-1 corresponding to the stretching mode of surface Si-H Its intensity

increases with increased annealing temperature until 350degC Further annealing to the

higher temperature 400 degC the intensity of Si-H stretching mode starts to decrease with

appearance of new peak at 2269 cm-1 which is assigned to stretching mode of H-Si with

the insertion of oxygen into the Si backbonds H-SiOx species At the same time the CH

stretching mode completely disappeared indicating complete desorption of grafted

alkyl-chains (for clarity the same spectra referenced to clean H-Si(111) are shown in the

Figure 57a) These results directly indicate that alkyl chains are desorbed as a whole with

breaking of surface Si-C bond and regeneration of Si-H in accordance with the desorption

mechanism based on results from UHV conditions[14] Consistent with appearance of

H-SiOx at higher annealing temperature above 400degC there is no oxidization of silicon

substrate observed below 400 degC which indicates that the alkyl chains or H-Si may protect

the surface from oxidization In contrast to Fauxheus et al we do clearly see the formation

of Si-H at 2080 cm-1 and do not observe the oxidization of Si or significant insertion of

oxygen into Si back-bond below 350 degC even in the normal N2 purged environments

Consider that the spectra were referenced against freshly-SAM grafted Si (111) surface

with 20-50 Si-H site untouched the positive peak at 2080 cm-1 indicates there is more

Si-H newly formed during the thermal decomposition of alkyl-chains on the surface than

on the starting freshly-alkylated Si (111) surface

In the Figure 56b the same data as Figure 56a is presented in the different manner

for each spectrum the reference spectrum is the one taken right after heating at the

preceding temperature We can clearly see the biggest loss that occurs during 250 - 300 degC

The amount of loss of CHx is proportional to the increasing intensity of Si-H band In

106

Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution

FTIR Spectra of Octadecylated SAM were obtained before and after exposure to HF (30s) and NH4F (90s) The top spectra are referenced against to Octadecylated SAM before HFNH4F treatment and bottom one are referenced relative to fresh H-Si(111)

107

Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure N2 gas from 100 to 400 degC Transmission infrared spectra were collected at 60 degC after each successive annealing with incident angle 70deg off normal The duration of heating at each temperature is 15min a) All spectra are referenced relative to fresh octadylated Si(111) surface at 60degC b) For each spectrum the reference spectrum is the one taken right after heating at the preceding temperature (differential spectra)

108

Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated Si(111) sample

a FTIR spectra of region of C-H stretching after annealing at different temperature b The dash line plots the integrated peak area of C-H stretching modes as a function of annealing temperature The points are normalized by the peak area measured prior to annealing

109

addition the sharper Si-H peak after heating from 300 to 350degC may suggest that Si-H

continues form and is less likely disturbed by the nearby environment due to removal of

more surrounding alkyl chains from the surface

The desorption behavior of octadecylated Si (111) is summarized in the Figure 57b

The dash line plots the integrated peak area normalized by the peak area of C-H stretching

modes prior to annealing as a function of annealing temperature In contrast to Sungrsquos work

at UHV condition we do not observe the alkenes probably due to the removal of alkenes

by continuous N2 purge flow during the annealing processes

535 Thermal Stability of COOH-Terminated-Si (111)

Figure 58 shows typical FTIR data after COOH-terminated Si (111) annealed at

stepped increasing temperature from 100 to 500degC In Figure 58a all the spectra are

referenced relative to freshly-grafted COOH- terminated Si (111) prior to annealing In

Figure 58b the same data as Figure 58a are presented in the differential manner ie for

each spectrum the reference spectrum is the one taken right after heating at the preceding

temperature

The peak of C=O stretching mode at 1714 cm-1 is very first mode to start decreasing in

intensity at 150 degC and a weak new peak appears at slightly higher frequency 1740 cm-1

This shift of C=O mode maybe due to the breaking of hydrogen bond between COOH-

groups upon annealing It further decreased after annealing at 200 degC simultaneously two

new peaks appeared at 1756 1823 cm-1 which can be possibility assigned to formation of

C(O)-O-C(O) between nearby COOH- groups although this reaction may not account for

all the observed loss of intensity of 1714 cm-1 A possible breaking of C=O may be also

undergoing The peaks at 1756 and 1823 cm-1 disappear after annealed at 350degC

At the 300degC the intensity of carbon-hydrogen stretching modes starts decrease and

the biggest loss occurs in the range of 400-450 degC There is no further change in intensity of

CH2 stretching mode from 450 to 500 degC indicating no further loss of alkyl chains

Comparing to the octadelyated Si(111) as we discussed earlier the COOH terminated

monolayers has higher thermal stability than n-alkylated Si(111) surface where alkyl

chains is completely desorbed from the surface at 400 degC (Note the difference of chains

110

length can not account for this higher thermal stability as the desorption donrsquot significantly

depend on alkyl chain length in the range from C6 to C18[13])

Accompanied with the loss of alkyl chains a new peak at 2072 cm-1 appears which is

assigned straightforwardly to Si-H stretching This strongly indicates that alkyl chains are

disassociated as a whole by cleavage of Si-C and regeneration of Si-H on the surface

Further annealing at 450 degC and higher temperature the intensity of Si-H stretching mode

decreases and a weak peak appears at 2270 cm-1 which is previously assigned to SiH with

insertion of oxygen into the Si-Si backbonds At the same time a broad weak band appears

in the region 900-1200 cm-1 representing the formation of SiO (with effective thickness 2

Ǻ or ~20 coverage in the form of islets of SiO) This evidence indicates that the surface is

only partially oxidized and part of surface is still covered with H-Si in the form of H-SiOx

after annealing at 500 degC

For clarity we also include the transmission infrared data recorded ex-situ using DTGS

detector with 74deg off normal incident angle transmission geometry As shown in Figure 59

the annealed COOH-SAMSi (111) is referenced against to clean H-Si (111) and

freshly-grafted COOH-SAM prior to heating respectively After hydrosilylation and

annealing there is a weak broad peak at 1664 cm-1 and 2269 cm-1 in consistent with in-situ

data And there is only less than ~5 carbon hydrogen remained on the surface As shown

in Figure 59c the negative peak of Si-H stretching mode at 2073 cm-1 has integrated area

~0007 cm-1 (~50 of integrated area of a full H-terminated Si(111) surface) implying that

there was at least ~50 of Si-H presenting on the freshly-grafted COOH-terminated

Si(111) before annealing which is in agreement with that the fact at most ~50 surface

Si-H of H-Si(111) can be substituted with alkyl chains due to the steric limit [3]

111

Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c

112

Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111) FTIR Spectra is recorded ex situ before and after COOH-terminatedSi(111) samples is annealed inside the chamber The spectra were measured at 74deg off normal using DTGS detector a freshly-grafted COOH-SAMSi(111) b annealed COOH-SAM Si(111) referenced relative to freshly-etched H-Si(111) c annealed COOH-SAM Si(111) referenced relative to freshly-grafted COOH-SAMSi(111)

113

54 Discussion

541 Alkyl Packing on the H-Si (111) surface (Theory and Model)

By comparing FTIR spectra of a freshly grafted n-alkylated Si (111) surface with spectum

of fresh H-Si (111) surface (both are referenced to SiO2 surafce) the area under the Si-H

stretching (2083cm-1) on surface revealed that 30 H-Si remains Because a slightly

oxidization is also observed during alkylation 70 is an upper limit of percentage of

hydrogen replaced by Si-C linkage In theory the maximum coverage is 50 due to the

steric interaction between fully extended chains The ordering of alkyl chains increases as

thee packing density increases The percentage of remained surface H-Si after alkylation is

~ 50 for ndashCOOH terminated surface where nearly no oxidization is discernable in the

infrared spectra This is well consistent with the theoretical calculation [15]

542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111)

We demonstrated that ndashCH3 terminated Alkyl C18 chain on silicon (111) is thermally

stable up to 150 degC Starting from 150 degC the chains start to desorb from the surface The

maximum loss of hydrocarbon occurs between 250 to 300 degC Accompanying with the loss

of hydrocarbon chains (indicated by the integrated area of CH stretching mode at

2800-3000 cm-1) a peak at 2076 cm-1 attributed to Si-H stretching mode appears

simultaneously and increases steadily in the intensity up to 350 degC Further annealing at

higher temperature above 350 degC results in loss of Si-H and reappearing of peak at 2260

cm-1 (H-SiO3) results in complete loss of hydrocarbon and appearance of a small broad

Si-O peak at ~1140 cm-1 This indicates the surface is not oxidized until annealing over 350

degC and the oxidization occurs in the way of insertion of oxygen into the Si-Si back-bond of

H-Si

A desorption mechanism has been proposed earlier for thermal decomposition of alkyl

Si(111) in the UHV environment [14] the alkyl chains are desorbed as a whole by cleavage

of surface Si-C bond accompanied by regeneration of the alkenes amd surface Si-H

114

31222322 )( )( CHCHCHCHHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (1)

Alternatively another competing pathway has also been proposed where Si-CH3 instead

of Si-H remains on the surface with cleavage of C-C bond However it was considered as a

minority mechanism [13]

322223322 )( )( CHCHCHCHCHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (2)

Our results obtained from annealing under N2 environment is consistent with the HREEL

data in UHV conditions[14] It directly supports that the alkyl chains are desorbed via

cleavage of Si-C bond with re-formation of surface Si-H The increase of Si-H peak

intensity cannot be explained by the removal of nearby alkyl chain in other mechanism (eg

pathway (2)) without cleavage of Si-C bond Because if pathway 2 is dominated remaining

Si-CH3 would still disrupt the dipole coupling of Si-H and we would not see the increasing

of Si-H mode Indeed the peak position of Si-H is observed at 2080 cm-1 with a shape of

sharp spike suggests that local formation of dipole coupling between neighboring Si-H

groups on a terrace It has been previously shown that Si-H stretching modes is red shifted

from 2083 cm-1 to 2073 cm-1 and broadened due to disruption of dipole coupling of Si-H on

a terrace [16-18] In this picture the amount of removed alkyl chains is proportional to the

re-formed Si-H The presence of Si-H suggests that desorption of the alkyl monolayer

occurs through βminushydride elimination

Annealing above 350 degC and after ~80 alkyl chains are removed Si-H surface starts

to be oxidized by insertion of oxygen into the Si-Si back-bond The source of oxygen may

be due to impurity oxidant in either purged N2 gas or water absorbed inside of chamber

The appearance of H-SiO3 is consistent with previous study of oxidization of hydrogen

terminated silicon with presence of oxidant For instance a study of reaction of O2 with

H-Si(111) found that no oxygen initially inserted into Si-H bond to form Si-OH instead

various H-SiOx species formed [19 20] Another study of water reaction on H-Si(100)

under ultrahigh vacuum has shown that oxidization of Si-Si bonds occurs at both room

115

temperature and elevated temperatures but without breaking Si-H bond likely due to

14eV stronger bond energy of Si-H than bulk Si-Si[21]

The slow oxidization of surface indicated by the small Si-O peaks at 1000-1300 cm-1

and H-SiO3 peak at 2260 cm-1 maybe attributed to the minimized oxidant impurity and

stability of H-Si surface They are not sufficient to drive fast oxidization at the annealing

temperature A previous study of initial stage of oxidization of hydrogen-terminated

silicon in the water or humidity air proposed two-stage oxidization with different

oxidization rate [22 23] The Si-Si back-bond instead of Si-H is first attacked by attracted

water molecule to form H-SiOx and the oxidization is slow (Induction Period) As Si-OH

coverage increasing it could join with adjacent Si-OH to form Si-O-Si bridge groups

These groups are argued to be responsible to more rapid oxidization at the second stage [22

23]

543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)

Comparing to n-alkyl Si(111) SAM COOH-SAMSi(111) shows higher thermal stability

The -CH2- stretching mode of alkyl chains of ndashCOOH SAM only has minor changes upon

annealing to 350 degC while at the same temperature ~80 alkyl chains is removed from

n-alkylated Si(111) surface The largest loss of -CH2- occurs between 400ndash450degC The

infrared spectra clearly show that a chemical transformation of carboxyl-termination prior

to the thermal desorption of hydrogen-carbon chains occurs At the lower temperature

between 200-250degC a decrease of integrated area of C=O mode and the simultaneous

appearance of two peaks at 1726 and 1823 cm-1 suggest a formation of anhydride from

condensation of two neighboring COOH groups (Figure 510)[24] With increasing

temperature to 300degC the largest loss of C=O occurs and the peaks at 17261823 cm-1

remain nearly unchanged instead of increasing This may be explained as anhydride group

became unstable at this temperature The fact that no spectroscopic feature related with

C=O (1400-1700 cm-1) is observed suggests the C=O is chemically transformed or

removed from surface

116

In the most recent independent study of Faucheux et al [25])2 they postulated that C-C

bond between the alkyl skeleton and the anhydride group will break first due to the C-C

bond polarization upon annealing at this temperature range 250-300 degC leaving a saturated

hydrocarbon chains bond to two surface silicon They then attributed the enhanced thermal

stability to the formation of this type of paired chain structure Although this two-end chain

mode seems reasonabe to explain observed enhanced stability there several issues with

this mechanism it lacks direct evidence that how C-C bond forms between two

neighboring chains In addition the steric interaction between chains was not considered

and it may prevent formation C-C bridge on the top of chains (C-C ~154 Aring)

Our results show a similar behavior except that the loss of majority of C=O occurs at

250-300 degC while they are observed at 200-250 degC We observed that anhydride formed at

250 degC is completely removed upon annealing to 350degC while they observed it at lower

temperature at 300 degC3

Anhydrides are formed by dehydration of carboxylic acid with eliminating water

between two carboxylic acid groups Anhydride is pretty reactive acid-derivative like acid

chlorides but less reactive than acid chlorides anhydride can undergo many of the same

kinds of reaction as acid chlorides In our experiment at 250degC ~25 C=O carboxyl

stopped accompaning with the formation of anhydride While at 300degC additional ~40

C=O was lost while there were no more anhydride forms accompanied with the loss of

hydrocarbon It could be either due to the instability of anhydride or there maybe exist

other mechanism accounted for the loss of C=O annealing at this temperature For instance

they could be attributed to removal of single non-paired carboxyl chain by cleavage of Si-C

bond This is consistent with what is found early in the case of n-alkylated Si(111)

annealing at 250-300 degC leads to removal of majority alkyl chains by cleavage of Si-C

R-COOH + R-COOH + heat --gt R-C(O)OC(O)-R + H2O

2 Our work is carried independently with their research At the time we have all data and prepared for a publication they published their results right before us 3 Note the temperature range difference between us may be attributed to the way to measure sample temperature

117

Furthermore we notice that the quantitative analysis of our experimental data in Figure

511 has a very similar pattern to the simulated result of desorption of two-end chains[25]

Similar to the thermal decomposition of n-alkyl chains on Si(111) a increase in Si-H

stretching mode accompanying with loss of -CH2- indicates that desorption of the alkyl

monolayer occurs through β-hydride elimination In contrast to thermal decomposition of

n-alkyl chains on Si(111) where the loss of H-Si and appearance of H-SiO3 is observed

upon annealing to 400 degC H-Si stretching mode decreases while H-SiO3 is barely observed

during the process of heating COOH-SAM The Si-H starts to decrease when annealing

temperature is as lower as 250 degC This might be due to the excessive oxidant existed on the

surface with hydrophilic ndashCOOH groups(eg release of pre- hydrogen bonded water upon

heating or H2O formed from transformation of carboxyl to anhydride) And the larger

amount of Si-O is observed in the range 1000-1300 cm-1 suggesting more silicon

oxidization presenting on the annealed COOH-SAM surface This higher degree of

oxidization and lack of intermediate product H-SiO3 probably is due to exist of oxidant

from decomposition products (H2O) of carboxyl group

Based on this evidence we propose the following mechanism as shown in Figure 510

At the lower temperature (150-250 degC) -COOH functional groups are undergoing

chemical transformation to anhydride at the place where two nearby ndashCOOH exist With

temperature increasing to 250-300 degC the single carboxyl alkyl chain that has no chance to

form anhydride will be removed from the surface with the removal of whole alkyl chains

through Si-C cleavage Further annealing at 350 degC leads to degradation of anhydride and

may form bridge C-C or C-O-C connecting two neighboring chains and release CO and

CO2 The paired chains have higher thermal stability can be desorbed from surface until

annealing to 400 degC and above

118

Si

OHO

Si

OOH

Si

OO

Si

O

CO2CO

O

SiSi

Figure 510 Possible Reaction during Annealing

Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen environment

Quantitative analysis of the change of integrated area stretching mode of CHx of carboxyl-C12 SAM and n-alkylated C18 SAM (Note here length of chains is not matter But for a more rigorous discussion a result from C12-SAM will be given later) Both are normalized to area of CH2 from fresh grafted SAM

119

54 Conclusion We demonstrated that organic self-assembled monolayers (with -CH3 and ndashCOOH

terminal groups) can be thermally grafted on the H-Si (111) via a Si-C bond There is much

less surface oxidation occurring during the formation of ndashCOOH SAM than that of ndashCH3

SAM possibly because trapping of trace of oxidant (ie H2O) by the -COOH terminal

group prevents it from attacking silicon substrate The ndashCH3 SAM shows crystal-like

ordered structure The ordering of alkyl chains of ndashCH3 SAM depends on the packing

density the higher packing density the better ordering is Grafted ndashCOOH SAM is found

less ordered than ndashCH3 SAM possibly due to the interaction between the ndashCOOH head

groups

The study of thermal stability of the organic layers by annealing samples under N2

atmospheres shows that both types of SAMs desorp from surface through cleavage of Si-C

bond (β-hydride elimination reaction) -CH3 SAM is thermally stable up to 200degC

Annealing to higher temperature results in desorption of alkyl chains from surface

accompanied with re-formation of Si-H Further annealing to higher temperature at 400degC

hydrocarbon is completely removed from surface confirmed by the FTIR spectra and final

surface is partially oxidized with insertion of oxygen into the Si-H back-bond

COOH-SAM shows enhanced thermal stability compared with o ndashCH3 SAM The

alkyl chains are thermally stable up to 350 degC and are completely desorped from surface

after annealing at 450 degC COOH functional group is found to play a role in enhancing

thermal stability of layers It is found that anhydride forms between -COOH groups of two

neighboring chains before the desorption of alkyl chains occurs The elimination of the

anhydride by anealing at 300-350degC may lead to formation of an end-paring of the grafted

chains with C-O-C or C-C bridging on the top of chains The end-pairing chains

correspond to the enhanced thermail stability

120

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

4 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

5 Porter MD et al Spontaneously organized molecular assemblies 4 Structural characterization of n-alkyl thiol monolayers on gold by optical ellipsometry infrared spectroscopy and electrochemistry J Am Chem Soc 1987 109(12) p 3559-3568

6 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

7 Boukherroub R et al Thermal hydrosilylation of undecylenic acid with porous silicon Journal of the Electrochemical Society 2002 149(2) p H59-H63

8 Asanuma H GP Lopinski and H-Z Yu Kinetic Control of the Photochemical Reactivity of Hydrogen-Terminated Silicon with Bifunctional Molecules Langmuir 2005 21(11) p 5013-5018

9 Sieval AB et al Highly stable Si-C linked functionalized monolayers on the silicon (100) surface Langmuir 1998 14(7) p 1759-1768

10 Boukherroub R et al New synthetic routes to alkyl monolayers on the Si(111) surface Langmuir 1999 15(11) p 3831-3835

11 Liu YJ NM Navasero and HZ Yu Structure and reactivity of mixed co-carboxyalkylalkyl monolayers on silicon ATR-FTIR spectroscopy and contact angle titration Langmuir 2004 20(10) p 4039-4050

12 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

13 Faucheux A et al Thermal decomposition of alkyl monolayers covalently grafted on (111) silicon Applied Physics Letters 2006 88(19)

14 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

15 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

16 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

121

17 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

18 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

19 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

20 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

21 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air Journal of Applied Physics 1994 76(4) p 2157-2163

22 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

23 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

24 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 25 Faucheux A et al Mechanisms of thermal decomposition of organic monolayers

grafted on (111) silicon Langmuir 2007 23(3) p 1326-1332

122

6 Chapter 6 Selective Atomic Layer Deposition (ALD) of

Metal Oxide on SAMs with Different Functional Groups

61 Introduction

Scaling down of integrated circuits requires the replacement of SiO2 gate dielectric in

the field effect transistor because leakage current exponentially increases with decreasing

SiO2 film thickness and results in device failure The high- and low- permittivity dielectrics

are been investigated as a substitutes of SiO2 for years The deposition of high quality

high-k film on the demanding geometry is essential for the applications Aomic layer

deposition (ALD) is the one which can meet these requirements

ALD is a powerful ultra-thin film deposition technique offering excellent large-area

uniformity and conformality It enables accurate control of film thickness composition

with demanding geometry at the atomic level The ALD process uses molecular precursors

such as metal complex for growth of metal-oxide film Each precursor behaves as a

self-limiting reaction at the surface and is deposited in monolayer per cycle The film

quality and growth rate of ALD process greatly depend on the initial surface chemical

condition A surface with proper chemical state is required for molecular precursor to

nucleate on the surface and to initiate a well-controlled linear growth

For this purpose a thin SiO2 surface terminated with hydroxyl group was used to as

starting surface for high-k film deposition which actually partially compromises the

advantage of using high-k by reducing the effective gate stack capacitance Recently ALD

of high-k has been found to be able to perform on oxide-free H-passivated silicon (HSi)

[1-4] However because silicon has high affinity toward oxygen interfacial SiO2 is easily

formed between the silicon and high-k layers during the ALD process[1 2] in spite that

H-passivated silicon shows high resistance to oxidization under normal lab condition in

123

ambient environment and even in pure O2 or H2O environment at temperature as high as

300degC ([2 5]) Furthermore H-terminated Si has relatively poor nucleation characteristics

comparing to hydroxyl terminated SiO2 surface resulting in non-linear film growth and

formation of discontinuous and electrically leaky gate stack To solve the problem of poor

nucleation H-terminated silicon surface a starting surface with reactive nucleation sites

and good oxygen barrier is under the demand

Semiconductor passivated by alkyl chains through Si-C bond has shown high stability

in the air or water The SAMs serve as diffusion barrier to protect surface from oxidization

and other chemical reaction The surface cannot be oxidized in the air for months In this

work we explore to use alkyl-SAM as alternative solution for the ALD issues addressed

above First SAMs could provide a well-defined interface surface and act as a barrier for

oxidant diffusion Second at the same time by using broad available organic chemistry

methods SAMs can be easily tailored with different chemical functional groups in order to

meet different applications It can enhance the nucleation process and promote a linear

growth dynamics on the top of SAMs with reactive terminal groups such as hydroxyl and

carboxyl group It can be also used as passivated inert layer with -CH3 termianl group to

block unwanted reactions

Besides the direct applications of SAMs in ALD process SAMs can be used as model

surface to study organic-inorganic interface Organic-inorganic interface plays increasing

important role in molecular electronics micro-interconnect technology such as

carbon-based low-k dielectrics and metallicinorganic diffusion barrier However

elucidation of fundamental interaction at the interface is very difficult and not well

understood because of nature of complexity and lack of precisions for the organic surface

(such as organic polymer surface having mixed terminal groups) High quality and

well-ordered organic SAMs [6] provide a known surface density of uniformly organized

functional groups at the interface and ability to tailor surface properties by varying terminal

functional group

In this study we apply ALD of Al2O3 on SAMs surface with different terminal groups

including OH- NH2- COOH- CH3- The controllable surface nucleation is studied

including both substrate-enhanced growth and substrate-inhibited growth The interaction

between functional groups and TMA precursor has been studied systematically in this

124

study Because the effect of substrate on the nucleation and growth is seen in the beginning

of the ALD growth our current study focuses on the very first 10 ALD cycles

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

SiH

SiSiH

SiSiH

SiSiH

SiSiH

SiSiH

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

ALD

High k Metal Oxide

hydrosylation

Figure 61 SAM Assisted Atomic Layer Deposition of Metal

Oxide on Silicon Surface

125

62 Experimental

621 Materials Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular sieve 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional crosslinker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and N2(g) bubbling for at least 1 hour in

order to remove the dissolved water and oxygen before use

622 Preparation of Self Assembled Monolayers

6221 Preparation of H- Terminated Si(111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95Cdeg for at least 25 minutes to remove the surface

contamination following by thoroughly DI-water rinsing The cleaned sample was then

placed in the 10 HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the

silicon oxide layer and produce an atomic flat H- terminated Si(111) surface During the

etching step the wafer was agitated occasionally to remove the bubbles formed on the

surface After NH4F etching sample is rinsed thoroughly with DI water and dried under a

stream of N2 (g) The sample was then immediately removed into N2 purged FTIR

126

spectrometer chamber for taking reference spectra or into a N2 purged glove box where all

further chemical functionalizations were conducted

6222 Preparation of ndashCH3 Terminated Si (111) Surface

Neat octadecene was pre-treated with 3Ǻ molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs with minimized oxidization of the

silicon surface After transferring the octadecene liquid into the three-necked flask loading

H-Si (111) sample and assembling the condenser tube in the N2 glove box the whole set

was sealed and moved out from N2 glove box to a hood The octadecene was then

continuously purged with N2 (g) for additional 30 min before heating it up to reaction

temperature at 200 degC using silicon oil bath

6223 Preparation of -COOH Terminated Si (111) Surface

Neat undecylenic acid was pre-deoxygenated for at least 1 hour while heating at 50 degC and

then cooled to room temperature The freshly prepared silicon H-Si (111) was then placed

under N2 in a Schlenk three-necked flask containing the previously deoxygenated neat

undecylenic acid and allowed to react at 120degC for 2 hours The excess nonreacted reagent

was removed after the reaction and sample was rinsed with THF dichloromethane hot

10 acetic acid and DI water and then dried under a stream of N2 (g)

6224 Preparation of ndashOH Terminated Si (111) Surface

-OH terminated SAM is reduced from a ndashCOOH SAM by immersing freshly prepared

ndashCOOH SAMSi(111) into the 01M LiAlH4 in anhydrous THF for 6 hours After pouring

out the excess reaction solution sample was rinsed with THF and dried under a stream of

N2 (g)

6225 Preparation of ndashNH2 Terminated Si(111) Surface

Two routes were developed to prepare ndashNH2 Terminated Si(111) surface Both are

derivatives of ndashCOOH SAM Method I first utilizes conversion of the acid functional

group to succinimidyl ester as following freshly prepared ndashCOOH SAM was activated by

127

immersing into NHSEDC solution (NHS 01M and EDC 04M in deionized water) and

allowed to react at room temperature for 1 hour to form Si-C10COONHS surface The

resulting surface was rinsed copiously with deionized water and then dried under a stream

of N2 (g) This reaction is pretty robust and the completion of conversion is confirmed by

FTIR spectroscopy Second the NHS-terminated surface was then reacted with

ammonium by immersing into 01M ammonium solution in dioxane for 2 hours with

formation of Si-C10-CONH2 a primary amide terminated surface After reaction the

sample was rinsed copiously with THF and then dried under N2 stream The last step was

involved reducing primary amide to primary amine by immersing ndashCONH2 SAM into

01M LiAlH4-THF solution for 6 hours followed by rinsing with THF 10 HCl aqueous

solution and deionized water then dried under a stream of N2

Method II is chlorination-based method The routine is shown in the Figure 62 In a

N2 purged glove box freshly prepared ndashCOOH SAM was convert to ndashCOCl by immersing

into 01M oxalyl chloride solution prepared by adding 100μL oxalyl chloride (2M in

CH2Cl2) into 20ml anhydrous CH2Cl2 then add one drop of anhydrous DMF for 3 hours

After reaction is completed the -COCl terminated sample rinsed copiously with anhydrous

CH2Cl2 and let it naturally dried in N2 glove box Then sample was transferred into a clean

test tube sealed in the N2 glove box and transferred to another glove box for further amine

modification or to FTIR chamber for IR measurement The operation process must be

careful to avoid exposure to air or moisture The freshly prepared ndashCOCl SAM sample was

placed in the Teflon beaker containing 5ml 05M NH3 dioxane solution and 2ml anhydrous

triethylamine The beaker was then covered with Para film and left for overnight in the N2

glove box After the reaction the excess solution was poured out and sample was rinsed

with deionized water and then dried in N2 flow

The chemical reactivity of -NH2 terminated SAM was confirmed by reacting it with

PMPI a common cross-linker used to covalently immobilizing DNA or protein on the

ndashNH2 terminated surface The freshly prepared -NH2 SAM was placed in the PMPI

solution prepared from dissolving 50 mg PMPI power in 20ml anhydrous acetonitrile for

24 hours Sample was rinsed with excess solvent acetonitrile and dried in a stream of N2

128

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

PCl5

NH3

LiAlH4

Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM

623 Characterization of the Self-Assembled Monolayers Transmission Fourier transform infrared spectrometry (FTIR) was carried out in both

ex-situ and in-situ For ex-situ setup a Nicolet Magna 860 spectrometer equipped with

rotating sample holder and DTGS detector was used The spectra were recoded at 4 cm-1

resolution 1000 scans for each loop of total 5 loops The spectrometer was constantly

purged with N2 (g) while acquiring data

624 Atomic Layer Deposition Chamber Set Up UHV apparatus More detailed information with schematic drawing of ALD chamber and in-situ IR setup is

described in the Chapter 2

129

63 Results 631 Preparation and Characterization of COOH- terminated

SAMSi(111) (same as Chapter 5)

632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111)

Figure 63 shows FTIR spectra of freshly-grafted COOH-SAMSi (111) after exposure to

TMA for various times and Figure 64 is zoomed in region of 1300-1900 cm-1 The spectra

are presented in the differential manner for each spectrum the reference is the one taken

after preceding exposure Upon the first 1s exposure to TMA at 100 degC the stretching

mode C=O of acid group at 1714 cm-1 completely disappears with appearance of two

strong bands centered at 1477 1581 cm-1 with a shoulder at 1610 cm-1 They can be

assigned to the stretching mode of C=O in acid salt structures [7] The peak at 1217 cm-1 is

assigned to symmetric deformation CH3 modes of Al-CH3 previously [2] [8-10] and it is

not Si-CH3 bonding The corresponding CH3 asymmetric mode at 1467 cm-1 is within the

strong peak of the stretching mode of C=O and cannot be distinguished from the spectra

The peak at 706 cm-1 is attributed to the CH3 rocking modes of Al-(CH3)3 [11 12] and small

positive features at 2800-3000 cm-1 is assigned to the C-H stretch of Al-(CH3)3

Further exposure to TMA the spectra have only slightly changes at the region around

1580 cm-1 indicating most reactions on the surface are completed after the first TMA

exposure It is possibly due to peak shift resulting from continuous change of environment

of C=O and forms more salt-like structures [7] There is no more change at 1217 cm-1

indicating no more Al-(CH3)3 absorbed or desorbed from the surface This self-terminating

growth is an important condition for ALD growth of TMA

In order to further investigate the surface bonding between Al-(CH3)3 and COOH-

group the 10s TMA exposed COOH-SAMSi (111) sample is removed from ALD

chamber and immersed into the hot HCl solution for 10 minutes Figure 65 shows the

FTIR spectra after 10s TMA exposure and HCL treatment Both are referenced relative to

fresh-grafted COOH- terminated Si (111) surface The features related to Al-CH3 706

1217 cm-1 did not appear due to the exposure of the sample to the atmosphere during the

sample unloading and

130

1000 1500 2000 2500 3000

δSiH

υCH3~706 υC=O

4th TMA 5s

3rd TMA 3s 2nd TMA 1s

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

TMA on COOH-SAM Saturation 10-18-2006

1200 Al-CH3

υCH2

1st TMA 1s

COOH-SAM

10-3

777

Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to

TMA for various time

Freshly-grafted COOH-SAMSi (111) were installed in the ALD chamber and exposed to TMA (gas phase) for various times FTIR Spectra are recorded in situ (except the bottom one) after each exposure with different exposure time using MCT-B detector The spectra are represented in a differential manner except the bottom one for each spectrum the reference is the one taken after preceding exposure The spectra on the bottom is recorded ex-situ at 74ordm incidence angle using DTGS detector and referenced against to freshly etched H-Si(111) surface

131

1500 2000

-0002

-0001

0000

0001

1200

C

OO

C

OO

Abs

orba

nce

Wavenumber (cm-1)

4th TMA 5s

3rd TMA 3s

2nd TMA 1s

1st TMA 1s

5x10-4

1477 1577

υC=O

Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900

cm-1

132

transportation resulting in quick hydrolysis of Al-CH3 to Al-OH Several bands appear at

723 870 and 1056 cm-1 They are assigned to Al-O related compounds due to hydrolysis of

Al-CH3 The two strong bands centered at 1477 and 1558 cm-1 correspond to stretching

mode of C=O in the form of stable acid salt or -C (O) OAl The small broad peak centered

at ~870 cm-1 is assigned to C-O-Al After hot HCl solution treatment as shown in the

Figure 65c both bands at 1400-1600 cm-1 and 870 cm-1 completely disappeared and the

peak corresponding to stretching mode of C=O of -COOH reappears at 1714 cm-1 This

indicates that the surface is recovered to the initial COOH- terminated Si(111) surface

(Figure 65a) with ~30 reduced concentration comparing to the initial ndashCOOH

terminated surface (ie the integrated area of 1714 cm-1 is ~70 of initial integrated value)

The recovery of COOH- groups can be possibly explained as acid salt -COO-Al+ complex

is converted back to -COOH with formation of AlCl3 under strong acid environment This

strongly suggests that TMA forms ionic bond with surface carboxyl group Meanwhile the

fact that only ~70 -COOH recovers and the bands at 1056 and 723 cm-1 which are

assigned to the C-O and Al-O stretching mode of Al-O-C respectively (may be compared

with Si-O-Al) remain unchanged suggest that the ~30 COOH loss maybe due to

permanent breaking up C=O and formation C-O-Al bond when ndashCOOH react with TMA

molecule

133

1000 1500 2000 2500 3000

c

bC=O in salts

sim870sim723 υCH2υC=O

HCl posttreated TMA on COOH-SAM 10-18-2006

δSiH

υSiH2083

Hot HCl post treated

10s TMA

COOH-SAM

Wavenumber (cm-1)

Abso

rban

ce

a

10-3

Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl

solution treatment

FTIR Spectra of COOH-C12 SAMSi(111) is recorded ex situ using DTGS detector After a freshly prepared ndashCOOH SAM b total 10s TMA exposure on COOH-SAM and c a post-treatment by immersing TMA exposed COOH-SAM sample into hot HCl solution for 10min at 80 degC All spectra are referenced relative to freshly etched H-terminated Si(111) surface The ratio of recovery of C=O at 1712 cm-1 is ~ 70 (A~00330046) The peaks at 723 cm-1 and 870 cm-1 appear after TMA exposure The 723 cm-1 remains while peak at 870 cm-1 disappeared after HCl treatment They may be assigned to Al-O-C and Al-OH respectively 723 cm-1 is not seen in the ndashCOOH-SAM but appears only after TMA exposure So it may not be due to C-C vibration from chains with four carbons and more (720-741 cm-1) [7] It may be related to the irreversible change during reaction between TMA and ndashCOOH SAM

134

633 ALD Growth of TMA on COOH-terminatedSi (111) ALD on polymer is one of its important applications However ALD on polymer is

complicated due to the absence of necessary chemical functional groups or co-existence of

various chemical groups Therefore ALD on a well defined organic self-assembly

monolayers with controllable surface chemical function groups may provide good model

for study of ALD of inorganic material on organic surface

The nucleation and growth of Al2O3 ALD on COOH- terminated Si (111) are studied

using in situ Fourier transform infrared spectroscopy COOH-terminated Si (111) has

negligible oxide formation during thermal grafting process with forming stable Si-C

surface bond in contrast to alkylated Si (111) where formation of oxide is a common

problem In addition the chemically active COOH- group can enhance nucleation of TMA and form a high quality Al2O3 film Due to the intrinsic steric limitation of alkyl chain

packing on Si (111) surface the packing density of COOH will be close to the density of

-CH3 terminated SAM TMA will not react with H-terminated silicon until 300 Cdeg at the

temperature in which interfacial oxidization becomes unavoidable By introducing

ndashCOOH SAM reactive terminal group can significantly reduce required temperature for

ALD growth to as low as 100degC in our current study which is far lower than the thermal

decomposition temperature 350degC for organic ndashCOOH SAM (Referring to previous

chapter)

Figure 66 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100

degC for the first 10 cycles All spectra except the one on the bottom are referenced to

freshly-grafted COOH- terminated Si (111) at 60degC The spectrum on the bottom is the

spectrum of freshly-grafted COOH-SAM referenced to clean H-Si (111) surface The

initial COOH terminated Si(111) surface shows its characteristic sharp peak at 1714 cm-1

the C=O stretching mode of acid group in the form of hydrogen bonded dimmer[7] After

first TMA 10s exposure at 100degC this peak completely disappears and two new bands

appear

135

1000 1500 2000 2600 2800 3000

-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

002

TMA 10cyc COOH-SAM S29A 10-13-2006

10 cyc5 cyc

D2O 3

TMA 3 D2O 2TMA 2D2O 1TMA 1

~700 ~930TOLO Al2O3

COOH-SAMHSi(111)

C=O in SaltsAl-CH31213 υC=O

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

10-3

δSiH

(a)

00057

1000 1500 2000 2400 2600 2800 3000

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

1213

Abs

orba

nce

Wavenumber (cm-1)

Al-CH31213

OD~2743

TMA 10cyc COOH-SAM S29A Differential 10-13-2006

Al-O943

10 cyc

5 cyc

D2O 3

TMA 3

D2O 2

TMA 2

D2O 1

TMA 1

(b)

1213

Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111)

a all spectra are recorded in-situ using MCT-B detector and referenced against to pre-annealed COOH-SAM right before the first TMA exposure except the bottom one Bottom one is recorded ex situ using DTGS detector and referenced relative to fresh H-Si(111) b FTIR difference spectra of each different spectrum is referenced to the spectrum recorded immediately before the exposure

136

simultaneously at 1476 and 1581 cm-1 indicating that TMA immediately reacts with all

surface accessible COOH- groups and a homogenous nucleation may be achieved As we

have also shown earlier in the Figure 63 the extended exposure to TMA does not add more

TMA to the surface This is important for a high quality layer-by-layer atomic layer

deposition The 1217 cm-1 is clearly assigned to deposited -Al(CH3)The broad peak

centered at ~740 cm-1 could be assigned to mixed Al-O modes and CH3 rocking mode of

Al-CH3 After first D2O exposure a immediately decreasing in 1217 cm-1 (Al-CH3) and

~706 cm-1 indicates hydrolysis of Al-CH3 The peaks at 1476 and 1581 cm-1 slightly

decrease in intensity accompanying with two positive peaks appearing at ~1460 cm-1 and

~1624 cm-1 This could be explained as peak shifting of 1476 and 1581 cm-1 caused by the

environment changes of C=O after hydrolysis of Al-CH3 A broad weak band centered at

2743 cm-1 is assigned to OD stretching The introducing water pulse to TMA exposed

COOH-SAM doesnrsquot result in any subsurface oxidization This differs from the growth of

Al2O3 on HSi for which a significant oxidization was observed immediately after water

exposure [2]

TMA reacts with surface Al-OD groups to form Al-O-Al-CH3 after the second exposue

The peak shifting observed after first D2O exposure now shifts back to before D2O

exposure indicated by the negative peaks at ~1460 cm-1 and ~1624 cm-1 and positive peak

at 1476 and 1581 cm-1 The second D2O exposure then replaces Al-CH3 with Al-OD again

as expected[13] and the reversible peak shifting re-appears in the region 1400-1650 cm-1

In following ALD cycles the changes in the region 1400-1650 cm-1 are continuously

observed but becoming smaller with increasing number of TMAD2O cycles This strong

suggests that these features are attributed to interfacial bonding and become less affected

with increasing thickness of Al2O3 film Two bands at ~730 and ~930 cm-1 steadily

increase in intensity with the number of cycles and slightly blue shifts They could be

assigned to amorphous TOLO phonon mode of Al2O3 film The frequency of LO mode is

slightly lower than 954 cm-1 for amorphous Al2O3 ALD grown directly on silicon surface

[2]

No measurable modes are found in the range 1000-1200 cm-1 and ~800 cm-1 which are

assigned to Si-O or Al-O-Si Si-O-Si previously [14 15] And also there is no Si-CH3 mode

found at 1266 cm-1[9] These evidences clearly indicate neither TMA nor oxygen goes into

137

the siliconSAM interface All the ALD reactions are most likely strictly limited only to top

COOH- functional surface The SAM behaves as a good barrier for preventing diffusion of

oxidant into the interface In addition there is no apparent OD stretching observed at

2700-2800 cm-1 indicating no significant D2O incorporation into the Al2O3 film even after

10 ALD cycles

Figure 67 shows FTIR spectra of post-annealing effect on 10 cycles ALD grown Al2O3

film on COOH-SAM All spectra except the bottom one are referenced to the 10 cycles

ALD grown Al2O3 film prior to annealing The spectra on the bottom are referenced

relative to the pre-annealed (120degC) COOH-SAMSi(111) surface right before the first

TMA exposure The SAM is stable upon annealing at 300degC With increasing temperature

the SAM starts to degrade indicated by the negative peaks of carbon-hydrogen stretching

modes from alkyl chains of SAM at 2850- 2950 cm-1 and the biggest loss of CH2 occurs at

300-400 degC Concurrent with the loss of carbon hydrogen a small positive peak at 2055

cm-1 and negative peak at 2073 cm-1 appear at 400degC assigned to the interfacial H-Si

stretching modes perturbed by the environments and formation of new H-Si bond Further

annealing at higher temperature leads to the complete loss of surface H-Si

After annealed at 300degC the bands at 1400-1600 cm-1 assigned to C=O stretching

modes increases with simultaneously reduced intensity of bands at 1640-1700 cm-1

suggesting more interfacial bond formation after mild thermal treatment (differential

spectra is displayed for clarity) These bands then significantly reduced in the intensity

upon thermal treatment at 400 degC most likely caused by the removal of the whole alkyl

chains under this temperature It clearly shows no oxide silicon forms even when organic

SAM barrier already started to disassociate from the surface at 400 degC In fact the

simultaneous appearance of small positive peak at 2055 cm-1 and negative peak at 2073

cm-1 suggests that formation of surface H-Si generated from the disassociation of grafted

alkyl chains by breaking of Si-C bond is a desorption mechanism proposed under UHV

condition[16] In addition oxidized H-Si back-bond is not observed at 2200-2300 cm-1

Comparing to the results from post-annealing of pure COOH-SAMSi (111) in which the

loss of hydrogen and oxidization of silicon substrates occurs at lower temperature at

300-350 Cdeg the ALD-grown Al2O3 COOH-SAMSi (111) surface clearly shows the

138

higher resistance to oxidization the loss of hydrogen and oxidization of substrate occur at

400-500 degC This may be explained as the deposited Al2O3 film provides an additional

1000 1500 2000 2500 3000

10-3

600c

500c

400c

300c

10 cyc TMAD2O

υCH2υC=O υSiH

TOLO SiO2

TOLO Al2O3

~745

Abs

orba

nce

Wavenumer (cm-1)

~955

TMA 10cyc COOH-SAM Post anealed

(a)

-00054

Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)

FTIR Spectra were recorded in-situ after annealing at each annealing temperature All spectra are referenced relative to the pre-annealed (120 degC) ndashCOOH SAMSi before ALD deposition SiO2 didnrsquot appear until 500degC when large amount of hydrocarbon chains were removed from the surface This is a clear evidence that organic SAM serve as diffusion barrier to prevent Si substrate from oxidization A negative peak centered at 2071 cm-1 with integrated peak area -0054 is attributed to surface H-Si The peak position is significantly lower than 2083 cm-1 for a fully covered H terminated Si (111) surface This indicates decoupled H-Si stretching (Note the integrated area measured at 70ordm incidence angle using MCTB inside ALD chamber is slightly different from what is measured outside using DTGS detector at 74ordm incidence angle)

139

1000 1500 2000 2500 3000

(b)

2078

υAl-O

300- 60 Co

Wavenumber (cm-1)

600-500 Co

500-400 Co

TMA 10cyc COOH-SAM Post annealed S29A Differential 10-13-2006 Ab

sorb

ance

400-300 Co

5x10-4

υSi-O-SI

2053

Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on

COOH-SAM H-Si(111) post-annealed in ultra pure N2 gas from 300 to 600 degC

The spectra are same as what displayed in the Figure 67 They are shown in a difference manner FTIR spectra were recorded at each annealing temperature and are referenced to the spectrum recorded immediately before the annealing The surface Si-H and hydrocarbon chains are completely removed from surface at 500degC

140

1800 1900 2000 2100 2200

A 000732073

10cyc + 600 Co

COOH-SAM

Abs

orba

nce

Wavenumber (cm-1)

2x10-4

10-3

H-Si(111)

S29A COOH-SAM TMA ALD + Anneal DTGS

A 001592083υSiH

Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with

COOH-SAM and following 10 cycle TMAD2O growth and 600 degC annealing

FTIR Spectra were recorded ex-situ for freshly etched H-Si(111) and after thermally functionalization with COOH-SAM The COOH-SAM is then used as substrate for 10 cycles TMAD2O ALD growth and annealed up to 600 degC All spectra are referenced relative to SiO2 surface The top spectrum is shown in the different scale as indicated in the figure After functionalization with COOH-SAM the original sharp H-Si stretching peak at 2083 cm-1 is broadened and red-shifted to 2073 cm-1 The integrated peak area is reduced by ~ 50 indicating nearly half of surface hydrogen is removed After anneal at 600 degC remaining hydrogen is completely removed

141

Oxygen diffusion barrier to protect silicon substrate from oxidization after SAM

disassociated at the lower temperature The D2O trapped in the film if there is any could

escape at the lower temperature before SAM starts to desorp from the surface The D2O

impurity incorporated in the high-k film during ALD processes might provide oxygen

source to the interfacial SiO2 formation during post-annealing [4]

Further annealing at higher temperature at 500 degC the silicon dioxide is formed as soon

as the interfacial H-Si starts to be removed (400-500 degC) The growth of silicon dioxide and

the loss of interfacial H-Si continue with increasing annealing temperature Tere is about ~

07 nm silicon oxide formed (integrated area ~030) after 600 degC annealing The LO

phonon mode of SiO2 is broader and weaker than what is observed for chemically oxidized

SiO2 from RCA cleaning procedure indicating that this interfacial oxide is not

homogeneous [4] The oxidization of silicon subsurface is probably due to oxidant from

either the gas impurity or water trapped in the Al2O3 film while annealing There is no

noticeable H-SiO3 species observed during the whole annealing process With increasing

annealing temperature the LO phonon mode of Al2O3 shifts from ~930 cm-1 (as deposited

at 100 degC) to higher frequency at ~ 955 cm-1 (600 degC ) and the shape of peak become

slightly sharper indicating denser Al2O3 film forms upon thermal treatment This is

consistent with that annealing would not significant increases the crystallizing of

amorphous Al2O3

In summary -COOH SAM is very reactive with TMA and the nucleation is almost

completely within the first TMA exposure Although the ndashCOOH SAM is less ordering

than -CH3 SAM little or no penetration has occurred and the reaction is well confined to

the top of the surface The SAM is a good barrier to prevent either TMA molecule or

oxygen penetration into the underneath siliconSAM interface No oxidization is observed

during the whole ALD process Even after post-annealing of Al2O3 film grown on ndashCOOH

SAM the significant oxidization of Si substrate occurs only at the temperature ~500 degC or

higher Interestingly the deposition of Al2O3 thin film on ndashCOOH SAM increases the

thermal stability of SAM itself as well

142

634 ALD TMA on ndashOH terminated SAMSi (111)

6341 Preparation of HO-SAM

HO-SAM is prepared by reducing carboxyl group of freshly-grafted ndashCOOH SAM to ndashOH

group The Si (111) sample terminated with ndashCOOH SAM is immersed into LiAlH4 BHF

solution for 2 hours The longer immersion time in LiAlH4 may cause degradation of the

SAM layers while the reaction may not be completed if exposure time is too short Figure

610 shows FTIR spectra of the final HO-SAM and the starting ndashCOOH SAMSi (111)

surface The top spectrum shows the spectral changes of the surface after reduction of acid

group ndashCOOH to hydroxyl group ndashOH It is clearly seen that the characteristic peak of

carboxyl group the stretching mode of C=O of acid group at 1716 cm-1 is almost

completely disappeared after LiAlH4 treatment while no other new peaks appear in the

nearby region 1400-1800 cm-1 Meanwhile a close-up check of region 2800-3000 cm-1

shows the increase of CH2 stretching modes in the intensity at 2826 and 2855 cm-1 This

evidence strongly indicates that -COOH group is reduced to ndashCH2OH with adding two

hydrogen atom to C=O (The small shoulder at 2960 cm-1 may be induced by the impurity

during the whole process)

The broad bands at 1184-1300 cm-1 are consisted of several modes It could be clearly

seen in the differential spectra After the reduction of acid group to the primary alcohol the

two negative bands at 1243 1289 cm-1 are observed along with a negative peak at ~1412

cm-1 they were previously assigned to C-O-H in plane mode of acid group At the same

time the sharp peak at 1263 cm-1 is unchanged and is clearly seen in the spectra after

ndashCOOH is reduced to ndashOH This peak could be assigned to in plane deformation of O-H

[17] The earlier study has shown that this mode is broad strong at higher frequency at

1300-1500 cm-1 for pure or concentrated alcohols and becomes narrow and weak at lower

frequency ~1250 cm-1 for the diluted primary alcohols [7] The corresponding stretching

mode of O-H which is expected at ~ 3640 cm-1 is too weak to be detected at current

sensitivity level

The broad bands 1000-1200 cm-1 might be consisted of contributions from multiple

modes the oxidization of interfacial silicon and C-O stretching mode of alcohols The total

143

integrated area ~002 is less than 10 of integrated area of chemically oxidized silicon

with ~1 nm-thick SiO2

6342 ALD of Al2O3 on HO-SAM

Figure 611 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100 degC for

10 cycles on ndashOH SAM prepared directly by reducing fresh ndashCOOH SAM by LiAlH4 All

spectra are referenced relative to freshly-converted -OH SAMSi (111) at 60degC After the

first exposure of TMA the peaks corresponding to Al-CH3 are immediately seen in the

spectra including 2942 cm-1 (stretching) 1203 cm-1 (bending) and 706 cm-1 (rocking) The

integrated area of peak at 1213 cm-1 is 00034 only ~50 of that on the - COOH SAM and

SiO2 This indicates TMA nucleation on the ndashOH SAM surface is less than nucleation on

both - COOH SAM and SiO2 surface This is kind of expected from the preparation of -OH

SAM Since ndashOH SAM is converted from ndashCOOH SAM the resulting density of ndashOH

must be not higher than the density of ndashCOOH group which actually is physically limited

by the steric interaction of alkyl chains (only ~50 Si-H sites are grafted with alkyl chains)

Therefore the estimated surface density of ndashOH group of ndashOH SAM should be less than on

hydroxyl terminated regular SiO2 surface Second the reactivity of C-O-H at the end of

alkyl chain may be less than hydroxyl group Si-OH on the silicon dioxide surface Third

the spatial distribution of ndashOH on ndashOH SAM is more regular than ndashOH on the SiO2 surface

based on the preparation of ndashOH SAM This can be supported by the shape of Al-CH3 peak

is less well defined when Al-CH3 is grown on SiO2 surface (FWHM 1998 cm-1 when

grown on ndashOH SAM) The peak position of Al-CH3 is 1202 cm-1 on ndashOH SAM and 1212

cm-1 on SiO2 respectively After first TMA exposure a complicated spectra feature appears at 2800-3000 cm-1

including positive peaks at 2942 and 2889 cm-1 and two negative peaks at 2853 and 2923

cm-1 These could be interpreted as imposition of four modes The two positive peaks are

144

1000 1500 2000 2500 3000 3500

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

υSi-H

υCH2δCO-H

1263

υC=O

1716

2952 2926

differential

COOH-SAM

HO-SAM

S28A HO-SAMSi(111) Preparation

Wavenumber (cm-1)

A

bsor

banc

e

Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM

FTIR spectra of HO-SAM prepared from reducing freshly-grafted COOH-SAM on H-Si(111) by using LiAlH4 solution The two spectra on the bottom are referenced against the freshly etched H-Si(111) surface The differential spectrum on the top of panel has shown that the spectroscopic changes after conversion from ndashCOOH SAM to ndashOH SAM Briefly negative peak at 1716 cm-1 indicates removal of C=O and positive peak at 2952 and 2926 cm-1 is attributed to the stretching mode of added -CH2 group by hydroboration

145

attributed to asymmetric and symmetric stretching mode of CH3 of Al-CH3 with

corresponding bending mode at ~1203 cm-1 The two negative peaks at the same position

of stretching modes of -CH2- from starting ndashOH SAM most likely are caused by

disturbance of original -CH2- vibration induced by the penetration of TMA precursor into

the SAM This disturbance is still presented after subsequent D2O exposure However this

spectral change is observed only in the first three TMAD2O cycles and become smaller

and smaller with increasing number of TMAD2O cycles As shown in the Figure 611b

(spectra displayed in the differential manner) after the 5 and 10 cycles of TMAD2O

exposure the changes in the region 2800-3000 cm-1 are barely seen These evidences

strongly suggest that the observed changes are mainly caused by the reaction on the

interface of SAMAl2O3 and a small amount of penetration of TMA into SAM possibly

occurs at the initial exposure The modes due to Si-CH3 at 2958 cm-1 (stretching) and 1270

cm-1 (bending) [2] are not seen in the spectra suggesting the TMA did not react at the

interface between silicon and SAM even though certain amount of TMA molecules more

or less penetrate into SAM After subsequent first D2O exposure there is no change at the

peak position 29272853 cm-1 corresponding to CH2 groups of alkyl chains of SAM while

the negative peaks are observed at the peak position 29372888 cm-1 indicating

hydrolysis of -CH3 groups of absorbed Al-CH3

As shown in the Figure 611a the sharp peak at 1264 cm-1 presented at the initial

HO-SAM (Figure 610) continually decreases in the intensity with the increasing number

of reaction ALD cycles Since this narrow peak is assigned to the O-H bending in the

primary alcohol C-OH it is reasonable to attribute this decrease to the replacement of

hydrogen with Al atom and formation of bond of C-O-Al between surface C-OH and

Al-CH3 This suggests that despite the evidence that penetration of TMA into SAM is

terminated within the first 3 cycles the nucleation of TMA on -C-OH surface is not

completed until 10 ALD cycles The incubation period is slightly longer than the growth

of TMA on ndashCOOH surface With increasing number of TMAD2O reaction cycles two

strong peaks at 690 and 908 cm-1 grow steadily in the intensity they are assigned to the

TOLO phonon modes of grown Al2O3 film respectively The integrated area of these two

modes is significantly less than that of Al2O3 film grown either on regular chemically

oxidized silicon surface or -COOH SAM terminated surface In addition the peak position

146

of LO mode of Al2O3 grown on HO-SAM located at 908 cm-1 is lower than LO mode of

Al2O3 film grown on regular silicon dioxide (940 cm-1) or COOH- SAM (938 cm-1) Since

LO phonon mode is sensitive to the density of Al2O3 film this evidence suggests Al2O3

films grown on the HO-SAM surface are less dense and have lower quality This is

consistent with HO-SAM that has fewer nucleation sites (ie surface HO- groups) than

SiO2 surface or less reactive chemicals than COOH- SAM The surface density of HO-

group converted from COOH- is no more than the initial surface density of ndashCOOH group

Even the converting reaction is not 100 completed the remaining -COOH still can react

with Al(CH3)3 precursor and hence cannot be used to explain the observed less dense

Al2O3 film formed on HO- SAM surface In summary both the number of nucleation sites

and chemical reactivity of surface function groups can affect the ALD film growth

147

1000 1500 2400 2600 2800 3000-0001

0000

0001

0002

0003

0004 (a)

~1264

minusOD2744 υCH2

ρCH3

TOLO Al2O3

10cyc TMAD2O

5cycTMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

Abs

orba

nce

Wavenumber (cm-1)

TMA 10cyc HO-SAMSi(111)

Al-CH3

~908~690

Al-CH32942

1203

1213

1000 1500 2000 2400 2600 2800 3000

-00008

-00004

00000

00004

00008

00012

00016

00020

1203

Abs

orba

nce

Wavenumber (cm-1)

υCH2υCH3

Al-CH3

TMA 10cyc HO-SAMSi(111) [Step Differential]

10cyc TMAD2O

5cycTMAD2OD2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

(b)

1213

Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on HO-SAMSi(111) a all spectra are referenced against to the pre-annealed HO-SAM right before 1st TMA exposure b the same spectra are shown in a differential manner Each difference spectrum is referenced to the spectrum recorded immediately before the exposure

148

635 ALD TMA on ndashNH2 terminated SAMSi(111) 6351 Preparation of NH2 -SAM

Because the reactivity of ndashNH2 with hydrogen terminated silicon surface either formation

of Si-N bond or possibly -NH2 catalyzed oxidization of surface the bi-functional NH2

terminated alkene can not be directly applied to the H-terminated silicon surface We

developed a new simple two-step route to produce -NH2 terminated monolayer with stable

Si-C bond starting from COOH- terminated Si (111) surface

N-hydroxysuccinimide (NHS) reagents act as cross-linkers and activate the carboxyl

group by forming an NHS-ester intermediate This is a characteristic esteriication reaction

widely used to prepare NHS-activated esters Figure 613 displays the FTIR spectra of

chemically modified Si(111) at each intermediated step starting from freshly grafted

COOH-SAMSi(111) surface The acid-terminated surface is chemically activated by

NHSEDC for 1 hour at room temperature It shows complete disappearance of C=O

stretching vibration mode of acid group at 1712 cm-1 and appearance of new peaks at the

nearby region 1815 1787 1747 cm-1 they are characteristics of the succinimidyl ester

group and assigned to the stretching modes of carbonyl Two strong peaks at 1211 and

1070 cm-1can be assigned to the C-O-C stretching modes in the succinimidyl ester group

These evidences further confirm that the majority of ndashCOOH group instead of C=C is

extended away from the surface and available for the subsequent chemical modification

because there does not appear to be any residual absorption at ~1715 cm-1 that would be

expected if more than 10 of the monolayer was in the form of silyl ester The NHS

activated surface is further reacted with ammonium in the dioxane solution at room

temperature As shown in the Figure 613 the disappearance of all characteristic features

of NHS at 1700-1820 cm-1 and 1000-1200 cm-1 and appearance of two bands at 1670 and

1620 cm-1 which are assigned to amide I (carbonyl stretching) and II (N-H deformation) of

primary amide ndashCONH2 group indicate that NHS is completely replaced by the amine

group -NH2 and forms primary amide termination After immersing in the LiAlH4 THF

solution for 2 hours the amide I and II bands are significantly reduced indicating the

majority of C=O of ndashCONH2 is reduced to amine -CH2NH2 The small peaks remaining at

1670 cm-1 is due to the incomplete reduction reaction The conversion rate of primary

amide to primary amine is not 100 under current experimental condition However the

149

longer immersing time in LiAlH4 will not be able to further increase the conversion rate

but might lead to the degradation of SAM (data are not shown) We have checked the

chemical reactivity of the resulting amine- terminated surface by applying PMPI a widely

used cross-linker molecule used for biological molecular attachments

Figure 614 shows the FTIR spectra of freshly prepared amine- terminated Si(111)

from NHS method mentioned above and the change of surface after immersing in PMPI

solution for 24 hours The appearance of features of PMPI at 1720 cm-1 indicates the

attachments of PMPI to the amine-Si (111) surface By comparing the integrated area of

strongest peak at 1720 cm-1 to the case where PMPI absorbed on APTESSiO2 surface at

the same experimental condition (24 hours room temperature) (Lapinrsquos unpublished data)

we found that they both give similar integrated area of 1720 cm-1 suggesting that the amine

terminated Si (111) via a Si-C at least has the similar surface chemistry reactivity in term of

reactivity with PMPI Even though the conversation efficiency of amide to amine may not

be 100 the surface should have similar chemical reactivity for the further applications

NO O

OH

N-Hydroxysuccinimide NHS

150

1000 1500 2000 2500 3000 3500-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

-CH2NH2SAM

-CONH2SAM

-COCl SAM

-COOH SAM

Amide II

1715

1801

1460

υSiO

Wavenumber (cm-1)

υSiH υCH2

Amide I

υC=O

υC=O

S31A-11092006- NH2-SAM [COCl Method]

Abs

orba

nce

1670

Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) FTIR spectra were recorded after each step After chlorination of -COOH the C=O in COOH at 1715 is completely disappeared with simultaneous appearance of new sharp peak at 1801 cm-1 corresponding to C=O in ndashCOCl Further ammonia treatment converts -COCl to -CONH2 with replacement of Cl by NH2 indicated by appearing of two characteristic peaks of amide show at 1670 and 1610 cm-1 and disappearing of peak at 1801cm-1 Final hydroboration step adds two hydrogen to C=O in the amide group ndashCONH2 forming -CH2NH2 Although the monolayer of amine is too weak to be detected in the IR spectra the disappearance of amide I and II indicates C=O is converted to CH2

151

1000 1500 2000 2500 3000 3500-0012

-0010

-0008

-0006

-0004

-0002

0000

0002

0004

~1420υC-N

12111070

υCH2 υSiH

υC=O

NH2CO-SAM

S35A-11152006- NH2-SAM [NHS] A

bsor

banc

e

Wavenumber (cm-1)

NH2-SAM

NHS+EDC

COOH-SAM

Amide II ~1600 Amide I

1670

Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

FTIR Spectra of freshly prepared H-Si(111) after functionalization with undecylenic acid (-COOH SAM) and COOH surface is activated by NHS + EDC for 2hours (NHS-SAM) The NHS activated surface further reacts with ammonium in dioxane solution to form primary amide (CONH2-SAM) Finally the amide terminated surface is reduce to form NH2-SAM by LiAlH4 (NH2-SAM) All spectra are referenced against to freshly prepared H-Si(111)

152

1000 1500 2000 2500 3000 35000000

0001

0002

0003

0004

0005

0006

0007

0008

NH2-SAM

S30A-11062006- NH2-SAM [NHS] + PNPI

Wavenumber (cm-1)

PMPI

A

bsor

banc

e

Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II) are verified by reacting with PMPI

The FTIR spectra of fresh NH2 ndashSAM is referenced relative to freshly etched H-Si(111) surface while the top spectra is recorded after immersed in PMPI solution and referenced relative to NH2 ndashSAM before PMPI immersion

153

6352 ALD TMA on NH2-SAM Figure 615 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashNH2 SAM prepared directly by reduction of primary amide ndashCONH2

SAM by LiAlH4 The ndashCONH2 terminated SAM can be prepared from ndashCOOH SAM

through two different routes as described in the last preparation section All spectra are

referenced against the spectrum of freshly prepared NH2-SAM recorded at 60 degC Similar

to other surface with reactive termination groups -OH or -COOH after the first TMA

exposure the peaks corresponding to Al-CH3 are immediately seen in the spectra

including 2942 cm-1 (stretching) 1202 cm-1 (bending) and 706 cm-1 (rocking) The

integrated peak area of 1202 cm-1 is close to that of film grown on the ndashCOOH surface but

nearly twice higher than that of the film grown on the ndashOH SAM surface indicating higher

surface nucleation rate or higher surface chemical reactivity toward TMA for ndashCOOH and

ndashNH2 terminated surface than ndashOH terminated surface

After immediate exposure to TMA a negative peak at ~ 1676 cm-1 and two positive

peaks at ~1580 and ~ 1500 cm-1 appear simultaneously they are assigned to the changes

related with residual ndashCONH2 left from incomplete reduction of ndashCONH2 to -CH2NH2

during -NH2 SAM preparations After TMA exposure -CONH2 possibly reacts with TMA

forming -CONH- bond The N-H deformation (Amide II) is shifted from 1600 cm-1 for

primary amine to around ~1550 cm-1 for the secondary amine These spectral changes

become less noticeable with increasing ALD reaction cycles suggesting that the related

reactions are mainly limited to SAMAl2O3 interface

The small peak periodically appearing at 2740 cm-1 is unambiguously assigned to OD

stretching vibration It appears after every D2O exposure and disappears after every TMA

exposure indicating a nearly completed ALD TMA-D2O cycle reaction The integrated

peak area of LOTO phonon modes of Al2O3 increases steadily with increasing number of

ALD cycles indicating the steady growth of Al2O3 film After 10 cycles the peak of LO

phonon mode is as sharp as what observed for Al2O3 film grown on the chemical oxides

SiO2 surface or -COOH surface with peak position at 940 cm-1 These evidences suggest

the Al2O3 film grown on -NH2 surface may be as dense as Al2O3 film grown on other types

of reactive surface including ndashCOOH SAM or regular SiO2

154

1000 1500 2000 2500 3000-0006

-0004

-0002

0000

0002

0004

0006

υOD2740

Abs

orba

nce

Wavenumber (cm-1)

2854

1214

734

10cyc TMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA NH2-SAMSi-C Si(111) S30A 11-10-2006

TMA 1

940Well defined TOLO peak of Al2O3

2927

1211

Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) FTIR spectra of 10 cycles TMAD2O ALD-Grown on NH2-SAMSi(111) All spectra are referenced against to the pre-annealed NH2-SAM right before first TMA exposure A growth of Al2O3 is immediately observed after the very first TMAD2O cycle and has developed into a well-defined Al2O3 film indicating by the sharp peaks of LOTO mode at 734 and 940 cm-1 after 10 cycles The Al-CH3 mode at 1211 cm-1 is only slightly shifted to 1213 cm-1 with increasing number of ALD cycles This suggests initial bonding status of TMA at SAM interface is similar to bonding to the existing Al2O3 film

155

636 ALD TMA on ndashCH3 Terminated SAMSi(111)

Figure 616 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashCH3 SAM prepared directly by immersing freshly prepared H-Si(111)

sample into the deoxygenated neat alkene at 200 degC for 2 hours All spectra are referenced

against to the freshly grafted and pre-annealed at 120 degC ndashCH3 SAM All spectra are

recorded at 60degC Unlike other SAMs with the chemically reactive group the first

exposure of ndashCH3 SAM to TMA does not show any significant absorption of TMA on the

surface The characteristic peak of AlndashCH3 at ~1202 cm-1 is not seen There are minor

negative features observed in the region of 2800-3000 cm-1 the stretching modes of

hydrogen-carbon This is most likely caused by the perturbations induced by the small

amount of physically absorbed TMA trapped inside alkyl chains of SAM The result is

expected for ndashCH3 terminated SAM the reaction with AlndashCH3 requires overcome very

high energy barrier[18] and a small amount of TMA molecule could only physically

trapped inside the SAM without chemical reaction The first several TMAD2O cycles (1-3)

do not give apparent spectral features for growth of Al2O3 The only noticeable change is

that the peaks at 2800-3000 cm-1 corresponding to the stretching modes of -CH2- and -CH3

groups continue decreasing in the intensity with increasing numbers of ALD cycles This

suggests that more and more TMA molecule penetrates into the densely packed SAM and

perturb the original vibration modes of -CH2- The physically pre-absorbed TMA

molecules then serve as nucleation sites for the subsequent ALD growth In fact after 5

cycles TMA D2O exposure the phonon modes of Al2O3 film appear at lower frequency

region (~770 and ~ 880 cm-1) and increase in the intensity with increasing number of ALD

cycles After 10 cycles two dominated peaks appear at ~770 and 887 cm-1 assigned to the

TO and LO phonon modes of Al2O3 film respectively Comparing to the Al2O3 film grown

on ndashOH SAM -NH2 SAM and ndashCOOH SAM the broadened and lowered frequency of

peak of LO phonon mode indicates the film is more amorphous and less well defined It

might be due to the lack of nucleation sites on the -CH3 SAM surface at the beginning and

the nucleation sites occurring at physically absorbed TMA molecules are distributed in a

sporadic way Consequently the lower quality of Al2O3 film is obtained

With increasing number of ALD reaction cycles the continuous loss of intensity of

hydrogen-carbon stretching modes at 2800-3000 cm-1 suggests SAM might still not be

156

1000 1500 2000 2400 2600 2800 3000-0004

-0003

-0002

-0001

0000

0001

0002770 Al2O3

10cyc TMAD2O

5cyc TMAD2O

TMA 2

D2O 2

D2O 1

TMA 1

υOD

A

bsor

banc

e

Wavenumber(cm-1)

TMAD2O 10cyc CH3-SAM(C18) 10-24-2006

υCH887

Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on CH3-SAMSi(111) All spectra are referenced against to the pre-annealed CH3-SAM right before first TMA exposure Unlike to SAM with reactive terminal group the feature of TMA is nearly not observed during the first two TMAD2O cycles A broad band attributed to LOTO mode of Al2O3 appears after 5 cycles with much less well-defined peaks Negative peaks appeare at 2800-3000 cm-1 and increase with increasing number of ALD cycles This suggests TMA precursor continuously penetrates into the SAM and leads to screeching of original C-H stretching modes

157

fully covered by previously deposited Al2O3 film and incoming TMA molecule could still

attack the SAM and get inside it The film may grow in a way of island-mode All these

evidence strongly suggests that a vertically inhomogeneous growth instead of a

homogenous layer-by-layer growth of Al2O3 film is undergoing on the ndashCH3 SAM

An increasing band centered at ~2740 cm-1 is assigned to OD stretching mode

indicating non-reacted D2O molecule trapped and accumulated inside the SAM layer and

the subsequent incoming TMA molecule cannot efficiently reach it This is not seen in the

ALD growth of TMA on the chemical reactive surfaces like SiO2 -NH2 -COOH

terminated SAMs) It is possibly due to the inaccessibility for some D2O trapped in the

SAMs During the 10 ALD reaction cycles there are no spectral features observed in the

region of 1000-1300 cm-1 indicating no interfacial silicon oxide forms on the SAMSilicon

interface TMA does not reach the SAMSilicon interface and react with the substrate at the

interface even though it may penetrate into SAM more or less This is reasonable as the

buried SAMSi(111) which is still partially hydrogen passivated lack of reactivity with

TMA at current reaction temperature 100degC Previous study has shown TMA will not react

with H-terminated silicon up to 300 degC [2] In summary the ALD growth of Al2O3 film on

non-reactive ndashCH3 SAM is observed 1) The physically absorbed TMA molecule during

the first several TMA exposures most likely initiates the subsequent growth The initial

absorption might be in a sporadic way with low absorption rate As a consequence the

growth is inhomogeneous and the grown-film has lower quality No spectral features found

for growth on the SAMSi suggests that the growth of TMA on the ndashCH3 terminated

surface may not be attributed to the reaction at the SAMSi interface

637 ALD Growth of TMA on SiO2

Figure 617 shows the FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC

for the first 10 TMAD2O cycles on SiO2 surface All spectra are referenced relative to

freshly wet chemistry (RCA) cleaned silicon dioxide surface at 60degC The surface hydroxyl

group has density 7 x 1014 OHcm2 [19] After the initial TMA exposure at 100degC three

bands appear at 1100-1300 cm-1 The 1213 cm-1 can be assigned to Al-CH3 and it decreases

after the subsequent D2O exposure and reappears after TMA exposure The other two

bands 1270 and 1188 cm-1 didnrsquot change with increasing number of ALD cycles indicating

158

they are features attributed to the interface of SiO2 and Al2O3 They are assigned to Si-CH3

The peaks at 1084 and 1005 cm-1 are related to the Si-O-Si or Al-O-Si The broad

low-frequency band around 700-1000 cm-1 increasing with number of ALD cycles can be

assigned to grown- Al2O3 LO and TO phonon modes After 10 cycles the grown- Al2O3

film has similar spectral features of Al2O3 film at 700-1000 cm-1 as Al2O3 film grown on

the -COOH SAM surface indicating similar nucleation and growth of Al2O3 on both

surfaces

159

1000 1500 2000 2500 3000-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

703 Al-O-SiSiO2

TOLO Al2O3

1088Al-CH3

10cyc5cyc

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

Abso

rban

ce

Wavenumber (cm-1)

10-3

TMA 1

TMA 10cyc on SiO2 11-01-2006

1005

933

1000 1500 2000 2500 3000-0002

-0001

0000

0001

0002

0003

0004

0005

10cyc TMAD2O on COOH-SAMSi(111)

10cyc TMAD2O on SiO2

Y A

xis

Title

X Axis Title

10-3

Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post annealed from 300 to 600c

FTIR spectra of 10 cycles TMA ALD-Grown on chemical oxidized SiO2 All spectra are referenced against to the pre-annealed SiO2 right before first TMA exposure 1213 cm-1 (Al-CH3) and 1270 cm-1 (Si-CH3) are seen after first TMA exposure 1000-1200 cm-1 regions are mixed with contributions from formation of Si-O-Al (positive) 1004 and 1088 cm-1 and destruction of Si-O-Si (negative) on the top of SiO2 layer The spectral features in this region(1000-1200cm-1 ) is unchanged with increasing number of ALD cycles indicating they indeed are features related to interface After10 cycles a similar quality of Al2O3 film forms as Al2O3 grown on ndashCOOH SAM as shown in the insert top-right panel

160

64 Discussion The FTIR spectra demonstrate that ALD TMA can proceed on all organic SAMs surface

with different terminal groups -COOH -OH -NH2 and ndashCH3 It is expected for the surface

terminated with chemically reactive groups like -OH -COOH and -NH2 But it is kind of

unexpected for chemically inert -CH3 terminal group

641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM The FTIR Spectra demonstrate that the freshly-grafted -COOHSAM on Si (111) surface

can react immediately with Al(CH3)3 (TMA) molecule and the nucleation process is nearly

completed within as short as 10 seconds All -COOH groups are reacted The reaction is

self-terminated and the absorption of TMA on the surface saturates within a few seconds

After HCl solution treatment significant percentage of ndashCOOH is recovered After 10

ALD cycles a high quality Al2O3 film forms indicated by the sharp LO and TO phonon

mode of Al2O3

Unlike deposition of Al atoms on -COOH SAM where 20-25 of COOH remain

unreacted [20] extremely reactive TMA is much more reactive than Al atoms toward

ndashCOOH group and react with all surface ndashCOOH groups The recovery of ndashCOOH peak

after strong acid HCl treatment and the intense bands at 1470 cm-1 (asymmetric stretching

of COOminus) and 1580 cm-1 (symmetric stretching of COOminus) which are typical characteristic

feature of C=O bond in carboxyl anion group [7] strongly suggests that the Al(CH3)3

forms acid-salt like complex on the interface as shown in the Scheme 3 The reaction of

TMA with hydroxyl terminated surface is presumed to go by an abstraction of hydrogen

atom from ndashOH group and combine with methyl group form methane (CH4) which

involved a Lewis acid-base interactions with a group III alkyl (TMA) acting as the Lewis

acid (electron receptor) and a group VI hydride (OH) acting as the Lewis base [18] The

reaction of TMA with ndashCOOH is undergoing similarly but much easier The disassociated

proton H+ from carboxylic acid easily combine with ndashCH3 forming methane (CH4) while

long pair electron of oxygen transfer to -Al(CH3)2 forming C(O)-O-Al(CH3)2 complex

Because after giving proton carboxylate ion has the negative charge spread out equally

over two oxygen atoms each oxygen atom bears half of negative charge The long pair of

161

electron of oxygen is harder to transfer to the electron receptor of Al atom in other words

the bond O-Al is more polar After strong acid post-treatment carboxylate ion exiting in

this complex can be converted back to carboxylic acid

In the meantime there also possibly co-exists a reaction between Al (OH)x and C=O

with formation of Al-O-C covalent bond In the study of aluminum atom on methyl Ester

terminated surface it is found that Al can react with the ndashCO2CH3 group

Al+

CH3 CH3

O-O

R

C

OO

C

OO

Asymmetric stretching symmetric stretching

Scheme 3 Possible interaction on TMA-COOH SAM interface

In addition the high quality Al2O3 film and limit surface number density of ndashCOOH

(because of steric restriction) suggest that most likely more than one TMA molecule can

bond to one ndashCOOH group initially

642 Mechanism for ALD growth on ndashCH3 SAM The observed growth of Al2O3 film on ndashCH3 SAM is not unexpected Although the

reaction between ndashCH3 or ndashCH2 groups and TMA can not take places in theory [21] The

previous studies proposed that a non-perfect SAM which cannot prevent ALD precursor

from reacting on underneath SAMsubstrate interface is accounted for the observed growth

A good quality SAM can efficiently block ALD growth [22]

Chen and coworkers investigated the block mechanism In their study of SAM as

barrier for blocking ALD of halfnium oxide it is found that the quality or packing density

of the ndashCH3 SAM layer determine the block efficiency[23] Only SAMs with carbon

chains longer than C12 can efficiently block the growth of halfnium oxide They attributed

162

the observed ALD growth to the defects and pinhole in the SAMs These defects and

pinhole make it possible for the precursor penetrates into the organic film and react with

active hydroxyl or oxygen atoms at the interface Furthermore they proposed a

deactivation mechanism in which alkyl monolayer hinders the nucleation at the interface

by removing the surface reactive sites (Si-H) and preventing oxidization of substrate[24]

Another study of ALD of Ti[N(CH3)2]4 on ndashCH3 terminated alkoxysilane SAM found that

the density of absorbed Ti is negatively correlated with the density of ndashCH3 SAM[25]

Hence they proposed that there is no reaction between Ti[N(CH3)2]4 and ndashCH3 terminal

groups of SAM the reaction confined completely to the SAMSiO2 interface and the

absorption rate of Ti depends on its ability to penetrate the SAM In both study the ALD

growth is attributed to the initial nucleation at the reactive organicsubstrate interface It

occurs whenever SAM resists is not sufficient to block the penetration

In our study we would like to propose another mechanism for the observed growth on

the inertial surface (-CH3) We believe that the growth is determined by the precursor and

SAM structure (conformation and defects) but it less depends on the reactivity of

underneath interface The reactivity of interface may play a role in shortening incubation

time and speeding nucleation but may not determine whether reaction occurs or not In

other words ALD growth can possibly occur on an organic SAMs surface with completely

non-reactive interface underneath

In our experiment the reaction on the SAMSilicon interface less likely occurs for the

following reasons first no Si-O-Al (1000-1100 cm-1) or Si-CH3 (1270 cm-1) modes are

observed in the FTIR spectra second at the interface of SAMsilicon the place where no

alkyl chain attached is still passivated by hydrogen and less reactive than SiO2 site in the

SAMSiO2 In the previous study it is found that TMA will not react with H- terminated

silicon until heating up to 300 degC [2] Thus at our current experiment condition (growth at

100degC) the reaction at interface is expected to not take place Also the IR signal of

absorbed TMA molecule is too weak to be detected in the first three cycles suggesting the

absorption process quite slowly In addition the spectral change of -CH2- stretching mode

due to the perturbation induced by the incorporation of TMA into the SAMs continuously

increases with increasing ALD cycles

163

In the mechanism we proposed here for ALD growth of Al2O3 on ndashCH3 SAM initially

TMA molecule is physically absorbed on the surface sporadically either staying on the

surface or penetrating into the SAMs This is supported by the evidence that a immediate

loss of -CH2 stretching mode at 29232853 cm-1 appears upon the first TMA exposure and

the loss of ndashCH3 stretching mode appears later and quickly increase with increasing

number of ALD cycles These pre-absorbed TMA molecules then serve as nucleation sites

for the subsequent ALD growth As suggested by the IR data the absorption rate is quite

low and nucleation sites are not available over the whole surface As a consequence the

grown Al2O3 film is quite patched and amorphous suggested by the broad not well

defined LOTO mode of Al2O3 film in the FTIR spectra This is similar to ALD growth of

Al2O3 on H- terminated surface where although no reaction take place after the initial

exposure TMA can physically absorb on the surface and serve as nucleation sites for the

subsequent ALD growth[3] In fact H-terminated silicon pretreated with longer time

exposure to TMA ie more physically absorbed TMA leads to more linear ALD growth

of Al2O3 film [3]

A detailed analysis of changes of hydrocarbon stretching mode at 2800-3000 cm-1 with

increasing TMAD2O ALD cycle reveals how TMA interact with ndashCH3 SAM As shown

in the Figure 618 the changes of hydrocarbon stretching mode in terms of relative ratio of

-CH3 to -CH2 at the first 5 cycle is quite different from the second 5 cycles (ie from 5-10

cycles) This suggested that initially TMA precursor gets inside the SAM and perturbs with

-CH2- groups of backbone and later on more perturbation occurs on the top of surface

with the ndashCH3 instead of going inside SAM This could be explained as with formation of

TMA islands on the SAM surface the incoming TMA gains more chances to grows on the

top of SAM and interacts with -CH3 groups instead of penetrating into the SAM Since

perfectly ordered SAM would not have sufficient space between chains to allow

penetration by 275Aring diameter Al atoms the diffusion mechanism for larger TMA

molecule complex must involve defects Two types are considered static and dynamic

[26]

In a model of SAMs with static defects one may expect the island growth in which

growth TMA occurs only at the defective or low-density area of the SAMs In this case [27

28] the density of these defects limits the initial deposition Therefor instead of assuming

164

2600 2700 2800 2900 3000-00002

-00001

00000

00001

00002

00003

υOD

υCH2

10-5th cyc

Abs

orba

nce

Wavenumber (cm-1)

TMA on CH3-SAM Differential Spectra

5 - 0 cyc

υCH3

Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA Growth on -CH3 SAM FTIR spectra recorded after 5 cycles of TMAD2O and 10 cycles are referenced relative to the surface before the previous ALD growth Top spectra show the spectral net changes for last 5 cycles of total 10 cycles and bottom one shows changes of first 5 cycles of 10 cycles Clearly initial 5 cycles have more effects on -CH2- stretching modes and subsequent 5 cycles have more effects on -CH3 (which is on the top of SAM)

165

the diffusion occurs in the way of randomly and uniformly over the whole surface the

defects of SAMs determine the initial deposition

In a model of dynamic defects the defects appear transiently caused by the thermally

activated lateral hopping process of the SAsM (the deposition temperature is 100 degC) The

creation of transient holes allows transport of nearby TMA molecule to inside SAMs or

even reach the interface[20 26]

Currently we are not able to conclusively exclude any one of two types of defects We

have found post-treatment of -CH3 SAMSi(111) with HFNH4F has shown HF nearly has

no effect on the silicon oxide at the interface formed during thermal hydrosilylation This

suggests that SAM is densely packed and can efficiently prevent penetration of HF

Therefore it is reasonably presume there are no significant static defects exiting in the

SAM and SAM can equally efficiently prevent penetration of bigger TMA molecule at

room temperature The dynamic mode may mainly account for the trapping of precursor

inside the SAM at 100 degC

643 Initial Reaction Mechanism for ALD growth on ndashOH SAM On the one hand the ALD growth of TMA on ndashOH SAM should be similar to the other

type of regular hydroxylated surface because of presence of reactive ndashOH group Initial

TMA depositions on -OHSiO2 are both kinetically and thermodynamically favorable as

compared to those on H-terminated surface[29] Comparing to ALD TMA on H terminated

silicon surface the incubation period is reduced for OH-terminated Si(100) surface[30]

And it is also found that the Al2O3 films grown on OH-terminated surface have much

smoother morphology than those grown on H-terminated surface [30]

On the another hand however the ndashOH SAM is unique and different from other

ndashOHSi surface in term of surface distribution and number density of ndashOH group due to the

steric restriction existing in the alkylation of H-Si(111) The density of ndashOH should be

lower than hydroxylated silicon dioxide The spacing between adjunct reactive surface

ndashOH sites on SAM is estimated at least 72 Aring assuming converting efficiency from

ndashCOOH to -OH is 100 and initial ndashCOOH uniformly distributed over the whole surface

This spatial limitation makes some reaction pathway impossible for TMA absorption

on ndashOH SAM For example the ring closing reaction proposed on OHSi(100) surface [31]

166

where one TMA molecule could bond to two surface ndashOH sites forming two interfacial

Al-O bond (Figure 620II) will less likely appear on ndashOH SAM In addition since the

way of ndashOH distributed is more uniform (spatial orientation etc) on ndashOH SAM than SiO2

surface the absorbed TMA may have more uniform spatial distribution on the ndashOH SAM

surface It is indicated by the CH3 bending mode of Al-CH3 at ~1203 cm-1 which is

sensitive to how Al bond A very sharp single peak centered at 1203 cm-1 is observed on

ndashOH SAM surface On the SiO2 surface multiple bands appear at 1270 1213 1187 cm-1

after initial TMA exposure 1270 cm-1 is assigned to the Si-CH3 due to methyl transferring

to silicon [2] The fact that as the band at 1213 disappears after subsequent water exposure

it confirms the assignment of 1213 cm-1 to Al-CH3 In summary TMA on HO-SAM exist

in the structure as shown in Figure 620I with one TMA bond to one ndashOH while TMA on

hydroxylated silicon dioxide exists in the form II with one TMA bond to at least two ndashOH

and in other forms like Si-CH3 through ligand exchange as well

A further discussion is on correlating surface density ndashOH with growth rate[32 33]

They donrsquot have direct spectroscopic data to reveal which types of initial TMA reaction on

the surface with different surface ndashOH concentration One TMA molecule could bond to

one two or three ndashOH sites and also ligand exchange could lead to the formation of

Si-CH3 Our data clearly shows that both Al-CH3 and Si-CH3 existed on the chemical

oxidized surface after initial TMA exposure(Figure 617) Therefore the single model

(ligand exchange) given by Puurunen may not enough to explain the cause of steric

hindrance which accounting for saturation of precursor Instead it could be a mix of Model

II (ligand Si-CH3) and Model III (chemically absorbed precursor Si-O-Al-CH3) [33]

For chemical oxides the Si-OH density is most likely at the level of 7 x 1014 OHcm2

[19] (7 -OH per nm2 this number may vary with substrate temperature) For ndashCOOH SAM

the surface density of alkyl chains is quantified as ~27 x 1014 cm2 (27-OH per nm2) [17]

The lower concentration of surface ndashOH groups the lower growth-per-cycle (GPC) during

ALD growth of aluminum oxide[33] Based on the empirical linear relationship given by

Puurunen the growth of Al2O3 on SiO2 is estimated as much as three times more than

Al2O3 grown on ndashOH surface This is consistent with our infrared data as shown in Figure

624 where the integrated area of Al2O3 phonon modes at ~734 and 930 cm-1 is used to

estimate the total amount of absorbed Al2O3 layers

167

Comparing to regular chemically oxidized silicon surface ndashOH SAM provides ideal

model to control the concentration of surface ndashOH in order to study the correlation between

ALD growth and concentration of ndashOH It eliminates other side reaction such as formation

of Si-CH3 on silicon dioxide SAM-directed assembly makes it different from growth on

chemical oxide Different orientation (cubic hexagonal) could produce different saturation

coverage

644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM FTIR spectra demonstrated TMA immediately absorbs on the ndashNH2 SAM The

characteristic peaks of absorbed TMA are clearly seen at 2940 2888 cm-1 (-CH3

asymmetric and symmetric stretching) 1201 cm-1 (CH3 bending) and 703 cm-1 (CH3

rocking) They disappear upon subsequent water exposure The peak at 803 cm-1 is

previously assigned to Al-N bond The possible mechanism for TMA absorbed on the

ndashNH2 SAM is shown in Figure 621 This reaction mechanism is similar to that of TMA on

a hydroxyl-terminated surface Both are Lewis base-acid interaction with a group III alkyl

(TMA) acting as the Lewis acid and a group ndashNH2 or ndashOH acting as the Lewis base

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Figure 619 -COOH SAM terminated Si(111)

~72 Aring

~72 Aring

168

O

Si

AlCH3 CH3

O

Si

Al

CH3

O

Si I Initial absorption II Closing ring

Figure 620 Possible absorbed TMA on ndashOH terminated Surface

NH2

AlCH3 CH3

CH3

AlCH3 CH3

NH

CH4 AlCH3

CH3

N

Al

CH3

CH3OR

Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM

Because of the way we prepared ndashNH2 is based on ndashCOOH SAM on Si(111) the spacing

between -NH2 group is similar with the sapceing of ndashOH groups of ndashOH SAM (shown in

the Figure 620) and with same surface density of reactive sites (limited by the chemical

conversation rate) However it may be possible that two TMA molecules bind to one ndashNH2

site and double the surface density of absorbed TMA The spectra have shown that the

integrated area of Al-CH3 peak at ~1210 cm-1 is nearly double for growth on ndashNH2 SAM

(~00064) than that on ndashOH SAM (~00035) which indirectly supports the initial reaction

mechanism that we proposed In addition a higher nucleation rate on ndashNH2 SAM is

consistent with the fact that higher quality Al2O3 film forms on ndashNH2 SAM surface than on

ndashOH SAM surface after 10 ALD cycles indicated by the sharper LOTO phonon mode of

amorphous Al2O3

By first look our experiment results seem not consistent with theoretical calculations

[18] in which ndashOH SAM is favor both thermodynamically and kinetically over the

169

reaction with ndashNH2 SAM toward TMA The reaction of TMA with ndashNH2 SAM is relative

slow compared to the on ndashOH SAM The initial probability of reaction is in the order of

SiO2 gt -OHgt -NH2 gt -CH3 In our study the film quality observed is like that in the order

of -COOHgt -NH2 gt SiO2 gt -OH gt -CH3 But the quality of ALD grown film is not solely

determined by how fast or easily TMA forms bond with surface groups but overall how it

nucleates on the surface The latter involves other consideration like available nucleation

sites etc Even though TMA can easily form bond with ndashOH the behavior of TMA on

ndashNH2 may be more complex than just forming Al-N bond as on ndashOH surface

Our experimental result is consistent with other on SAM with different terminal group

For instance ALD Ti[N(CH3)] on ndashNH2 SAM[34] found that comparing to ndashOH SAM and

ndashCH3 SAM -NH2 SAM gives the cleanest growth where essentially all reactivity could be

associated with the terminal amine group

645 Comparing Initial Reaction of TMA on SAMs with different

terminal group

The FTIR spectra of first several ALD cycles reveal the initial nucleation and growth on

the SAM surface in detail As shown in the Figure 622 for the ndashOH SAM we immediately

observe the spectroscopic features belong to Al-CH3 appear after the first TMA exposure

indicating absorption of TMA on the surface The symmetric deformation CH3 modes of

Al-CH3 is ~12001209 cm-1 for TMA absorbed on ndashNH2 SAM 1203 cm-1 for -OH SAM

1213 cm-1 for chemically oxidized silicon and 1215 cm-1 for ndashCOOH SAM The band

shape analysis has shown that nonoxygen-containing Si-Al-CH3 coexists on the H-Si(111)

surface at high TMA exposure On H-terminated Si surface a homogenous Al2O3

nucleation is achieved by extending the initial TMA exposure until methyl saturation

For ndashCOOH SAM the chemical reaction with acid group takes place immediately and

has no penetration The presence of two major peaks at 1400-1600 cm-1 suggests that

majority of C=O still remain and the recovery of COOH group after immersing in HCl

solution suggests the weak acid group react with weak base Al(OH) to form salt like

structure CO(δminus)-O-Al(δ+) The formation of this complex on the surface blocks the

170

penetration The C=O is not 100 recovered may be due to the reaction of C=O with

Al(OH) forming C-O-Al

When penetration happens C-H stretching mode shows a blue shift and loss in the

intensity at the original position The blue shift indicates the alkyl chains undergo

significant disordering upon interaction with TMA The decreasing in the intensity of C-H

stretching mode indicates a possible screening effect due to the incorporation of TMA into

SAM The disorder presumably is induced by repulsive steric interactions between

neighboring TMA clusters For ALD on SAM with reactive terminal groups (-COOH

-NH2 -OH) the nucleation occurs immediately and no incubationinduction period

646 Comparing the Nucleation and Al2O3 films grown on the different

substrate

By comparing the FTIR spectra of a Al2O3 film grown on the SAMs with different

terminal groups the peak position peak area and peak shape of LOTO phonon mode of

Al2O3 films reveal the dependence of film quality and properties on the terminal groups

Figure 624 shows the comparison of FTIR spectra of 10 TMAD2O cycles grown Al2O3

film on all surfaces The C-H stretching mode of alkyl chains of SAM at 2800-3000 cm-1 is

used as indicator of the reactions As shown in the Figure 624b for the ndashCOOH SAM

there is no significant spectral change observed in this region indicating little or no

penetration occurrs and reaction is well confined to the terminal groups on the top of

surface For the ndashNH2 and ndashOH SAM a little spectral changes indicate a few more

penetrationsinterference may occur For the non-reactive ndashCH3 SAM the change is

observed immediately upon first TMA exposure and keep increasing with increasing

number of ALD cycles Al2O3 nucleation occurs slowly at the sites (may near surface but

not necessary must be at SAMSilicon interface) where precursor are trapped Because of

the dense packing of well ordered solid-like alkyl SAM the penetration is relatively

difficult for a large size of TMA molecule and only limited amount of TMA could be

trapped into SAM at each exposure (Figure 623)The detailed diffusion mechanism need

to be further elaborated and beyond the study here

171

1000 1500 2000 2600 2800 3000 3200

Wavenumber (cm-1)

00038

00067

00060

~ 1210Al-CH3

-CH3

SiO2

1st TMA on SAMs with different functional group

Abso

rban

ce

-OH

-COOH

-NH2

initial TMA exposure

29392894

Al-CH3

00039

5x10-4

Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different terminal groups

In-situ FTIR spectra were recorded immediately after first 10 second TMA exposure All reactive surfaces show sharp peak at ~1210 cm-1 attributed to Al-CH3 The exact peak position depends on Al-CH3 bond to surface 1213 cm-1 (on SiO2) 1203 cm-1 (on HO-SAM) 1200 cm-1 (on NH2 ndashSAM) and 1215 cm-1 (on COOH-SAM) The corresponding stretching mode of CH3 of Al-CH3 is seen at 2894 and 2939 cm-1

172

We could apply the diffusion mechanisms proposed earlier time for metal atom diffusion

on SAM-Au system to our system

The Al2O3 nucleation cluster can only form near around the sites with pre-trapped

TMA Even after 10 cycles the coalescence of Al2O3 cluster is still undergoing locally and

no continuous film forms on the top of SAM Incoming TMA molecule is still able to

diffuse into the uncovered SAM However after 5 cycle growth the larger percentage of

TMA starts to nucleate at the region near the top of SAM These can be seen from change

of C-H stretching mode and the formation of very broad LOTO mode of Al2O3 in the IR

spectra

The reactivity of terminal group toward TMA has largely determined the efficiency of

diffusion blocking As we have shown earlier time the ndashCOOH SAM apparently is less

ordered than ndashCH3 SAM due to the interaction of ndashCOOH groups However as we can see

here the diffusion is still completely blocked by ndashCOOH SAM even though it possibly has

larger free volume between more disordered alkyl chains comparing to ndashCH3 SAM

Si Si I with reactive terminal group II with ndashCH3 terminal group

Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group

Al2O3 nucleation clusters forming from D2O reaction with TMA trapped in the near surface region but this absorption rate is processed slowly [35]

173

1000 1500 2000 2400 2600 2800 3000

-0006

-0004

-0002

0000

0002

0004

0006

0008(a)

2740υOD

TOLO Al2O3

Al-CH3 υCH2

S30A

10 cyc TMAD2O on SAMs with different functional group

Wavenumber

Abs

orba

nce

-OH

-CH3

SiO2

-COOH

-NH2

2500 2600 2700 2800 2900 3000

-00010

-00005

00000

00005

00010

υCH2υOD

10 cycle TMAD2O

SiO2

-COOH

-NH2

-OH

Abso

rban

ce

Wavenumber (cm-1)

-CH3

(b)

Figure 624 Comparison of ALD TMA on the surface with different termination group

174

65 Conclusion The ALD of high-κ metal oxide (TMA TEMAH) on the silicon functionalized with

self-assembled monolayers (SAMs) processing -COOH -OH - NH2 and -CH3 terminal

groups have been investigated using in situ infrared spectroscopy As a comparison the

data of ALD of TMA on silicon oxide is examined as well The ALD process are found to

depend on all following factors 1) chemical reactivity of terminal groups 2) polarity of

group charges 3) surface number density 4) chains packing density and 5) subsurface

reactivity (ie SAMsilicon interface)

These studies demonstrate that the terminal grous of the SAM significantly affect the

selectivity toward TMA adsorption and subsequent ALD of Al2O3 These studies can be

also extended to ALD deposition of other high-k metal oxide for example TEMAH

Understanding the underlying reactions between precursors and various surface functional

groups gives us the control over these surface reactions that eventually leads to a technique

to tailor the ALD As a model system our current study can be further applied to study

ALD nucleation organic-inorganic interface for future nanoelectronics and molecular

electronics applications

175

Bibliography

1 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal

oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

2 Frank MM YJ Chabal and GD Wilk Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides Applied Physics Letters 2003 82(26) p 4758-4760

3 Frank MM et al Hydrogen barrier layer against silicon oxidation during atomic layer deposition of Al2O3 and HfO2 Journal of the Electrochemical Society 2007 154(2) p G44-G48

4 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

5 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

6 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

7 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 8 Glass JA EA Wovchko and JT Yates Reaction of Methanol with Porous

Silicon Surface Science 1995 338(1-3) p 125-137 9 Fidelis A F Ozanam and JN Chazalviel Fully methylated atomically flat (111)

silicon surface Surface Science 2000 444(1-3) p L7-L10 10 Puurunen RL et al Successive reactions of gaseous trimethylaluminium and

ammonia on porous alumina Physical Chemistry Chemical Physics 2001 3(6) p 1093-1102

11 Ferguson JD AW Weimer and SM George Atomic layer deposition of Al2O3 films on polyethylene particles Chemistry of Materials 2004 16(26) p 5602-5609

12 Gow TR et al Decomposition of trimethylaluminum on silicon(100) Chem Mater 1989 1(4) p 406-411

13 Ott AW et al Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 1997 292(1-2) p 135-144

14 Mozgawa W M Sitarz and M Rokita Spectroscopic studies of different aluminosilicate structures Journal of Molecular Structure 1999 512 p 251-257

15 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

16 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

176

17 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

18 Xu Y and CB Musgrave A DFT Study of the Alltsubgt2ltsubgtOltsubgt3ltsubgt Atomic Layer Deposition on SAMs Effect of SAM Termination Chem Mater 2004 16(4) p 646-653

19 Haukka S and T Suntola Advanced materials processing by adsorption control Interface Science 1997 5(2-3) p 119-128

20 Fisher GL et al The interaction of vapor-deposited Al atoms with CO2H groups at the surface of a self-assembled alkanethiolate monolayer on gold Journal of Physical Chemistry B 2000 104(14) p 3267-3273

21 Xu M et al Mechanism of interfacial layer suppression after performing surface Al(CH3)(3) pretreatment during atomic layer deposition of Al2O3 Journal of Applied Physics 2006 100(10)

22 Chen R et al Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics Applied Physics Letters 2004 84(20) p 4017-4019

23 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

24 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

25 Killampalli AS PF Ma and JR Engstrom The reaction of tetrakis(dimethylamido)titanium with self-assembled alkyltrichlorosilane monolayers possessing -OH -NH2 and -CH3 terminal groups Journal of the American Chemical Society 2005 127(17) p 6300-6310

26 Hooper A et al Chemical Effects of Methyl and Methyl Ester Groups on the Nucleation and Growth of Vapor-Deposited Aluminum Films J Am Chem Soc 1999 121(35) p 8052-8064

27 Alam MA and ML Green Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO[sub 2] gate dielectric layers Journal of Applied Physics 2003 94(5) p 3403-3413

28 Puurunen RL and W Vandervorst Island growth as a growth mode in atomic layer deposition A phenomenological model Journal of Applied Physics 2004 96(12) p 7686-7695

29 Halls MD and K Raghavachari Atomic Layer Deposition Growth Reactions of Alltsubgt2ltsubgtOltsubgt3ltsubgt on Si(100)-2amp2151 J Phys Chem B 2004 108(13) p 4058-4062

30 Lee SS et al Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Alltsubgt2ltsubgtOltsubgt3ltsubgt J Phys Chem B 2004 108(39) p 15128-15132

31 Ghosh MK and CH Choi The initial mechanisms of Al2O3 atomic layer deposition on OHSi(1 0 0)-2 x 1 surface by tri-methylaluminum and water Chemical Physics Letters 2006 426(4-6) p 365-369

32 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

177

33 Puurunen RL Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Applied Surface Science 2005 245(1-4) p 6-10

34 Killampalli AS PF Ma and JR Engstrom The Reaction of Tetrakis(dimethylamido)titanium with Self-Assembled Alkyltrichlorosilane Monolayers Possessing -OH -NHltsubgt2ltsubgt and -CHltsubgt3ltsubgt Terminal Groups J Am Chem Soc 2005 127(17) p 6300-6310

35 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

178

7 Chapter 7 Summary

71 General Conclusions In the work described above we have demonstrated that 1) FTIR provides adequate

spectral information for interfacial Si-O-Si bond formation for silane-based SAMs on

silicon oxide surfaces and for chain effects on head group cross-linking 2) three

functionalization routes can be used for HSi(111) surfaces to produce -NH2 terminated

surfaces with high free -NH2 group surface density and with higher stability in aqueous

solution for biological sensor applications than on silicon oxide substrates 3) carboxyl-

terminated SAMs have higher thermal stability than CH3-SAM and both types of SAMs

are thermally stable during the ALD growth conditions and 4) ALD of metal oxide can be

performed on a variety of SAM-terminated Si surfaces in a controllable manner without

formation of unwanted interfacial SiO2

Surface-sensitive transmission infrared spectroscopy captures the spectral changes of

SiO2 surfaces after modification with trichlorosilane A substrate-adsorbate mode at

1200-1260 cm-1 is attributed to the LO phonon mode induced by the coupling of newly

formed Sis-O-Si bonds with the Si-O-Si network of SiO2 Formation of high density

Sis-O-Si is equivalent to adding a layer to SiO2 thus increasing the thickness of the SiO2

layer Consequently the LO mode of SiO2 is blue-shifted and its intensity is increased [1

2] For an organosilane with alkyl chain steric restrictions prevent the formation of dense

head group cross-linking Si-O-Si and interfacial Sis-O-Si suppressing the vibrational

coupling between the siloxane layer and SiO2 substrate In this case the Si-O-Si mode is

decoupled from the SiO2 LO phonon Such spectroscopic information and understanding

provides a tool towards controlling the interfacial structure and a means to better define the

growth mechanisms operative for the silaneSiO2 system In particular this tool will make

it possible to develop method to achieve a densely packed SAMs for use as dielectrics

Among the many known organosilane molecules used for SAMs amino-terminated

SAMs have been used for the fabrication of patterned surfaces Such surfaces are important

to promote the absorption of enzymes and peptides and to achieve selective electroless

179

deposition of metals and nano-particles on semiconductors An immense problem exiting

in fabrication of amino- terminated surfaces either on SiO2 or on H-terminated Si surfaces

is the acid-base interaction between NH2 head group of precursor and the surface silanol

groups on SiO2 surfaces or catalyzed oxidization of H-Si surfaces These side reactions

degrade the stability and quality of the films In this study we have developed three new

approaches to prepare high quality and stable amine-terminated surfaces and verified the

presence of free amine by monitoring its reactivity with PMPI linker molecules It enables

controllable specific attachment of biomolecule to the surface

From FTIR spectra of CnH2n-1-terminated and COOH-(CH2)10-terminated surfaces

spectral features have been identified which could be ascribed to the Si-H stretching mode

confirming that a Si-H group is present on the alkylated surface The peak corresponding to

Si-H stretching mode is centered at 2073 cm-1 ie red-shifted from its common position at

2083 cm-1 for freshly prepared H-Si(111) surface[3] and also much broader than the sharp

feature seen on the ideal flat H-Si(111) surface indicating that Si-H is isolated (surrounded

by atomsmolecules other than H) as is expected if the surface functionalization is uniform

and incomplete The integrated area of this peak is ~25 and ~55 of the integrated area

(0014 cm-1) of Si-H on freshly prepared H-Si(111) surfaces for CnH2n-1-terminated and

COOH-(CH2)10 terminated surfaces respectively Since a lower value of the Si-H

integrated area is correlated with a higher degree of partial oxidization COOH-terminated

SAMs can therefore form denser layers than CH3-terminated SAMs All these observations

confirm that Si-H bonds can react to for Si-C bonds but only partially (up to 50) due

steric interactions [4]

In situ FTIR spectroscopy makes it possible to compare the thermal decomposition

pathways of CnH2n-1-terminated and COOH-(CH2)10-terminated Si(111) surfaces The

simultaneous appearance of H-Si bonds at ~2076 cm-1 with reduction of CH2 mode

intensity on both surfaces indicates that the chain removal proceeds though βminuselimination

by cleavage of the Si-C bond instead of the C-C bond with formation of Si-H The higher

decomposition temperature of COOH-terminated SAMs indicates that they have a higher

thermal stability than CH3-terminated SAMs Both SAMs are thermally stable during the

ALD growth condition (typically from 100-150 degC)

180

In situ infrared spectroscopy also reveals that the ALD of high-κ metal oxide

(TMAH2O) can be performed on the silicon functionalized with self-assembled

monolayers (SAMs) with -COOH -OH - NH2 or -CH3 terminal groups The study shows

that the nature of the end groups significantly affects the selectivity toward TMA

adsorption and subsequent ALD growth of Al2O3 These studies can be also extended to

ALD deposition of other high-k metal oxides such as HfO2 using TEMAH and H2O to

understand the mechanism of growth of interface formation

The ALD process on SAM is found to depend on all following factors 1) the chemical

reactivity of terminal groups 2) the polarity of terminal group charges 3) the end group

surface density 4) the chain packing density and 5) the accessibility and reactivity of the

siliconASM interface The results show that Al2O3 can grow on all surfaces but in a

different manner forming more homogeneous film on the -NH2 and -COOH surfaces and

very non-homogeneous films on -CH3-terminated surfaces due to a lack of initial reactive

nucleation sites Silicon functionalization with all SAMs not only efficiently eliminates the

formation of unwanted interfacial silicon oxide during ALD growth but also prevent SiO2

formation during post-annealing up to 400 degC (ie SAM decomposition temperature) This

provides a potential method to avoid the formation of SiO2 during ALD processing

The understanding of underlying reactions between precursors and various surface

functional groups obtained from this study gives us a better control over these surface

reactions that may constitute an important method to tailor ALD growth for fabricating

nanoelectronic devices These studies of ALD on SAMs also establish a foundation to

understand the ALD nucleation and growth on more complicate organic films such as

ALD on polymers [5] and selective ALD on patterned surfaces [6 7] In addition the

stability of ultra-thin metal oxide films grown on SAMs demonstrated here provides a basis

for the development of a protective nano-coating method

In contrast to conventional methods using thermal pretreatment to control the ndashOH

density on silica and alumina surfaces SAMs provide a convenient way to control the

surface reactivity and surface density of reactive sites which can be applied to a number of

systems

181

Bibliography

1 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

2 Quayum ME et al Formation of organic monolayer on a hydrogen terminated Si (111) surface via silicon-carbon bond monitored by ATR FT-IR and SFG spectroscopy Effect of orientational order on the reaction rate Chemistry Letters 2002(2) p 208-209

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Sieval AB et al Amino-terminated organic monolayers on hydrogen-terminated silicon surfaces Langmuir 2001 17(24) p 7554-7559

5 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

6 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

7 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

182

CURRICULUM VITAE

Meng Li Rutgers University

Department of Physics amp Astronomy Piscataway NJ 08856 Phone (732) 910-7247

mengliphysicsrutgersedu EDUCATION PhD in Physics (Surface Science) Oct 2007 GPA 37540 Rutgers University New Brunswick NJ (Advisor Prof Yves J Chabal Director of Laboratory for Surface Modification) Master of Science in Computational physics Oct2003 GPA 37340 Rutgers University New Brunswick NJ (Advisor Dr Bernie Yurke Bell Labs) MS and BS in Physics Jun 19991997 GPA 8810 Nanjing University Nanjing PR CHINA (Advisor Prof Qiuhe Peng) WORK EXPERIENCE Thick Film Group AZ Electronic Materials USA Corp Branchburg Process Engineer Jul 2007 ~ Aug 2007 (Internship) bull Develop and test photoresists for thick film applications

- Developed and optimized the formulation of thick film photoresists for desired performance

- Test performance of thick film photoresists on different substrates including silicon copper and gold using standard micro lithography methods

Department of Physics amp Astronomy Rutgers University New Brunswick NJ Lab Instructor (Aug2000 ~ May 2003) bull Instructed over 50 engineering students and 120 college students in classical and

modern physics experiments computational physics and experimental data analysis using MatlabExcel

bull Tutored high school students in advanced mathematics and physics and helped them succeed in gaining admission to Princeton Stanford and Cornell

183

RESEARCH EXPERIENCE Research Assistant (Advisor Prof Yves J Chabal) Laboratory for Surface Modification Rutgers University New Brunswick NJ Aug 2003 ~ Aug 2007 bull FTIR study of Atomic layer deposition (ALD) of high-kmetal oxide on semiconductor

surface modified by organic Self-Assembled Monolayers (SAMs) - Examined the chemical and thermal stability of SAMs under the conditions of

ALD processes - Characterized the ALD-grown HfO2 and Al2O3 on organic SAMs with different

functional termination - Investigated ALD precursors chemistry involved in the HfO2 and Al2O3 at

SAMsSilicon interface and optimized the reaction conditions - Studied mechanistically the effects of surface active sites on nucleation and

growth dynamics of ALD high-k metal oxide by using SAMs as model with controllable surface chemistry

- Tested condition of SAM-assisted area-selected ALD on silicon bull Investigation of phase transition in Poly(N-isopropylacrylamide) (PNIPAM) films on

silicon surfaces for applications in controllable micro- nano- fluidic systems - Characterized thin Poly(N-isopropylacrylamide) (PNIPAM) film end-tethered on

surface and investigated the conformational changes during phase transition using in-situ FTIR spectroscopy

bull Modification and characterization of silicon surfaces using organic self-assembled

monolayers for applications in optimization of attachment of biomolecules to silicon surface and nanoelectronics - Fabricated silicon surface with a variety of SAMs through Si-O-Si or Si-C surface

linkages - Studied surface morphology and chemical properties of silicon surface modified

by SAMs - Analyzed chemical bonding and interfacial structure of SAMsSilicon - Developed novel surface chemistry to prepare high quality bio-reactive surface

for biotechnology Research Assistant (Advisor Dr Bernie Yurke) Bell Laboratories Lucent Technologies Murray Hill NJ Apr 2003 ~ Apr 2004 bull DNA Self-Assembly

- Designed DNA strands for formation of DNA nano-complexes - Assembled and Analyzed DNA complexes and imaged the resulting

nano-structures - Developed computer programs to assist designing and optimizing desired

nano-patterns

184

Research Assistant (Advisor Dr Bernie Yurke) California Institute of Technology Computation and Neural Systems Pasadena CA (Prof Eric Winfreersquos Lab) Jun 2003 bull DNA Self-Assembly and Algorithmic principles in biological systems

- Designed DNA strands to generate nano-patterns through DNA self-assembly - Utilized biochemistry techniques to prepare DNA samples and to form synthetic

nano-complexes quantified DNA samples using UV spectrometer formed complicated DNA building blocks to achieve nano-patterns in Polymerase chain reaction (PCR) machine checked structure formation using Gel electrophoreses imaged DNA self-assembled nano-patterns on mica surface using Atomic Force Microscopy (AFM)

- Assembled bio-inorganic nano-systems a periodic gold nano-particle arrays (with L~25nm) using the self-assembled DNA lattice as scaffold imaged the pattern using AFM

EXPERIMENT SKILLS Clean Room Fabrication bull Semiconductor wafer clean and processing bull Micro lithography Suss Coater ACS300 FLEXIFAB coater ASM-L Stepper

Nanospec 8000 film thickness system Suss MA200 Aligner OptiTrac CoaterDeveloper Amray 4200L SEM

Surface Characterization bull Fourier Transform Infrared Spectrometry (FTIR) using a variety of probing

geometries bull Scanning Probe Microscopy (SPM AFM) tapping amp contact mode (in air and in

liquids) bull Scanning Electron Microscopy (SEM) bull Rutherford Back-Scattering (RBS) bull X-Ray photoemission spectroscopy (XPS) bull UHV systems   ChemistryOrganic Polymer Synthesis bull Wet Chemical methods semiconductor sample cleaning (RCA Piranha HF) and

specialized sample handing in inert atmosphere using a glove box bull Functionlization of silicon surfaces with organic material (using both gas and liquid

phase methods) bull Chemistry for thin film deposition (CVD ALD) Computer Skills bull Computer Language C++FortranJava Matlab bull Scientific Software Origin Labview Latex bull Office application MS office 2000

185

bull Operating System UNIX Linux Windows XPNT200098 SUN Solaris on Sparc5Ultra30 workstations SGI R4400 Dell Precision workstation cluster

PUBLICATION bull Meng Li Min Dai Yves J Chabal ldquoIn-situ infrared spectroscopy of Atomic Layer

Deposition high-κ dielectrics on organic self assembled monolayersrdquo Applied Physics Letter 2007 (manuscript in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoSpectroscopic Signature of Self Assembled Monolayer SAMSilicon interfacerdquo 2007 (manuscripts in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFTIR study of Self Assembly of Aminopropyltriethoxysilane on Atomic Flat Hydrogen Terminated Silicon(111) surfacerdquo 2007 (manuscripts in preparation)

bull Guillaume Paumier Anne-Marie Gue Franccediloise Vinet Meng Li Yves J Chabal Alain Estegraveve Mehdi Djafari-Rouhani Jan Sudor ldquoDynamic control of electrokinetic flows on stimuli-responding Surafcerdquo 2007 Langmuir (Acceped )

bull Meng Li Xinlian Luo Qiuhe Peng Zou ZG Chou CK ldquoA new method to determine the thickness of spiral galaxies Apply to Galaxy M31rdquo Chinese Physics Letter 2000 17 (6) 466-468

PRESENTATIONS bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFormation of Aminosilane

self-assembled monolayers on Hydrogen terminated Si(111) surfacerdquo 2006 20th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Alexandra Langner Sandrine Rivillon Yves J Chabal ldquoFTIR Study of Structure and Growth (3-Aminopropl) Triethoxysilane and Triethoxysilane Self Assembly on Silicon Investigated by FTIRrdquo 2005 19th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Bernie Yurke Yves J Chabal ldquo DNA templated nanofabricationrdquo 2004 18th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

PROFESSIONAL AFFILITIES bull Member of American Physics Societies (since 2005) bull Member of American Chemistry Societies (since 2006) REFERENCES Available upon request

  • CoverMeng-fromLatex
  • MengLi_Final_NoCover_10012007
Page 4: MODIFICATION OF SILICON BY SELF …

iv

Acknowledgements

Foremost I would like to express my deep gratitude to my advisor Prof Yves Chabal

for providing me with the extraordinary opportunity to complete my PhD work at

Laboratory for Surface Modification at Rutgers Yvesrsquos continuous strong support and

guidance made my thesis work possible He has been always actively interested in my

work and has always been available to advise me I am very grateful for his patience

openness motivation enthusiasm and immense knowledge all these taken together make

him a great mentor What I have learned from Yves is far beyond the knowledge only

I would also thank Dr Bernie Yurke at Bell Laboratories for introducing me into the

wonderful world of DNA self-assembly which finally lead me to my initial PhD work

Bernie was very patient and always ready to help It was he who taught me how to start the

fundamental chemistry and biological lab work from the beginning We had worked

closely for three months and spent half of the summer at Caltech There were lots of funs I

still remember during our stay at Caltech we went out during the weekend to search for the

fossil in the California Mountain and got some pieces of stone from nature for our DNA

surface attachment experiments I would also like to thank Prof Eric Winfree at Caltech

for providing me the opportunity to work in his DNA computing algorithm group There I

got to know many interesting and enthusiastic people and learned lots from them A sincere

appreciation needs to go to my PhD committee members Prof Eric Garfunkel Prof Harry

Kojima Prof Larry Zamick and Prof Sobin Kim for their years-long support and valuable

suggestions

A journey is a lot easier when you travel together This thesis is the result of four years

of work whereby I have been accompanied and supported by many people It is a nice

opportunity that I have now to express my gratitude to all of them

I want to thank present and previous members of our lab Norman Lapin Melissa Stick

Min Dai Alexander Langner Chien-Lan Hsueh Ming-Tsung Ho Dr Sandrine Rivillon

Amy Dr Yu Wang Dr David Michalak and Dr Jean-Francois Veyan It was Norman

who first introduced me to Yvesrsquos lab in 2003 He helped a lot in setting up chemical and

biological equipment which make our life in the lab much easier and smoother Thanks to

v

Melissa for continuous sharing of lots of useful information and research resources with us

It is particularly valuable in an interdisciplinary lab Thanks to Min We worked closely on

the ALDSAM surface project during the last six months of my PhD research He helped

me to extend my research into the field of atomic layer deposition efficiently which was

great adventure and exploration I am grateful to Dr Sandrine Rivillon Amy who helped

me to become better chemical experimentalist in the lab and to Dr Jean-Francois Veyan

who provided me with lots of help to setup and maintain lab instruments from vacuum

chamber to air compressor Thanks to Nancy Pamula who made all administrative issues

during my stay at LSM very easy Thanks to my colleagues and friends in LSM and

Physics departments who made my life at Rutgers more colorful and enjoyable

I feel a deep sense of gratitude for my father and mother who formed part of my vision

and taught me the good things that really matter in life When I was a child my father

taught me all kinds of material which I could not learn enough from the school from

calligraphy music instrument and nature science The happy memory of my father still

provides a persistent inspiration for my journey in my life I have also my deepest gratitude

to my mother She has shouldered all the heavy burden of taking good care of two children

when my father passed away Without her great caring and strong endless support and love

it was impossible for me to get a high quality education and achieve my goals in life I

dedicate this work to both of them to honor their love patience and support during these

years I am very grateful to my wife Julia for her love and patience during the period of

study and to my sister Xin for helping my mother and the family

Last I would like to express my sincere gratitude to Dr Yan Xin who offers me the

precious opportunity to learn traditional advanced Chinese qigong - Yan Xin Qigong

Learning Yan Xin Qigong has accompanied me during my whole academic period since

1994 soon after I entered the Nanjing University at China It has maken my life much

healthier and more energetic and helped to handle any challenge on my way to seek a

higher goal of life and its true meaning

vi

Table of Contents

ABSTRACT OF THE DISSERTATION ii Acknowledgements iv Table of Contents vi List of Figures ix Chapter 1 Introduction to Silicon Surface Modification Using Self-Assembled

Monolayers 1 11 Introduction 1 12 Chemical Modification of Silicon Dioxide 4 13 Chemical Modification of Silicon (111) Surfaces 5 14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition 7 15 Summary 8 Bibliography 10

Chapter 2 Experimental Detail of Silicon Surface Modifications and Characterization

Technique ndash FTIR Spectroscopy 14 21 Introduction 14 22 Experimental 14

221 Materials 14 222 Sample Preparations 15

23 Instrumentation FTIR Spectroscopy 16 231 Molecular Excitations and Vibrations 16 232 Fourier Transform Infrared Absorbance Spectroscopy 17

24 Instrumentation Atomic Layer Deposition Set-up 23 Bibliography 25

Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study of Surface Bonding and

Interfacial Structure of alkyltrichlorosilane-based SAMs on Silicon Dioxide 26 31 Introduction 26 32 Experimental 28

321 Materials 28 322 Sample Preparations 29 323 Characterization 30

33 Results 30 331 TCS SAM on SiO2 30 332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2 39 333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2 (Chain Length Effects on Head group cross-linking Structures) 45

34 Discussion 51 35 Conclusion 58 Bibliography 59

vii

Chapter 4 Amino-Terminated Monolayer on H- Terminated Crystalline Silicon (111) By an Self Catalytic Reaction 62 41 Introduction 62 42 Experimental 63 43 Results 65

441 Amine-catalyze Oxidization of H-Si backbond and attachment of APTES 88 442 Limited Interfacial Oxidization 89 443 Stability of APTES layer and Binding Capability 89

45 Conclusion 91 Bibliography 92

Chapter 5 Alkylation of H-Terminated Silicon (111) and Thermal Stability of SAMs with

Different Functionalities 95 51 Introduction 95 52 Experimental 96

521 Materials 96 522 Preparation of Self Assembled Monolayers 96

53 Results 98 531 Preparation of Octadecylated Si (111) Surface 98 532 Preparation of COOH-Terminated Monolayer on Si(111) Surface via a Si-C bond 102 533 Chemical Stability Resistance of Organic Monolayer via a Si-C bond to HFNH4F 104 534 Thermal Stability of Octadecylated Si(111) 105 535 Thermal Stability of COOH-Terminated-Si (111) 109

54 Discussion 113 541 Alkyl Packing on the H-Si (111) surface (Theory and Model) 113 542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111) 113 543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)115

54 Conclusion 119 Bibliography 120

Chapter 6 Selective Atomic Layer Deposition (ALD) of Metal Oxide on SAMs with

Different Functional Groups 122 61 Introduction 122 62 Experimental 125

621 Materials 125 622 Preparation of Self Assembled Monolayers 125 623 Characterization of the Self-Assembled Monolayers 128 624 Atomic Layer Deposition Chamber Set Up UHV apparatus 128

63 Results 129 631 Preparation and Characterization of COOH- terminated SAMSi(111) (same as Chapter 5) 129 632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111) 129 633 ALD Growth of TMA on COOH-terminatedSi (111) 134

viii

634 ALD TMA on ndashOH terminated SAMSi (111) 142 635 ALD TMA on ndashNH2 terminated SAMSi(111) 148 636 ALD TMA on ndashCH3 Terminated SAMSi(111) 155 637 ALD Growth of TMA on SiO2 157

64 Discussion 160 641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM 160 642 Mechanism for ALD growth on ndashCH3 SAM 161 643 Initial Reaction Mechanism for ALD growth on ndashOH SAM 165 644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM 167 645 Comparing Initial Reaction of TMA on SAMs with different terminal group 169 646 Comparing the Nucleation and Al2O3 films grown on the different substrate 170

65 Conclusion 174 Bibliography 175

Chapter 7 Summary 178

71 General Conclusions 178 Bibliography 181

CURRICULUM VITAE 182

ix

List of Figures

Figure 11 A Diagram of Field Effect Transistor 3 Figure 21 Inside layout of FT-IR Spectrometer (Nicloet) 18 Figure 22 Interferogram of silicon sample 19 Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission Geometry 21 Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated Si(111) sample

22 Figure 25 FTIR Spectra of water Vapor and CO2 gas 23 Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission FTIR

spectroscopy set up24 Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with

Different Thickness 32 Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 33 Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon Mode of Oxidized

Silicon Substrate35 Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1 35 Figure 35 FTIR Spectra of Polymerized TCS film on SiO2 36 Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area of H-SiO3 and Peak

at 1200-1200 cm-138 Figure 37 Toluene Effect on Oxidize Silicon Substrate 40 Figure 38 Different TCS configuration on the Surface and polymerized film 40 Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode)43 Figure 310 Deconvolution of Si-O-Si absorption band of TCS films 44 Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2 46 Figure 312 A reaction between Monochlorine silane and SiO2 substrate46 Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes

with different chain length47 Figure 314 Sis-O-Si in different configuration Different group attached to Si 48 Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different Substrate 50 Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 52 Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

54 Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface 57 Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane62 Figure 42 p-maleimidophenyl isocyanate (PMPI)65 Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES 66 Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect 69 Figure 45 FTIR Spectra of Time Dependence Experiments70 Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and

without UV (284nm) Illumination72 Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time74 Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water

75 Figure 49 PMPI 24 hours on APTESH-Si(111) 77 Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c

Toluene only79 Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine81 Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or without water

83 Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I) 86

x

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II) 87 Figure 415 Possible Mechanism of APTES reacts with surface H-SiO388 Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)97 Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene 100 Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains 101 Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid103 Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution 106 Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure

N2 gas from 100 to 400 degC 107 Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated

Si(111) sample108 Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c111 Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111)112 Figure 510 Possible Reaction during Annealing 118 Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen

environment118 Figure 61 SAM Assisted Atomic Layer Deposition of Metal 124 Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM 128 Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to TMA for various time

130 Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900 cm-1 131 Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl solution treatment

133 Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111) 135 Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)138 Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on COOH-SAM H-Si(111)

post-annealed in ultra pure N2 gas from 300 to 600 degC 139 Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with COOH-SAM and

following 10 cycle TMAD2O growth and 600 degC annealing 140 Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM 144 Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)147 Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) 150 Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

151 Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method

(Method II) are verified by reacting with PMPI 152 Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) 154 Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111) 156 Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post

annealed from 300 to 600c 159 Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA

Growth on -CH3 SAM164 Figure 619 -COOH SAM terminated Si(111) 167 Figure 620 Possible absorbed TMA on ndashOH terminated Surface 168 Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM168 Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different

terminal groups171 Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group172 Figure 624 Comparison of ALD TMA on the surface with different termination group 173

1

1 Chapter 1 Introduction to Silicon Surface Modification

Using Self-Assembled Monolayers

11 Introduction

Silicon chips have been the backbone of modern electronic technology and computing for

several decades As the size of devices on silicon wafers scales down to sub-nanometer the

surface characteristics become dominant and play an increasingly crucial role in device

operation As the ratio of surface area-to-volume increases in smaller objects precise

control of interface properties is required in the many fields not only in microelectronics

but in other applications including sensors MEMSNEMS molecular electronics and

biologically active surfaces The conventional SiO2Si passivation widely used in

microelectronics solely is not able to fully meet the need of developments It becomes very

exciting field to integrate molecular scales devices solid state inorganic structure with

biological active interface

The conventional ldquotop-downrdquo fabrication technologies become more limited at such a

small scale and prohibitively expensive with impractically low throughput Alternatively

self-assembly an inspiration from the nature could provide a very promising solution to

building nanostructures from the ldquobottom uprdquo Self-Assembled Monolayers (SAMs) of

organic molecule are highly ordered two-dimensional structures on the surface and forms

spontaneously They have been widely used as surface modifiers for practical applications

and basic research since they offer a means of altering and controlling the chemical nature

of surfaces They also have a great potential to satisfy many requirements for a new

nanofabrication technology Self-assembly for instance could make it possible to produce

devices with nanometer precision (even in three dimensions) with parallel processing using

simple wet-chemistry or gas-phase technique for cost-effective manufacturing

SAMs have been widely used in the many areas [1] and particular useful in the

nanotechnology and biotechnology including wetting adhesion friction chemical

2

sensing nano-scale lithography surface patterning[2 3] molecular lubrication[4] and

corrosion prevention [5]

There are different SAM systems have been investigated The most common

adsorbatesubstrate combinations are sulfur-containing molecule on gold (forming Au-S

bonds) organosilanes such as alkylchlorosilanes and alkylalkoxysilanes on oxide surface

(forming Si-O-Si bonds) alkenes on hydrogen terminated silicon surface(forming Si-C

bonds) alcohols on H-terminated silicon surface (forming Si-O-C bonds) Although thiol

Au constitutes the most studied model system metal substrates are less useful or versatile

for fabricating electronics than semiconductor materials In this study we therefore focus

on self-assembly on silicon substrates a system with beneficial properties for future

applications such as fabrication of organic-inorganic hybrid devices biochips (protein

array and DNA array) and biosensor We consider attachment of alkoxysilane molecules

on oxidized silicon (SiO2) as an important model of SAMS on glass We then consider the

interaction of two important classes of molecules on H-terminated silicon surfaces first

amino-terminated SAMS (with ethoxy termination) such as APTES and chlorosilanes such

as octodecylchlorosilanes

Consideration of these systems is important for electronics Let us consider for instance a

conventional field effect transistor with a SiO2 gate oxide (Figure 11) It has been shown

that a dense packed organic monolayer alkyl chain with only a few nanometer thickness is

a very efficient insulating barrier [6] It has a high tunneling barrier (45 eV) for charge

carriers that reduces the tunneling current density at a negligible level (10ndash8 Acm2 at 4

MVcm) [7 8] In comparison the SiO2 insulating layer within equivalent nanometer

thickness range (lt3nm) has leakage current as large as 10-1-10-3 Acm2 [9] SAM-dielectric

organic transistors have been successfully demonstrated on silicon [7 10-12] and on

substrate of metal aluminum terminated with hydroxyl groups [13] The advantage of using

SAMs is not only that is reduces the leakage current but also that it allows an organic thin

film transistor to operate at low voltage which is intrinsic requirement for the device made

of organic semiconductor material [10] Furthermore the tailorable SAM surface can be

modified with methyl- carboxylic amine and hydroxyl group terminations with different

surface energy which can be used to control the carrier density in a device such as organic

3

field-effect transistors [14] SAMs are also widely used as gate dielectrics in fabricating

novel nanoeletronic devices such as carbon nanotube field effect transistors [15]

Figure 11 A Diagram of Field Effect Transistor

Determination of the electrical properties of the organic monolayers and their

interfaces is a key issue for both molecular and silicon nanoelectronics For this purpose

the presence of the 10ndash15 nm thick SiOx layer in the alkylsiloxane SAMs formed from

n-alkyltrichlorosilane on oxidized silicon surfaces precludes the study of a true

siliconorganicndashmonolayer interface The direct formation SAMs on H-Si by

hydrosilylation with alkenes allows direct measurement of SAM-Si interface [16 17] The

interface trap density obtained in the case of the silicon1-octadecene interface was more

than an order of magnitude lower than that obtained in the case of the alkyl chain

monolayer on the oxidized silicon surface [17]

The interaction of biomolecule such as DNA proteins or cells with semiconductors

such as silicon is of great interest for applications such as electronic biosensing To

achieve this silicon need to be properly functionalized by using SAMs with desired end

groups SAMs can be used to either enhance or inhibit adsorption of biomolecules The

SAMs can be also used to control the electronic properties of the surface For example a

single nanowire modified with amine-terminated SAMs is used to detect the pH change in

the solutions [18] It is also shown the direct electrical detection of DNA synthesis can be

done by applying SAMs[19]

4

12 Chemical Modification of Silicon Dioxide

Because silicon dioxide has been widely used in the microelectronics industry for decades

as a method to passivate silicon surface control surface electronic and chemical properties

and pattern surface the first interest of this work focuses on the modification of SiO2 with

SAMs The study of SAMSiO2 has grown rapidly since Sagiv and his co-workerrsquos

pioneered working on SAMSiO2[20] In general understanding silane-based SAMs are

believed to be bonded to SiO2 substrate through Si-O-Si bond and thus can be integrated

into current SiO2-based micronano electromechanical systems (MEMSNEMS) SAMs

also play important roles in fabricating novel hybrid organic-inorganic devices For

instance formation of the SAMs onto the SiO2 gate insulators is known to be a very

effective method to increase the field-effect mobility of organic thin film field

transistors[21]

In spite of wide applications of alkylsiloxane SAMs on SiO2 the actual mechanism of

monolayer formation on SiO2 remains a subject of debate Many studies have been carried

out to investigate the underlying mechanism but the results are often conflicting

In general picture the silane molecule first must be hydrolyzed to form reactive silanol

groups and further they condense with surface hydroxyl groups [22] to form Sis-O-Si bond

or with other hydrolyzed silane molecule to form Si-O-Si cross-linking Unlike SAMs of

alkanethiols and fatty acids in which the ultimate film structure is determined by

substrate-molecule and van der Waals chain-chain interactions the reactive head-groups in

organosilanes introduce potential lateral film growth mechanisms at substrates Formation

of head group cross-linking is very sensitive to environment such as water content

temperature [23] [24] The competition between head group cross-linking and surface

bond formation leads to poor reproducibility and inconsistent results

It is also argued that cross-linking and thin adsorbed water layer are keys to form high

quality SAMs but the bond between the chains and substrate is not necessary [25] [26]

The earlier IR study suggests few Sis-O-Si forms if there is any[27] In addition to the

existing debate on the formation of interface Sis-O-Si bond the growth dynamics of SAMs

whether it is uniform growth or island growth is also under the debate Overall results

suggest that it depends on water content [28 29] and self-assembly process strongly favors

5

island-type growth with increasing water content However the island-growth is still found

in the condition of absence of traces of water in solution [30]

Amine-terminated surface is very useful in the biological applications The important

applications of APTES-modified surface include promotion of adhesion and

immobilization of membrane and biological molecule physically or chemically Aminated

surface is also used for directing growth of the nanoparticles and nanorodes [31] [32] on

the surface One of widely used method is using aminosilane (APTES) on SiO2 substrate

However compared to the other alkylsilaneSiO2 the ndashNH2 functional group introduces

more complexity into already complicated SilaneSiO2 system The interaction between

NH2 group and surface hydroxyl groups or silanol groups makes it even more difficult to

form ordered layers NH2 group acts like build-in catalyst for the reaction of alkoxy [33]

[34] and chlorosilane [35 36] It can efficiently catalyze the formation of Si-O-Si bond and

exaggerate the self-polymerization Even worse APTES-modified SiO2 is found to be

unstable in the aqueous solution and can be easily removed from the surface This problem

greatly hinders its applications in the biological application where most of reactions are

conducted in the water-soluble solution

13 Chemical Modification of Silicon (111) Surfaces

Hydrogen passivated silicon has been the starting point for many important applications in

which the oxide is not desirable due to its insulting barriers and high density of electronic

defects at the interface H-terminated crystalline silicon (111) is particularly interesting

because of its unique characteristics H-Si(111) surface is atomic flat over large area (over

hundred Angstroms) and has very well defined surface structure with three of the Si atom

tetrahedral bond tied up with bulk silicon and one Si which is normal to surface terminated

with hydrogen atom It is least sterically hindered surface available on Si crystal that can be

easily prepared by wet chemical etching in aqueous hydrofluoride and ammonium fluoride

solutions[37] H-Si(111) is stable and can be easily manipulated in the air or organic

solvent without using expensive vacuum system Finally the reactivity of H-Si toward

organic materials and the well understood interface makes it great candidate for potential

molecular-semiconductor devices or chemical biosensor

6

Even though H-terminated silicon (111) is relatively stable in pure O2 or pure water

environments an oxide layer quickly forms upon exposure to ambient environment

Consequently the oxidization reduces its electrical properties and compromises the device

performance One promising technique to overcome this oxidization problem is to form

molecular monolayers with stable surface Si-C bond

Covalently attached organic monolayers on oxide-free silicon surface get increasing

attention because of their structural order stability technique usefulness and

controllability It can be easily prepared by a reaction between 1-alkene and a hydrogen

terminated silicon surface thermally [38-40] photo-chemically[41] by employing

transition metal catalysis[42] or by Lewis acid catalyzed reactions[43 44] It also has been

accomplished using alkyl Grinard and alkyl lithium reagents in a two-step process [45-47]

The structure of monolayers formed on silicon by hydrosilylation of 1-alkenes and

1-alkynes has been studied by FTIR contact angle experiments X-ray analysis [39 48

49] impedance spectroscopy[50 51] and a variety of theoretical techniques including

molecular mechanics[52] molecular dynamics[53] and density functional computations

[52 54-57]

Two mechanisms have been proposed for hydrosilylation of H-terminated silicon

Linford suggested radical chain mechanism [38 39] A surface silyl radical is formed from

UV or thermal activation attacks the unsaturated bonds (C=C) of 1-alkenen to form Si-C

bond with formation of a new radical center on the carbon atom The carbon centered

radical of chain abstracts nearestndashneighbor H atom forming a new silyl radical and

initiating the free-radical chains reaction An alternative mechanism involving formation

of electron-hole pairs has been proposed by Buriak et al They investigated hydrosilylation

promoted with white light and suggested an unbound exciton produced by light absorption

that leads to a surface-localized supra-band gap positive charge This surface charge can

then interact with alkenes and form a silylated β-carbocation upon Si-C bond formation

This carbocation can then abstract a hydride from an adjacent Si-H bond yielding the

neutral organic termination [58]

A great interest is on how alkyl chains pack on the Si(111) surface In a simple steric

model of alkylated surface the inter-nuclear distance between adjacent atop sites on the

Si(111) crystal face is 38 Aring and a methyl group with a van der Waals diameter 25 Aring is

7

small enough to replace every H atom and link to every Si atom reaching 100 coverage

However alkyl chain with chain length longer than two carbons has van der Waals

diameter 45- 50 Aring implying that it cannot fit to every Si site because of steric restriction

A theoretical model study on alkyl packing has shown the maximum coverage for long

n-alkyl chains on Si(111) is 50-55 [52]

This work concerns with thermal hydro-silylation of H-Si(111) with a linear

1-alkene1-undecenic acid and its derivatives

14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition

SAM modified silicon substrate can be integrated into other nanoelectronics applications

because it can effectively control the surface properties One application is the application

of deposition of ultra-thin inorganic material (high-k) on the top of SAM modified surface

Atomic Layer deposition (ALD) is a thin film growth technique based on a sequential

self-limited surface reaction It has recently received considerable attention as a method for

depositing high quality thin films of insulators semiconductors and metals with

sub-nanometer control of growth process The surface-reaction-controlled binary

deposition mechanism ensures high conformal growth with precise control of the film

thickness and uniformity over large area

The ALD growth and film quality are sensitive to the starting surface The nucleation

and the interface formation are greatly affected by the surface functional group and

reactivity with ALD precursors Usually hydroxyl group -OH on SiO2 is mostly used By

controlling the surface functional group ALD process can be manipulated for

area-selective ALD growth[59 60] a controllable nucleation

Scaling down integrated circuit require to replace the SiO2 gate dielectric (dietetic ~ 34)

in metal-oxide-semiconductor field effect transistor (MOSFET) with high-k dielectrics in

order to reduce the required effective oxide thickness (EOT) without considerable leakage

Among the stable oxide Al2O3 (k~7) and HfO2 (k~25) are very promising candidates with

high dielectric constant so that have been studies intensively on different substrate such as

8

SiO2 porous silicon and H- terminated crystal silicon The popular combination includes

trimethylaluminum (TMA) and water for Al2O3 and TEMAH and water for HfO2 growth

To fully take advantage of using high-k material ALD deposition requires to use

oxide-free H terminated silicon as starting surface to obtain atomically sharp Sihigh-k

interface The existences of SiO2 will significantly compromise the benefits of using

high-k dielectrics by reducing the effective gate stack capacitance

The usage of HSi as a substrate for high-k material such as HfO2 and Al2O3 deposition

although very promising has been found to have the following problems 1) low reactivity

HSi has remarkable resistance to oxidization however it also causes the poor nucleation

characteristics of many ALD-grown high-k films resulting in nonlinear growth kinetics

and formation of discontinuous and electrically leaking gate stacks 2) interfacial SiO2

formation It is found that interfacial SiO2 is unavoidably formed during ALD growth as a

side-reaction [61] [62] The method to increase nucleation and promote linear growth by

using more reactive precursor such as replacing water with Ozone has been found increase

interfacial SiO2 formation more So to have a surface with enough reactivity toward ALD

precursor while remaining resistance to unwanted oxidizations is important to solve the

obstacle mentioned above

In this thesis we use self-assembled monolayers formed from hydrosylation of

H-Si(111) as starting substrate and use Al2O3 as an example to demonstrate SAM assisted

ALD deposition of high-k dialectics on oxide-free surface The Alkyl-SAM covalently

bond to Si substrate through Si-C bond is extremely stable and will not be oxidized in the

ambient environment up to months or under the current ALD growth condition Meanwhile

the tailorable head groups of SAM provide a neat way to study and control (either promote

or inhibit) nucleation of ALD process We research into high-k precursor adsorption and

initial ALD growth on SAM with different chemical functional groups (-OH -COOH

-NH2 -CH3) using in-situ infrared spectroscopy In addition our study also provides

deeper understanding on ALD on polymers surface

15 Summary

9

The work presented here addresses several important issuers existing in current two most

popular silicon substrate-based self-assembled monolayers systems alkylsilaneSiO2 and

alkenesH-Si(111) by using powerful FTIR spectroscopic technique We first discuss the

chemical modification of SiO2 via Si-O-Si bond through formation of siloxane monolayers

and chemical modification of oxide-free crystal Si surface by Si-C bond through one-step

thermal alkylation process using alkenes

In silaneSiO2 system particular emphasis is placed on identifying IR spectral

signature at the SAMSiO2 interface This is first time that the spectral changes of SiO2

substrate is observed after SAM modification implying Sis-O-Si formation between silane

and substrate which is described in Chapter 3 In Chapter 4 we discuss a specific example

of silane-based new method to prepare stable -NH2 terminated surface on H-Si(111)

surface without required ndashOH surface groups The new methods different from either

conventional SilaneSiO2 system or alkeneH-Si(111) system overcomes some

disadvantages in current widely used methods

Chapter 5 discusses functionalization of H-Si(111) using 1-alkene (-CH3) and

1-undecylnic acid (-COOH) and its thermal stability by using is-situ FTIR technique In

Chapter 6 we first discuss the preparation of Si-C SAM with other two types of chemical

functional groups (-OH -NH2) and atomic layer deposition of high-k metal oxide (Al2O3)

on different functional surface is described and compared in the second part of Chapter 6

Finally a general conclusion of current understanding of the mechanism of

SilaneSAM and atomic layer deposition of metal oxide on alkylated Si(111) with different

functional groups is summarized in Chapter 7

10

Bibliography

1 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev

1996 96(4) p 1533-1554 2 Finnie KR R Haasch and RG Nuzzo Formation and Patterning of

Self-Assembled Monolayers Derived from Long-Chain Organosilicon Amphiphiles and Their Use as Templates in Materials Microfabrication Langmuir 2000 16(17) p 6968-6976

3 Zheng J et al Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon Langmuir 2000 16(10) p 4409-4412

4 V V Tsukruk Molecular Lubricants and Glues for Micro- and Nanodevices Advanced Materials 2001 13(2) p 95-108

5 Jennings GK et al Effect of Chain Length on the Protection of Copper by n-Alkanethiols Langmuir 1998 14(21) p 6130-6139

6 Boulas C et al Suppression of Charge Carrier Tunneling through Organic Self-Assembled Monolayers Physical Review Letters 1996 76(25) p 4797 LP - 4800

7 Collet J et al Low-voltage 30 nm channel length organic transistors with a self-assembled monolayer as gate insulating films Applied Physics Letters 2000 76(14) p 1941-1943

8 Vuillaume D et al Organic insulating films of nanometer thicknesses Applied Physics Letters 1996 69(11) p 1646-1648

9 Lo S-H et al Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFETs Electron Device Letters IEEE 1997 18(5) p 209-211

10 Halik M et al Low-voltage organic transistors with an amorphous molecular gate dielectric 2004 431(7011) p 963-966

11 Park YD et al Low-voltage polymer thin-film transistors with a self-assembled monolayer as the gate dielectric Applied Physics Letters 2005 87(24) p 243509

12 Yoon MH A Facchetti and TJ Marks sigma-pi molecular dielectric multilayers for low-voltage organic thin-film transistors Proceedings of the National Academy of Sciences of the United States of America 2005 102(13) p 4678-4682

13 Klauk H et al Ultralow-power organic complementary circuits 2007 445(7129) p 745-748

14 Kobayashi S et al Control of carrier density by self-assembled monolayers in organic field-effect transistors 2004 3(5) p 317-322

15 Weitz RT et al High-performance carbon nanotube field effect transistors with a thin gate dielectric based on a self-assembled monolayer Nano Letters 2007 7(1) p 22-27

16 Miramond C and D Vuillaume 1-octadecene monolayers on Si(111) hydrogen-terminated surfaces Effect of substrate doping Journal of Applied Physics 2004 96(3) p 1529-1536

11

17 Kar S C Miramond and D Vuillaume Properties of electronic traps at silicon1-octadecene interfaces Applied Physics Letters 2001 78(9) p 1288-1290

18 Cui Y et al Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species

101126science1062711 Science 2001 293(5533) p 1289-1292 19 Pourmand N et al Direct electrical detection of DNA synthesis 101073pnas0601184103 PNAS 2006 103(17) p 6466-6470 20 Netzer L and J Sagiv A new approach to construction of artificial monolayer

assemblies J Am Chem Soc 1983 105(3) p 674-676 21 Lin YY et al Stacked pentacene layer organic thin-film transistors with

improved characteristics Ieee Electron Device Letters 1997 18(12) p 606-608 22 Zhuravlev LT Concentration of hydroxyl groups on the surface of amorphous

silicas Langmuir 1987 3(3) p 316-318 23 Parikh AN et al Correlation of Molecular-Organization and Substrate

Wettability in the Self-Assembly of N-Alkylsiloxane Monolayers Journal of Physical Chemistry 1995 99(24) p 9996-10008

24 Glaser A et al Investigation of the role of the interplay between water and temperature on the growth of alkylsiloxane submonolayers on silicon Langmuir 2004 20(13) p 5599-5604

25 Finklea HO et al Formation of an organized monolayer by solution adsorption of octadecyltrichlorosilane on gold electrochemical properties and structural characterization Langmuir 1986 2(2) p 239-244

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

28 Vallant T et al Formation of self-assembled octadecylsiloxane monolayers on mica and silicon surfaces studied by atomic force microscopy and infrared spectroscopy Journal of Physical Chemistry B 1998 102(37) p 7190-7197

29 Vallant T et al Investigation of the formation and structure of self-assembled alkylsiloxane monolayers on silicon using in situ attenuated total reflection infrared spectroscopy Langmuir 1999 15(16) p 5339-5346

30 Wang MJ et al Self-assembled silane monolayers Fabrication with nanoscale uniformity Langmuir 2005 21(5) p 1848-1857

31 Taub N O Krichevski and G Markovich Growth of Gold Nanorods on Surfaces J Phys Chem B 2003 107(42) p 11579-11582

32 Mieszawska AJ GW Slawinski and FP Zamborini Directing the growth of highly aligned gold nanorods through a surface chemical amidation reaction Journal of the American Chemical Society 2006 128(17) p 5622-5623

33 Blitz JP RSS Murthy and DE Leyden Ammonia-catalyzed silylation reactions of Cab-O-Sil with methoxymethylsilanes J Am Chem Soc 1987 109(23) p 7141-7145

12

34 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

35 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

36 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

37 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

38 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

39 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

40 Sieval AB et al An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces Langmuir 1999 15(23) p 8288-8291

41 Terry J et al Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift scanned-energy photoelectron diffraction Applied Physics Letters 1997 71(8) p 1056-1058

42 Holland JM et al Metal Mediated Reactions on Porous Silicon Surfaces Journal of Solid State Chemistry 147 p 251-258

43 Buriak JM and MJ Allen Lewis Acid Mediated Functionalization of Porous Silicon with Substituted Alkenes and Alkynes J Am Chem Soc 1998 120(6) p 1339-1340

44 Ohyama H et al Photoluminescence of porous silicon surfaces stabilized through Lewis acid mediated hydrosilylation Journal of Luminescence 80 p 29-35

45 Bansal A et al Alkylation of Si Surfaces Using a Two-Step HalogenationGrignard Route J Am Chem Soc 1996 118(30) p 7225-7226

46 Bansal A et al Spectroscopic Studies of the Modification of Crystalline Si(111) Surfaces with Covalently-Attached Alkyl Chains Using a ChlorinationAlkylation Method J Phys Chem B 2001 105(42) p 10266-10277

47 Webb LJ and NS Lewis Comparison of the Electrical Properties and Chemical Stability of Crystalline Silicon(111) Surfaces Alkylated Using Grignard Reagents or Olefins with Lewis Acid Catalysts J Phys Chem B 2003 107(23) p 5404-5412

48 Fidelis A F Ozanam and J-N Chazalviel Fully methylated atomically flat (111) silicon surface Surface Science 2000 444(1-3) p L7-L10

49 MP Stewart EGR TW Geders MJ Allen H Cheul Choi JM Buriak Three Methods for Stabilization and Functionalization of Porous Silicon Surfaces via Hydrosilylation and Electrografting Reactions physica status solidi (a) 2000 182(1) p 109-115

50 Allongue P et al Organic monolayers on Si(111) by electrochemical method Electrochimica Acta 1998 43(19-20) p 2791-2798

51 Yu H-Z et al Molecularly Tunable Organic Capacitors at SiliconAqueous Electrolyte Interfaces1 J Phys Chem B 2000 104(47) p 11157-11161

13

52 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

53 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

54 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

55 Kruse P et al Patterning of Vinylferrocene on H-Si(100) via Self-Directed Growth of Molecular Lines and STM-Induced Decomposition Nano Lett 2002 2(8) p 807-810

56 Kang JK and CB Musgrave A quantum chemical study of the self-directed growth mechanism of styrene and propylene molecular nanowires on the silicon (100) 2 x 1 surface The Journal of Chemical Physics 2002 116(22) p 9907-9913

57 Pei Y J Ma and Y Jiang Formation Mechanisms and Packing Structures of Alkoxyl and Alkyl Monolayers on Si(111) Theoretical Studies with Quantum Chemistry and Molecular Simulation Models Langmuir 2003 19(18) p 7652-7661

58 Buriak JM and MP Stewart Exciton-Mediated Hydrosilylation on Photoluminescent Nanocrystalline Silicon J Am Chem Soc 2001 123 p 7821-7830

59 Chen R et al Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Applied Physics Letters 2005 86(19)

60 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

61 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

62 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

14

2 Chapter 2 Experimental Detail of Silicon Surface

Modifications and Characterization Technique ndash FTIR

Spectroscopy

21 Introduction

Two classes of methods are applied to silicon surface modification based on starting silicon

surface For silicon dioxide surface organicsilane-based silanization was applied For

hydrogen terminated silicon (111) surface a thermal alkylation method adapted from

Chidsay et al was used[1 2]

22 Experimental

221 Materials

Float-Zone grown Si(100) from Silicon Valley Microelectronic (San jose CA) with thin

oxide ( ~ 60 Aring) is used for silicon oxide based silanization The native oxide was etched

away by diluted HF solution and re-oxidized in hot piranha solution It provides a dense

and uniform thin oxide layer with thickness 15-20 Aring

Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was

used for preparing hydrogen terminated surface The silicon wafer was doped with p to a

resistivity gt 30 Ω cm and polished on both sides to a thickness of 450 μm Si(111) samples

are cut to a size of 15 x 38 cm in order to fit into homemade sample holder for ALD

chamber

All solvents used in silanization and alkylation reaction were anhydrous (for detailed

information see the specific chapters respectively) stored under nitrogen-purged glove box

and used as received from Sigma-Aldrich Corp All chemicals were used as received

except where noted The deionized water with resistivity of gt182 MΩ cm obtained from a

Barnstead Nanopure system (Dubuque IA) was used at all time

15

222 Sample Preparations

2221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in a

hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yeild high quality oxide with high density of surface

hydroxyl group In addition the thickness of oxide can be appropriately controlled by

varying the time of dipping in the piranha solution

2222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into either N2 purged FTIR spectrometer

chamber for taking reference spectra or N2 purged glove box where all further chemical

functionalizations were conducted

16

2223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used for surface modification It is well

known that silanization process is very sensitive to many parameters such as water content

humidity glassware history resulting in the lack of reproducibility The experiments

reported in this work has been carried in N2 purged glove box with extreme care However

some parameters are still hardly possible to be controlled For example the mount of thin

water layer physically absorbed on the hydroxyl surface depends on the environmental

humidity In our experimental condition there was no chance to remove them or control

them The freshly cleaned silicon oxide sample was immediately introduced into the N2

glove-box after taking reference spectra The 01 (vv) silane solution (OTS BTCS TCS

APTES) is freshly prepared by pipetting 20 μL neat silane liquid into 20 mL anhydrous

toluene The sample was sitting inside the 01 APTES solution for a desired time and

rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried in

N2 (g) flow and transformed into FTIR chamber for measurement

2224 Thermal Alkaylation of H-Si(111) Surface

Neat alkene (ocatadecene (C18) (98) ) was pre-treated with 3Aring molecular sieve for

overnight and then N2 (g) bubbling for at least 1 hour right before the reaction It is very

important to have N2 bubbling for sufficient time period in order to get high quality SAM

without oxidizing the silicon surface After transferring the ocatadecene liquid into the

three-necked flask loading H-Si(111) sample and assembling the condenser tube in the N2

glove box the whole set was sealed and moved out from N2 glove box to a regular chmical

hood The octadecene was then continuously purged with N2 (g) for additional 30 min

before heating it up to reaction temperature of 200 degC in a silicon oil bath

23 Instrumentation FTIR Spectroscopy

231 Molecular Excitations and Vibrations

Not all molecular vibrations absorb infrared radiation It depends on the dipole moment of

the bond The electric field of incoming infrared beam alternately stretches and compresses

a polar bond If this alternate stretching and compressing of the bond occurs at the natural

17

frequency of vibration of bond energy may be absorbed This kind of vibration of bond

with dipole moments generally results in IR absorption (IR active) When the bond has

symmetric distribution and zero dipole moment the external electric field does not interact

with it As a consequence no IR absorption can be observed (IR inactive) However this

bond could still possibly be seen in IR spectrum whenever its symmetric becomes

non-symmetric for the part of time because of the effect from environments

232 Fourier Transform Infrared Absorbance Spectroscopy

Infrared Spectroscopy is powerful tool used for material analysis for over seventy years It

meansures the frequencies of infrared light absorbed by a compound Unlike conventional

dispersive IR where each wavelength of radiation is scanned individually and requires a

considerable amount of time an FTIR spectrum contains all frequencies scanned at the

same time (all included in the interferogram) The infrared spectrum represents a

fingerprint of a sample with absorption peaks which corresponds to the frequencies of

vibrations between the bonds of the atoms that make up the material Furthermore FTIR

offers high signal-to-noise ratio and can be used to detect even monolayer molecule on the

surface

In the surface science FTIR technique is particular useful tool for investigating the

surface-bound species and interface bonding of a chemically modified surface It provides

a non-destructive method to probe the sample surface and interface High sensitivity allows

FTIR to probe monolayer at the interface In addition with modern software algorithm it

makes FTIR a great tool for quantitative analysis

2321 Overview Figure 21 shows the overview layout inside a FTIR spectrometer (Nicolet) A

polychromatic infrared beam is emitted from source and then focused into the plane of an

adjustable aperture by an elliptical mirror The light hits a beam splitter and half of light

passes through directly to a fixed mirror and half of it reflected on a fast-moving mirror

Two beams are reflected back from mirrors and recombined at splitter forming

interferogram The encoded interferogram passes through the sample and finally reaches

the detector (Liquid nitrogen cooled MCT-A MCT-B or room temperature DTGS

18

detector) A helium-neon monochromatic laser beam is used as reference to calculate

position of moving mirror to make sure interferometer measures at exactly the same data

point

Figure 21 Inside layout of FT-IR Spectrometer (Nicloet)

2322 Interferogram The interferometer produces a unique type of signal which has all of the infrared

frequencies ldquoencodedrdquo into it The signal can be measured very quickly usually on the

order of one second or so Interferometers employ a beamsplitter which takes the incoming

infrared beam and divides it into two optical beams One beam reflects off from a flat

mirror which is fixed in place The other beam reflects off of a flat mirror which is on a

mechanism that allows this mirror to move a very short distance (typically a few

millimeters) away from the beam splitter The two beams reflect off from their respective

mirrors and are recombined when they get back at the beam splitter Because one path that

one beam travels is a fixed length and the other is constantly changing as its mirror moves

the signal which exits the interferometer is the result of these two beams ldquointerferingrdquo with

19

each other The resulting signal is an interferogram which has the unique property that

every data point (a function of the moving mirror position) which makes up the signal to

have information about every infrared frequency which comes from the source This means

that as the interferogram is measured all frequencies are being measured simultaneously

Thus the use of the interferometer results in extremely fast measurements[3] The

ldquoencodedrdquo beam passes through the sample and reaches the detector with the information

of sample A typical interferogram detected by the detector is shown in the Figure 22

υυδπυλδπυδ dBBI )2cos()()2cos()()( intint

infin

infinminus

infin

infinminus

== (Eq 1)

1000 2000 3000 4000

-8

-6

-4

-2

0

2

4

Volts

DataPoints

-002

000

002

3000

Zoom In

1000

Figure 22 Interferogram of silicon sample

2323 Fourier Transformation The signal received by detectors is still an interferogram in space domain (Figure 22) It

needs to be converted to the frequency domain by applying Fourier transformation ((Eq 2)

After employing the FT transformation to interferogram we obtain a single beam spectrum

20

as shown in Figure 24 (top two) Ideally when applying FT to interferogram it integrates

with the retardation value from negative infinite to infinite But this is physically

impossible because moving mirror travel only finite distance The setting limit on the

integration equally truncates the interferogram mathematically and the truncation results in

a finite line shape with side lobes after Fourier Transformation To eliminate the side lobes

an apodization functions is applied [4] There are different apodization functions that can

be used In this work all spectra are corrected using the Happ-Genzel apodization

algorithm

δυπδυ dIB )2cos()()( intinfin

infinminus

= (Eq 2)

2324 Experimental Set up and Absorbance Spectroscopy Processing In the conventional FTIR geometry a multiple internal reflection (MIR) geometry is often

used to increase the absorption signal ([5 6]) because the low number of total absorbers on

a crystalline Si surface (~ 1015 cm-2 monolayer -1) produce weak or undetectable signal

However this technique is in trade of increased signal with decreased detectable spectral

range so because of long path length multi-phonon absorption renders the silicon

substrate opaque at lt 1500 cm-1 Recent advance in FTIR technology have enabled the use

of transmission mode geometry to measure the low frequency infrared absorption mode of

surface specifies and its sensitivity allows measuring even a monolayer on the surface For

example on an atomically flat hydrogen terminated Si(111) surface the Si-H bond of

monolayer hydrogen on the top of surface is clearly represented by two very sharp peaks

Si-H stretching mode at 2083 cm-1 and its bending mode at 620 cm-1 [7-9] as shown in

Figure 24

In this work Nicolet Nexus 6700 Nexus 670 or Magna 760 were used in the

experiments All Infrared absorption spectra were collected in transmission absorbance

geometry as shown in Figure23 For maximum transmission signal the incident angle 74ordm

the Brewster angle for silicon is used At the Brewster angle p-polarized component (its

electric field of the light wave lies in the same plane as the incident ray and the surface

21

normal) completely transmits through the sample without reflection The sample is also

measured at 10ordm a nearly normal incident angle The incident-angle-dependence can

identify the orientation of the surface species and interface bonding The bonds with dipole

perpendicular on the surface will not be seen in the normal incidence

DetectorIR beam

interferometerθ

Sample

Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission

Geometry

Spectra are usually recorded for a surface before treatment as a reference and after

each surface modification The absorbance spectrum can be calculated with the following

equation from the single beam spectra of reference and sample (Eq 3) All positive

features in absorbance spectrum correspond to the newly formed bonds or adding materials

to the reference and all negative features correspond to the removal of material or breaking

bond of the reference sample In this way we are able to detect tiny changes of the amount

of monolayer on the surface and the interface with the removal of interference from

background(bulk silicon etc) An absorbance spectrum processed from two single beam

spectra are shown in Figure 24C

010log

IIA minus= (Eq 3)

All spectra are recorded with a resolution of 4 cm-1 with 1000 scans per loop of total 5

loops and the last three loops are averaged in order to improve the signal-to-noise ratio

(SNR) which is proportional to square root of a number of scans

In most cases absorbance spectra directly processed from single beam spectra

includes spectrum of water vapor and carbon dioxide and must be subtracted carefully A

22

Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated

Si(111) sample

This demonstrate that how we obtains the absorbance spectrum of freshly etched H-Si(111) by processing two single beam spectra recorded immediately before and after HF etching

23

water and CO2 spectrum used for spectral subtraction are generated with the same detector

under the similar experimental condition Usually we subtract two single beam spectra

from first two consecutive loops obtained for the same sample As the chamber is

constantly purged with N2 assuming sample itself is stable the spectra changes between

two loops are solely caused by the removal of water vapor and CO2 inside chamber by

purge A typical water and CO2 correction spectra are shown in Figure 25 The water and

CO2 subtraction should be processed separately All the spectra processing work

mentioned above and others such as base line correction and integration of peak area are

done using Ominc Software from Thermo Nicolet Inc

Figure 25 FTIR Spectra of water Vapor and CO2 gas

24 Instrumentation Atomic Layer Deposition Set-up

A home-built Atomic Layer Deposition reactor system with in-situ Infrared spectroscopy

is used for this study [10 11] The schematic drawing of ALD system is shown in Figure

26 The pressure in the ALD chamber is normally maintained at ~3 Torr for the deposition

and the silicon substrate is kept at 100 degC during Al2O3 deposition and at 60 degC during

24

FTIR measurements The sample temperatures are measured using a thermocouple clipped

to the substrate The H-terminated silicon samples are immediately loaded in nitrogen

(oxygen impurity lt 10-6 ppm) purged reactor chamber for Al2O3 deposition Growth is

performed by using alternative pulses of 5 sec TMA (carried with ultra-pure N2 gas) and 5

sec D2O mixture in a home-built ALD reactor connected to an FTIR spectrometer (Nicolet

Nexus 670 with external MCTB detector) for in-situ surface analysis The reactant sources

are introduced into the chamber through stain steel pipe by bubbling the sources (TMA

bubbler ot D2O bubbler) at room temperature The partial pressure of TMA (Shipley

OptoGrade) and D2O (Aldrich 999) are 1 mbar and ~10 mbar respectively The IR beam

is incidented at 70deg (~Brewster angle) and the IR transmitted KBr windows are protected

from contamination by closing gate valves during precursor exposure The shutters are

only opened to take IR measurements between depositions cycles after the chamber is fully

purged To prevent cross reaction between residual metal and D2O precursors the ALD

chamber is purged and pumped for 5 min with ultra-pure N2 gas after each precursor

exposure Post-deposition annealing is performed in-situ under N2 gas purge

Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission

FTIR spectroscopy set up

25

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Nicolet Introduction to Fourier Transform Infrared Spectrometry 2001 Thermo Nicolet Publication

4 Griffiths PR De Haseth James A Fourier transform infrared spectrometry 1986 New York Wiley

5 Boukherroub R et al Insights into the formation mechanisms of Si-OR monolayers from the thermal reactions of alcohols and aldehydes with Si(111)-H Langmuir 2000 16(19) p 7429-7434

6 Ozanam F A Djebri and JN Chazalviel The hydrogenated silicon surface in organic electrolytes probed through in situ ir spectroscopy in the ATR geometry Electrochimica Acta 1996 41(5) p 687-692

7 Rivillon S et al Chlorination of hydrogen-terminated silicon(111) surfaces Journal of Vacuum Science amp Technology A 2005 23(4) p 1100-1106

8 Rivillon S et al Gas phase chlorination of hydrogen-passivated silicon surfaces Applied Physics Letters 2004 85(13) p 2583-2585

9 Watanabe S and Y Sugita Anisotropic Dynamic Polarization of Surface Vibrations Associated with H on Stepped Si(111) Chemical Physics Letters 1995 244(1-2) p 105-110

10 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

11 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

26

3 Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study

of Surface Bonding and Interfacial Structure of

alkyltrichlorosilane-based SAMs on Silicon Dioxide

31 Introduction

Organosilane monolayers on hydroxylated oxidized silicon surfaces self-assembled

systems are one of the most studied SAMs system However there is considerable debate

on the mechanism of how SAMs forms on SiO2 surface and contradictory results are

keeping seen in different references Among them one of debated central questions is if

silane molecule is covalently anchored to the surface or instead cross-linked absorbed to

thin water layer of the surface only

The only reported spectroscopic evidence about interfacial covalent bond formed

between silane and surface is at ~1060 cm-1 (attributed to asymmetric stretching mode of

Sisubstrate-O-Si) reported by Tripp in his early work in 1995[1] In his work Tripp reported

that few if any Sisubstrate-O-Si surface bonds are formed However to our knowledge the

detailed information on the structure of SAMs on the interface especially when it forms

long range ordering on the interface is not clear and lacks spectroscopic evidence In this

work we study the direct evidence of the species covalently formed on the surface and

systematically investigate the interfacial structure of silane-based SAMs on SiO2 surface

using FTIR in transmission geometry

The key to understand surface bonding and mechanism of SAMs formation is spectral

region between 1000-1300 cm-1 which contains Sisubstrate -O-Si Si-O-Si Si-O-C modes

The overlapping multiple modes make it a very complicated band structure It may also

contain the contribution from bulk silicon Using absorbance FTIR spectra in the

transmission allows us overcome this problem and solely acquire information from the

interface

27

The advanced technology gives us enough sensitivity to detect the spectral region

below 1400 cm-1 in the transmission geometry which normally is inaccessible in

conventional multiple reflection geometry

Another topic covered in the chapter is how the chain length affects the interfacial

structure (head group cross-linking) and the packing of chains in the final self-assembled

monolayer Stevens[2] pointed out that the cross-linking must be prevented in order to get

fully covered and densely packed monolayer due to the steric interaction between

hydrocarbon chains Fontaine et al study the effect of head group cross-linking on the chain

packing in a Langmuir system without substrate influence using X-ray diffraction They

found that hexagonal structure at maximum packing density typical of long chain

amphiphiles is preserved during the polymerization process But the extent of the order is

a decreasing function of the number of cross-links [3] Using silane molecule with

different head groups which has different hydrolysis rate Fancis et al found that the faster

the cross-linking forms the less dense the film packs There is a competition between

packing of chains under van der Waals and cross-linking formation of head group When

the cross-linking process is slower the better (denser) chains packing will be achieved[4]

In this Study we use n-alkyltrichlorosilane with different chain length including

trichlorosilane (TCS) Butyltrichlorosilane (BTCS C4) Dodecyltrichlorosilane (DTS

C12) and octadecyltrichlorosilane (OTS C18) TCS is the simplest silane molecule with

only one hydrogen attached to Si atom which is used as a probe molecule to study

spectroscopic feature of interfacial bond

The use of trichlorosilane instead of alkoxysilane is based on two reasons chlorosilane

is much more reactive and can be quickly hydrolyzed which allows us solely focus on the

chain length effect and head group cross-linking Second alkoxy- head groups have larger

size than a chlorine atom It will put some additional steric restriction on the packing of

SAMs if they are not completely hydrolyzed This is very likely as the hydrolysis takes

place slowly In addition the previous studies have shown that alcohol products from

hydrolysis of alkoxysilane may react with the surface further thus introducing more

complexity on the surface As for the product HCl from chlorosilane there is no observed

significant evidence of reaction with surface [5 6]

28

Self-Assembling of alkyl chains is usually driven by the van der Waals (VDW)

attraction that favors formation of compact arrays of molecules with maximum contact

between chains and by the interaction between head-group and surface With increasing

length of alkyl chains van Der Waals attractive interaction between chains increases which

actually helps improve the pack density of chains and ordering of SAM[7] In general a

crystal structure will try to find a balance between packing as closely as possible so as to

maximize the van der Waals energy and packing as loosely as possible so as to maximize

molecular motion

The reproducibility problem still existed in forming siloxane SAMs on SiO2 substrate

since the monolayer formation is very sensitive to the reaction condition such as water

content and temperature The major intrinsic source of the reproducibility problem is the

coupling of polymerization (cross-linking) and surface anchoring (Sisubstrate-O-Si) Even

small difference in the water content for example humidity in the environment may lead

to significant difference in the monolayers quality and morphology This is one of the

reasons for a to considerable debate on how siloxane SAMs form on SiO2 [8]

32 Experimental

321 Materials

Float-Zone grown n-Si(100) from Silicon Valley Microelectronic (San jose CA) was

used The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both

sides to the thickness of 450 μm

Following chemicals were used as received except where noted (3-aminopropyl)

triethoxysilane (APTES) (98) trichlorislane (HSiCl3 TCS 99) Butyltrichlorosilane

(n-C4H9SiCl3 BTS99) Dodecyltrichlorosilane (n-C12H25SiCl3 DTS97) and

n-octadecyltrichlorosilane (n-C18H37SiCl3OTS gt90) Butyl (chloro)dimethylsilane

anhydrous toluene (998) anhydrous acetonitrile(998) (all from Sigma-Aldrich Corp)

29

322 Sample Preparations

3221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yield high quality oxide with high density of surface

hydroxyl group

3222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide layer

and produce an atomic flat H- terminated Si(111) surface During the etching step the

wafer was occasionally agitated to remove the bubbles formed on the surface After NH4F

etching sample is rinsed thoroughly with DI water and dried under a stream of N2 (g) The

sample was then immediately removed into N2 purged FTIR spectrometer chamber for

taking reference spectra or into a N2 purged glove box where all further chemical

functionalization were conducted

3223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used to engineer SiO2 surface It is well

known that silanization processes are very sensitive to many environment parameters such

30

as water content humidity glassware history etc where it usually lacks reproducibility

The experiment reported in this work had been carried in N2 purged glove box with

extreme care However some parameters can still hardly be controlled For example the

amount of thin water layer physically absorbed on the hydroxyl terminated SiO2 surface

depends on the environmental humidity In our experimental condition there is no way to

remove them or control them The freshly wet-cleaned silicon dioxide sample was

immediately introduced into the N2 glove-box after taking reference spectra The 01 (vv)

silane solution is freshly prepared by pipetting 20μL neat silane liquid into 20mL

anhydrous toluene Toluene is chosen as a solvent since it is known to dissolve an optimal

quantity of water (ie ~015 mgmL) necessary for the formation of well-packed silane

SAMs [9] The sample was then sitting inside the 01 APTES solution for a desired time

and rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried

in N2 (g) flow and transformed into FT-IR chamber for measurement

323 Characterization

Infrared absorption spectra of functionalized Si surfaces are recorded between 650cm-1

and 4000cm-1 on a Nicolet FT-IR spectrometer equipped with liquid nitrogen cooled down

MCT-A detector in transmission geometry The data acquisition is performed with an

incident IR beam at 74deg off normal (Brewster angle for silicon) and 10deg off normal

(This angle is chosen to minimize the possible reflection interferences observed at normal

incidence) A 4 cm-1- resolution is used and total 5 loops with 1000 scansloop are acquired

for each spectrum The deconvolution analysis of FTIR spectral was done using the ldquofit

multiple peak Gaussian functionrdquo and ldquoNonlinear Curve fitrdquo of ORIGIN 75 software

33 Results

331 TCS SAM on SiO2

Figure 31 shows FTIR spectra of TCS layer formed on the different oxidized silicon

substrates with different thickness of silicon dioxide The peak at 2253 cm-1 is attributed to

the stretching mode of H-SiO3 and corresponding bending mode is at 890 cm-1[10 11] The

31

band at 1000-1200 cm-1 belongs to the Si-O-Si asymmetric stretching mode typical for a

siloxane network or chains The band consists of several overlapping peaks that correspond

to Si-O-Si in different configurations The band between 800-900 cm-1 consists of at least

two peaks 830 cm-1 for stretching mode of Si-O-Si and 890 cm-1 for deformation mode of

H-SiO3 [12-14] The negative peak at 975 cm-1 is attributed to the surface Si-OH[15] The

disappearance of this mode accompanied with positive modes at 1000-1200 cm-1 directly

indicate the removal surface hydroxyl group by reacting with TCS silane

The position of peak that appeared at the range 1200-1260 cm-1 is found to be

substrate-dependent 1256 cm-1 for TCS on thick oxidized silicon 1236 cm-1 for thin

oxidized silicon substrate but it is absent for TCS film formed on H-Si(100) (Figure 31)

To quantify this dependence on substrate the thickness of SiO2 thin film is monitored by

checking the peak position of longitude optical phonon (LO) mode of asymmetric

stretching mode of Si-O-Si

As shown in the Figure 32 both LO and TO phonon mode of SiO2 blue-shifts with

increasing thickness of SiO2 film Although TO mode is believed to be more reliable than

LO mode to reflect the change of interface [16 17] LO mode is used here instead of TO

because the spectroscopic change caused by the peak shifting of TO mode locates within

the range of 1050-1065 cm-1 overlapping with the multiple vibration modes of Si-O-Si

from siloxane and is very difficult to be resolved LO mode usually between 1200 and

1260 cm-1 can be more easily differentiated from other bands of TCS and still can provide

qualitative information about SAM SiO2 interface

In Figure 33 the peak position of peak at 1200-1260 cm-1 after TCS deposition is

plotted against the peak position of LO mode of SiO2 substrate All other experiment

conditions are the same The position of this peak is linearly correlated with the peak

position of the LO phonon mode of SiO2 substrate below 1240 cm-1 spectral range This

phenomena is similar to the earlier study by Queeney on thermal SiO2Si interface[18] For

thermal thin silicon oxide the position of LO mode is positively correlated with the

thickness of thin SiO2 film within the range of 1215-1240 cm-1 When SiO2 film becomes

thicker this thickness-dependence becomes negligible the position of LO mode reaches

the plateau at ~1255 cm-1 and becomes less sensitive to the change of SiO2 thickness In our

experiment the average deviation of this peak from the original position of LO mode of

32

Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with Different Thickness FTIR spectra of TCS layer deposited on a thick SiO2 (LO1254 cm-1) b thin SiO2 (LO1225 cm-1) c oxidize free H-terminated Si(100) surface The thickness of oxidized silicon layer is monitored by the position of LO phone mode of SiO2 shown on the Figure 2 The peak at 880 cm-1 is assigned to deformation mode of H-SiO3 and 830 cm-1 is due to Si-O-Si symmetric stretching mode

33

Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 The FTIR Spectra are obtained for SiO2 substrate with different SiO2 thickness used for TCS functionalization Both Spectra are referenced to the oxide free H- terminated Si(100) surface

34

substrate is 12 cm-1 as shown in Figure 33 Keeping in mind this peak is not a real peak

but a differential peak due to the peak shifting of LO As shown in a schematic drawing in

Figure 34 the actual peak shift is less than this number This thickness-dependence

strongly suggests that TCS modification of SiO2 is equivalent to added SiO2 layer to SiO2

substrate The Si-O from TCS is coupling with Si-O-Si network in the SiO2 substrate TCS

molecule must covalently form Si-O-Si bond with substrate Si atom in order to have such

strong coupling of asymmetric stretching mode of Si-O-Si between added siloxane layer

and silicon oxide substrate Based on the size of TCS molecule it is theoretically possible

to match it to Si-O-Si network of silicon oxide of substrate This is very clear evidence for

interfacial structure formation between siloxane and SiO2 substrate

In order to further confirm that the peak within 1200-1260 cm-1 is related to interfacial

structure not contributed from the siloxane layer itself the spectra of polymerized TCS

thick film (poly-TCS) made from mixing TCS with excess water are acquired As shown

in the Figure 35 the intensity of all spectroscopic features related with polymerized-TCS

layers increase proportionally various Si-O mode including polymeric (Si-O-Si)x

stretching modes at 1000-1200 cm-1 and corresponding bending mode at 830 cm-1 the

stretching mode of H-SiO3 at 2250 cm-1 and bending mode at 871 cm-1 However the peak

within 1200-1260 cm-1 is not seen in the spectra of poly-TCS Although we cannot tell if it

exists and hides in the broad band of 1000-1200 cm-1 we could at least make the

conclusion that this peak is not contributed from the polymerized layer but from interfacial

structure The detailed analysis of spectral region 1000-1200 cm-1 will be conducted in the

following session

35

Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon

Mode of Oxidized Silicon Substrate

The X-axis is position of LO phonon mode of fresh cleaned SiO2 substrate Y-axis is position of peak at 12xx cm-1 observed in the absorbance spectra of TCSSiO2 referencing relative to the starting cleaned SiO2 surface

Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1

This drawing shows as effective SiO2-like layer is added to the initial SiO2 film by depositing TCS on SiO2 the peak of LO phonon mode is shifted to the higher frequency with increasing intensity(red) As a result a differential peak (green) depending on the

12 cm -1

36

peak position of LO phonon mode of initial SiO2 substrate is appeared at even higher frequency when spectra are referenced to the initial SiO2 surface

1000 1500 2000 2500 3000 3500

TCS 18h

973

~005

Abso

rban

ce

Wavenumber(cm-1)

10-3

b

interface

10851170

2256881

10-2

a

10701157

υH-SiO3

~058 poly-TCS2250

871

Figure 35 FTIR Spectra of Polymerized TCS film on SiO2

FTIR Spectra of a polymerized TCS film formed by mixing TCS with water and then quickly deposited on an oxidized silicon surface b TCS thin layer deposited from 01 TCS in anhydrous toluene The spectra are displayed at different scale shown by scale bars in the figure Both spectra are referenced relative to the initial clean SiO2 surface The peak of stretching mode of H-SiO3 is shifted from 2256 cm-1 for the TCS monolayer to 2250 cm-1 for polymerized TCS and the corresponding H-Si bending mode is shift from 881 to 871 cm-1 The peak at ~1230 cm-1 does not proportionally increase with increase of thickness of film indicating it is interface features

37

Since the stretching mode of H-SiO3 of TCS at 2253 cm-1 does not overlap with other

bands it is sensitive to bond environment such as the electro-negativity of the other

substituents attached to Si atom So we can use it as a probe to estimate the total amount of

absorbed TCS Figure 36a shows the correlation of integrated area of stretching H-SiO3

and integrated area of region 1000-1200 cm-1 contributed from various modes of Si-O-Si

Figure 36b shows the correlation between integrated area of stretching H-SiO3 and

integrated area of peak at 1200-1260 cm-1 A perfect linear relationship (with R=0994)

between integrated area of H-SiO3 and Si-O-Si suggests that both of them are proportional

to the amount of siloxane attached on the surface On the contrary for the integrated area of

peak at 1200-1260cm-1 it is not correlated with the amount of siloxane absorbed on the

surface and remains unchanged with average value at ~0015cm-1 when integrated area of

H-SiO3 is less than 006 cm-1 This suggests that this peak is contributed from the interface

The saturation also suggests that the number of interfacial Sis-O-Si bonds might reach its

maximum at this particular experiment condition

Is this peak at 1200-1260 cm-1 caused by the oxidization of substrate in the solvent or is

it really caused by the attachment of TCS siloxane To answer this question the effect of

solvent on the substrate is checked Figure 37 shows the spectral change of clean oxidized

silicon after the exposure to anhydrous toluene for a significantly long period of time(3

days) The tiny peak at the position of initial LO phonon mode (~1213 cm-1) of underlying

SiO2 substrate indicates that the surface oxidization caused by solvent has negligible effect

on the formation of observed peak at 1200-1260 cm-1

In summary we have identified that the peak at 1200-1260 cm-1 is a spectral feature

related to interfacial covalent Si-O-Si bond formed between TCS siloxane and SiO2

substrate It is a direct evidence that TCS forms desnly covalent bond with the SiO2 by

strong coupling with Si-O-Si network of SiO2 substrate

38

002 004 006 008 01000

02

04

06

08

10

12

Inte

grat

ed A

rea

of S

iOS

i Reg

ion

Integrated Area of υ(H-SiO3)

002 004 006 008 0100005

0010

0015

0020

0025

0030

Inte

grat

ed A

rea

of B

and

at 1

200-

1260

cm

-1

Intergrated Area υ(H-SiO3)

Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area

of H-SiO3 and Peak at 1200-1200 cm-1

39

332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2

Figure 35 shows FTIR spectra of TCS SAM and poly-TCS film We are particularly

interested in the multiple modes of Si-O-Si overlapped at 1000-1200 cm-1 and H-SiO3

mode at ~2250 cm-1 Both regions reveal important information for characterizing structure

of SAM or thick polymerized film Besides the difference discussed in the last session

about peak at 1200-1260 cm-1 a noticeable peak shift is found for both peaks of Si-O-Si

and H-SiO3 Both are blue-shifted to higher frequency for the TCS-SAM

Our approach is to use the knowledge from analyzing modes of the poly-TCS film to

help interpret the spectra of SAMs with alkyl chain on SiO2 In the spectra of monolayer

the interfacial structure becomes dominated and the difference comparing to thick

polymeric film will reflect the characteristics of interfacial structure

H-SiO3 Mode

H-SiO3 mode is centered at 2250 cm-1 for poly-TCS and blue-shiftes to 2256 cm-1 for

TCS-SAM It is well known that both stretching and bending mode of H-SiOx is sensitive

to environment of back bond Si bonding For example depending on the number of oxygen

atom to which Si atom bonded the peak position of H-Si stretching mode at 2260 cm-1 for

H-SiCl3 and it shifts to lower wavenumber at 2250 cm-1 with substitution of all Cl with O

atom[19] The different peak position of H-SiOx mode can be also explained as different

configuration of TCS on substrate and in the polymerized film In the poly-TCS TCS bond

to other silane molecule through Si-O-Si bridge forming a thick layer while on the surface

at least one or two Si atom of a TCS molecule may bond to surface silicon atom and is more

restrained The schematic drawing is shown in the Figure 38

40

Figure 37 Toluene Effect on Oxidize Silicon Substrate

The FTIR spectra of clean oxidize silicon after exposure to a TCS for 20 hours b Anhydrous Toluene for 3 days The changes in the region of 1200-1260 cm-1 induced by surface oxidization are negligible compared to the spectroscopic feature of TCSSiO2 in the same region

Si OH

H

O

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

OSi

SiOH

H OH

I II

OHSi

H

SiOH

H

OSi

H

O

O

SiO

HO O

SiO

H

On Surface Poly-TCS

Figure 38 Different TCS configuration on the Surface and polymerized film

41

Si-O-Si Mode

Because the Si-O-Si asymmetric stretching band at 1000-1200cm-1 includes multiple

contributions from Si-O-Si band at different configuration it is extremely difficult to

characterize it First we analyze the band of poly-TCS by deconvoluting it into four

Gaussian peaks centered at 1023 1063 1115 1178 cm-1as shown in Figure 39

The assignments are made partially based on Grill earlier work and references to it [20]

The 1023 cm-1 is attributed to asymmetric stretching of Si-O-Si with a small bond angle

that might be encountered in networked silicon suboxide (with non-oxygen atom H CH3

etc attached to Si) It may also include contribution from bound six-membered rings

contained in the poly-film [21 22] Depending on the density of poly-film a LO-TO

splitting may exist 1023cm-1 could be TO mode of asymmetric stretching of Si-O-Si with

small bond angle and corresponding LO mode that exists at ~1220cm-1 which may be

hidden in the broad peaks [23]The ~1060cm-1 is assigned to Si-O-Si in networking

structure and 1115 cm-1 can be assigned to Si-O-Si in cage structure with large Si-O-Si

bond angle of approximately 150deg or larger [20] [12 14 24 25] It should be noted here

first before we determine the assignment to 1178cm-1 Since Grill uses 30deg incidence angle

when he recorded IR spectra it is near normal incidence and the LO mode of Si-O-Si may

not be seen even if there is LO-TO splitting existing in amorphous poly-film[23 26]

Indeed in our further polarization study with setting incidence angle of IR beam at 10deg we

found that the higher frequency portion of band 1000-1300 cm-1 significantly reduced at

10deg incidences which makes us feel confident on assigning 1078 cm-1 to the LO mode of

asymmetric stretching mode of Si-O-Si in networked structure with small Si-O-Si bond

angle The corresponding TO mode is seen at 1063 cm-1 as mentioned above Some other

possible contributions to band at 1200-1260 cm-1 may come from the LOTO mode of

Si-O-Si in a four-membered rings structure [23 26 27]

TCS-SAM

Figure 310 shows the deconvolution of 1000-1300 cm-1 for TCS-SAM formed on SiO2

Four Gaussian peaks centered at 1061 1121 1178 1232 cm-1 are used to fitting the peaks

Compared to poly-TCS 1023cm-1 is not seen and the new peak at 1221 cm-1 becomes very

apparent The imposition of all four sub-peaks gives two dominate peaks at 1085 and 1170

42

cm-1 which is higher than 10701157 for poly-TCS film The absence of 1023cm-1 peak

may suggest that networked sub-oxide with smaller Si-O-Si angle less than 144deg (indicated

by ~1028cm-1) does not appear in the SAM

As we discussed in the last section 1232 cm-1 is induced by increasing effective

thickness of SiO2 caused by the formation of dense interfacial Si-O-Sis bond between TCS

and substrate It should have corresponding TO mode at ~1060 cm-1 Here we assign

10611232 cm-1 to the Si-O-Si of SiO2 due to modification of SiO2 substrate by attaching

TCS molecule The Si-O-Si is tightly restrained to the substrate Si-O-Si through covalent

surface bonding (Sis-O-Si) and coupled with Si-O-Si network of substrate

Based on the general knowledge the intense peak at 1063 cm-1 is likely an imposition

of two peaks at the close position with different origin One is TO mode at 1232 cm-1 and

another one is TO mode at 1176 cm-1 10601178 cm-1 could be assigned to TO-LO mode

of networking Si-O-Si between two adjacent molecules It is previously suggested that not

every (or even only few) silane molecule is bonded to surface Currently we cannot

quantify the exact ratio of amount of interface Si-O-Si to Si-O-Si between molecules

however we believe that sufficient amount of Sis-O-Si is formed in order to generate

observered coupling with SiO2 substrate 1121cm-1 could be assigned to the Si-O-Si with a

large bond angle in an open structure (cage-like) They donrsquot have LO-TO splitting

possibly because of weak dipole coupling between nearby Si-O-Si bond in such

configuration

43

1000 1100 1200 1300

0000

0002

0004

0006

0008

0010

0012

0014

0016

0018

0020

0022

TO

1028

1063

1115

Abso

rban

ce

Wavenumber (cm-1)

poly-TCS 4 peak modes LO-TO Splitting

1178

2x10-3

LO

Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode) A deconvolution of the spectral region 1000-1300 cm-1 was performed by non-linear least squares fitting methods (in Originreg Software) by means of Gaussian functions By assuming all dominated band from the film itself and not from interface we find a best fit using 4 peaks Our deconvuliton is considered both Si-O-Si in different configurations (with different bond angle) and the possible LO-TO splitting of some of Si-O-Si modes LO-TO splitting depends on the degree of long-rang interactions in the film

44

1000 1100 1200 1300

00000

00005

00010

00015

00020

1230

1178

1065

SAM-TCS 4 peak ModeAb

sorb

ance

Wavenumber (cm-1)

1125

Figure 310 Deconvolution of Si-O-Si absorption band of TCS films

The peak at 1121 cm-1 is attributed to Si-O-Si with large angle in a cage structure 1061 cm-1 and 1178 cm-1 are assigned to the stretching mode of smaller angle Si-O-Si in a networking structure 1232 cm-1 is induced by shifts of LO mode of underneath SiO2 because of increasing effective thickness of SiO2 caused by the formation of interfacial Si-O-Si bond

45

333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2

(Chain Length Effects on Head group cross-linking Structures)

TCS as the simplest trichlorosilane provides a good model to characterize the

structure of interfacial bonding and siloxane layers Because of only hydrogen atom

attached to Si there is essentially no steric restriction for TCS head group cross-linking In

this section we will further check how alkyl chain length affects the head group

cross-linking

First of all we have checked the attachment of monochlorosilane to SiO2 As shown in

Figure 311 a strong sharp peak at 1099 cm-1 is directly assigned to Sis-O-Si bond between

silane molecule and substrate Other positive peaks at 1261 and 2964 cm-1 are related to

alkyl chains and are assigned to CH3 rocking mode and its stretching modes The negative

peak at ~980 cm-1 is previously assigned to stretching mode of surface hydroxyl group

Sis-OH[15 28] It is consistent with that silanol group of hydrolyzed silane that is

condensed with surface hydroxyl group forming Sis-O-Si Another negative peak at 1230

cm-1 is associated with modification of SiO2 substrate by the attachment of silane When

silane molecule covalently bonds to surface Si atom it disturbs the longitude optical mode

of Si-O-Si network of substrate SiO2 which is sensitive to long range interaction These

negative features also strongly suggest covalent attachment of silane molecule to surface

(as shown in Figure 312)

Figure 313 shows the comparison of TCS BTS (C4) DTS (C12) and OTS (C18) SAM

formed on SiO2 substrate As a comparison a spectrum of monochlorosilane from Figure

311 is relisted on the bottom

46

1000 1500 2000 2600 2800 3000 3200

0001

0002

0003

ρCH3 1261

1236

υSi-OH 980

Si CH3CH3 Cl

CH3

Abso

rban

ce

Wavenumber (cm-1)

2964υCH

1099υSis-O-Si

2x10-4

Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2

FTIR Spectra were recorded after wet-chemistry cleaned SiO2 exposed to monochlorosilane solution for 20 hours A strong sharp peak at 1099 is assigned to Sis-O-Si bond between silane and substrate[29] Other postive peaks at 1261 and 2964 cm-1 are related to alkyl chains and are assigned to CH3 rocking mode and CH stretching mode The negative peak at 980 cm-1 is assigned to Si-O stretching of the surface isolatedgeminal groups [30] and 1236 cm-1 is assigned to LO mode of SiO2 substrate

OH

SiO

OH

SiO

OH

SiO

OH

SiO

OHSi

O

OH

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

SiCH3CH3

CH3

OH

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

O

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

ClH2O

condensation

HClH2O

Figure 312 A reaction between Monochlorine silane and SiO2 substrate

Formation of Sis-O-Si should be a dominated reaction The cross-linking between molecules is impossible Binding of silane molecule to substrate will perturb the original Si-O-Si network in substrate

47

1000 1500 2000 2500 3000

0042

1467υCH3

υCHυH-SiO3

1099υSi-OH

BTMS (monochorosilane)

OTS C18

DTS C12

BTS C4

Ab

sorb

ance

Wavenumber (cm-1)

TCS

υSis-O-Si

973 interface SiO2

10-3

0026

Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes with different chain length

FTIR spectra of SiO2 exposed to TCS solution for 18 hours and BTS (C4) solution for 48 hours DTS (C12) 48 hours and OTS (C18) 3 days were compared (longer time to allow saturation) In addition a spectrum of clean SiO2 exposed to Butyl (chloro) dimethylsilane (BCDMS) is listed on the bottom as a further comparison BCDMS have only one reactive chlorine group and cannot form cross-linking with each other The band peaked at 1100 cm-1 attributed to Sis-O-Si surface linkage provides insightful information for deconvoluting the complicate region at 1000-1200 cm-1 for trichlorosilane

48

Si-O-Si Region (1000-1200cm-1)

As what we expect TCS has highest integrated peak area of 1000-1200 cm-1 It

suggests TCS form denser Si-O-Si cross-linking BTS and DTS show lower integrated

peak area at the same spectral range suggesting less dense Si-O-Si cross-linking forms

Although for monochlorosilane (BCDMS) the band peaked at ~1100 cm-1 is

straightforwardly assigned to Si-O-Si surface linkage[28] we cannot simply assume the

same mode of Si-O-Si of TCS since BTS will be also in the same position as BCDMS at

1100 cm-1 On the contrary a shift is expected The difficulty here is that the exact position

of this mode varies depending on the bond environment The Si-O-Si-R will be different

from Si-O-Si-O- (see Figure 314 below) This may explain different value for Si-O-Si

seen in the different references For example in the earlier study by Tripp et al they found

asymmetric stretching mode of Si-O-Si at 1060 cm-1 [31] for a monochlorotrimethylsilane

which is 1080 cm-1 for trichlorosilane on silica [19]

Figure 314 Sis-O-Si in different configuration Different group attached to Si

Si

O

Si

CH3

CH3 CH3Si

O

Si

CH3

CH3Si

O

Si

CH3

OH O

A B

49

For OTS-SAM it has higher integrated area of 1000-1200 cm-1 and with intense peak

at 2250 cm-1 which is assigned to H-SiO3 stretching mode For the origin of H-SiO3 it will

be discussed later With presence of H-SiO3 mixed in the SAM it may reduce the steric

interaction and decrease the alkyl chain packing density while the density of Si-O-Si

cross-linking increases

The saturated integrated area of CH2 stretching mode at 2800-3000 cm-1 is 004 for

BTS 006 for DTS 090 for OTS (Niharika Unpublished data) The factors affecting this

value include chain length packing density and orientation

There is negative peak at ~973 cm-1 in the spectra of BTS and DTS SAM indicating

removal of surface Si-OH group Both have not shown spectral features at ~1230cm-1 as

seen in the TCS-SAM suggesting BTS and DTS donrsquot form dense interfacial Si-O-Si

linkage on the oxidize surface as TCS does This is expected because the steric interaction

may prevent BTS or DTS from forming dense cross-linking OTS-SAMSiO2 has shown

similar negative feature at ~973 cm-1 but positive feature around ~ 1230 cm-1 a similar

feature as TCS As we discussed in the previous session it is the evidence that OTS forms

dense long-ranged cross-linked Si-O-Si and is coupled with Si-O-Si of SiO2 substrate

which is equivalently increases the thickness of SiO2 by adding a layer of Si-O to the SiO2

substrate At the same time the stretching mode of CH2 group of alkyl chains is found at

2921 cm-1 suggesting formation of well ordered SAM This ldquoabnormalrdquo behavior of OTS

compared to DTS BTS will be discussed later

We have further polarization study for OTSndashSAM Figure 316 shows Si-O-Si spectral

region (1000-1300 cm-1) of OTS-SAM formed on SiO2 surface with deconvolution into

four components centered at 1061 1108 1150 1195 cm-1 The spectra were recorded at

both 74deg and 10deg incidence angle in transmission geometry The intensity of modes at 1150

and 1195 cm-1 are significantly reduced when the spectra are taken at 10deg incident

angle(near normal) suggesting both may attribute to the LO mode of asymmetric

stretching of Si-O-Si 10611195 cm-1 might be assigned to TOLO mode of Si-O-Si in

4-ring configuration 1108 and 1150 cm-1 could be assigned to TOLO mode of Si-O-Si in

a configuration with larger Si-O-Si bond angle Polarization dependence shown above

suggests the existing of LO component (a similar dependence seen in the case of infrared

spectra of SiO2)

50

1000 1500 2000 2500 3000-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005Si-C SAM (Alkene HSI111) vs SiO SAM (OTSSiO2)

~002

~05

1467ρCH3

υSiO

2083

2256υH-SiO3

υSiH~0084

~0093

Abs

orba

nce

Wavenumber (cm-1)

Si-CHSi(111)

OTSSiO2

υCH28502918

10-3

Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different

Substrate

FTIR Spectra were recorded for H-Si(111) sample after thermally alkylated with octadecyldecene (C18 Si-C SAM) The spectra was referenced relative to freshly etched H-Si(111) surface Si-O SAM was formed by immersion SiO2 sample into the OTS solution for 3 days at 4 degC The spectra were referenced relative to clean SiO2 surface Since both have same length of carbon chains (C18) we could use the Si-C SAM which is better defined as reference to predicate the packing status of OTS SAM (The tilting angle may be different and may lead to different integrated area even when both have same number density of alkyl chains)

51

Comparing to TCS-SAM Si-O-Si modes of OTS-SAM appear at higher wavenumber

(blue-shifted) This might be explained because the chain-chain VDW interactions of OTS

have impact on the head group cross-linking Si-O-Si Such cross-linking will introduce

topological constraint on the head structure resulting in shift to higher frequency

34 Discussion

The region 1000-1200 cm-1 of infrared spectra of siloxane SAMs on SiO2 provides very

useful information on SAMSiO2 interface for both inner structure of SAMs and thick

siloxane poly-film However interpreting this region of amorphous film is extremely

difficult because this region includes contribution from multiple modes of Si-O-Si whose

actual peak position is very sensitive to local bond environment and varies with different

Si-O-Si bond anglesUnlike thermal grown SiO2 the Si-O-Si may exist in more

complicated configurations for SAMs or poly-film with different bond angle and

attachments of different groups (H Cl CH3 etc) to Si atom In addition each Si-O-Si

asymmetric stretching mode may have TO-LO splitting and shows two modes instead of

one in the spectra The TO-LO splitting appears when near-by Si-O-Si can form strong

coupling This is also environmently-dependent And in an amorphous film (like siloxane)

the environment can vary a lot depending on preparation conditions

FTIR spectra of TCS-SAMSiO2 clearly show that substrate-dependent positive peak

appears at ~1200-1260 cm-1 It is assigned to LO mode of coupled Si-O-Si between

siloxane and SiO2 substrate The shift of LO mode to higher wave number with increasing

intensity strongly suggests the added siloxane layer be well cross-linked and coupled with

substrate Si-O-Si This is equally like when TCS-SAM modifies the SiO2 substrate by

adding SiO2ndashlike layer on top of it In order to have strong couple with Si-O-Si in substrate

a dense Si-O-Si covalent linkage is needed This is evidence that TCS is covalently

anchored to SiO2 substrate through Sis-O-Si by ondensation with surface Sis-OH group

Based on this fact it is unlikely that TCS is only physically absorbed on the surface

52

1000 1100 1200 1300

TO1 TO2LO2

10o

Abso

rban

ce

Wavenumber (cm-1)

LO1

υasym Si-O-Si

OTS

OTSSiO2 11-02-2006

10-3

74o

Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 Four Gaussians component with maximum at around 1061 1108 1150 1195 cm-1 are used to fit the spectra The mode at 1150 and 1195 cm-1 are significant reduced in the spectra taken at 10 incident angle(near normal) suggesting both are most likely LO mode of asymmetric stretching of Si-O-Si 10611195 might be assigned to TOLO mode of Si-O-Si in 4-ring configuration 1108 and 1150 might be assigned to TOLO mode of Si-O-Si in different less tensioned configuration Comparing to TCS-SAM Si-O-Si modes in OTS-SAM appear at higher frequency (blue-shifted) This might be attributed to the chain-chain VDW interactions of OTS that have impact on the head group (more strained in OTS) cross-linking Si-O-Si

53

The blue-shift (with increasing intensity) or red-shift (with decreasing intensity) of the

LO phonon mode of SiO2 depends on how siloxane bond to substrate at the interface In

case of monochlorosilane no cross-linking is formed The Si-O-Si network of SiO2 is not

able to couple with single Sis-O-Si linkage Instead the attachment actually disrupts the

vibration of Si-O-Si in the very first layer of SiO2 substrate (ie reduced SiO2 thickness)

As a consequence a negative peak appears at the lower wavenumber relative to the original

position of LO mode The packing density of cross-linking of Si-O-Si may also determine

the appearance of LO mode In trichlorosilane with alkyl chain like BTS DTS even

though they can form cross-linking we didnrsquot observe the positive peak at the position of

LO mode as we did for TCS-SAM Instead we observe how a negative peak at the position

with lower wavenumber relative to the LO mode of SiO2 substrates as well

This can be explained because the steric interaction prevents them from forming dense

coupled Si-O-Si This is consisted with the fact that the integrated peak area of 1000-1200

cm-1 (Si-O-Si region) is significantly less than TCS-SAM In addition the alkyl chain

attached to Si-O-Si (ie R-Si-O-Si) may decouple surface Si atom from Si-O-Si network

vibration And the spray of alkyl chain on the surface also reduces the surface attachment

of silane molecule

There is long-time debate whether silane bond is substrated or just cross-linked and

physically absorbed on the top of a thin water layer And there is only few reports on the

evidence of formation of interfacial covalent bond Sis-O-Si In earlier work by Tripp[19]

he assigned 1080 cm-1 to covalent bond Sis-O-Si by investigating TCS on silica The peak

frequency was also previously suggested at 1060 or 1100 cm-1[1] The variance of the peak

position may be due to the different bond environments of Sis-O-Si In the Tripprsquos work

however he found only few Sis-O-Si forms

The appearance of either positive or negative peaks at range of 1200-1270 cm-1

strongly suggests that silane is indeed covalently bonded to SiO2 substrate and forms

Sis-O-Si The Sis-O-Si could either help to couple (positive peak) or decouple (negative

peak) with the original LO mode of substrate depending on the packing density

54

HH H

HH

H

OO

SiO

SiO

SiO

SiO

SiO

SiO

Si

OH O OH

Si OO

Si

O

OSi

OHOH

Si OHSi OSi

OOH

Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

Si-O-Si inside the SAM and poly-siloxane film

Besides the mode at 1200-1260 cm-1 that we discussed above we further characterize

the film structure by analyzing 1000-1200 cm-1 region which is dominated by the

asymmetric stretching mode of Si-O-Si at various configurations We start our analysis

from poly-siloxane as it has higher reproducibility

The peak position of Si-O-Si asymmetric stretching mode depends on the Si-O-Si

bonding angle The peak red-shifts with reduction of Si-O-Si bond angle and blue-shifts

with increasing of Si-O-Si bond angle[32 33] In fully relaxed stoichiometric thermal

silicon oxidizes grown at temperature gt1000 degC the bond angle is reported to be ~144deg

with IR spectral absorbance at around 1080 cm-1 However in low temperature

stoichiometric silicon oxidizes this band is observed to decrease from 1080 to 1060 cm-1 as

the Si-O-Si bond angle increases A decrease in the frequency of Si-O-Si asymmetric

stretching has also been observed for the silicon atoms having one or more non-oxygen

neighbors [32 34]

FTIR spectra demonstrate that TCS poly-film has overall red-shifted peak at

1000-1200 cm-1 regions compared to that of TCS-SAM This is expected inside the

poly-TCS film the spectra are dominated by the Si-O-Si networking of the thick film and

is less constrained than that of Si-O-Si formed at the interface of SAMSiO2 with covelant

bond to substarte Si As a consequence the mode of Si-O-Si occurs at the lower

wavenumber (red-shift) inside poly-TCS compared to Si-O-Si network at the interface of

SAMSiO2 The similar red-shift trend is found for other types of poly-film system

55

compared to SAMs forming on the surface For example APTESSiO2 TOLO is

10371137 cm-1 for poly-APTES film and 10351178 cm-1 for APTES-SAMSiO2

The blue-shift of LO mode for SAMs compared to poly-film (thicker film) is

apparently different from what is observed in the case of thermal silicon oxide In a thermal

thick oxide it is found that the LO mode is red-shift with decreasing thickness of SiO2 [18]

This is because that suboxide and stoichiometric at the SiO2Si interface become

dominated with decreasing thickness of SiO2 layer and the Si-O-Si in the suboxide

structure (Si bond to some non-oxygen atom instead of O) is at the lower frequency [35]

For siloxane layers when the thickness of film reduces from thick poly-film to

monolayer the interfacial structure becomes dominated which constrained Si-O-Si and

Sis-O-Si more than the loosely networked Si-O-Si in poly-film with existence of multiple

configuration[20] Inside the poly-siloxane if the Si-O-Si bond angle is open to higher

degree in a more cage-like structure this peak will shift to higher frequency sometime as

high as 1180 cm-1 In a more network-like structure the Si-O-Si bond angle reduces to lt

144 degree and the asymmetric Si-O peak position may shift down to lower frequency at

1060 cm-1 or lower[32 36] Because both configuration might co-exist inside

poly-siloxane it maybe used to explain the split to two peaks at 1030 and 1180 cm-1 for

polymeric (Si-O-Si)x [1]

We have also compared the alkyl chainrsquos effect on poly-siloxane structure FTIR shows

LOTO of poly-OTS (C18) (10951180 cm-1) appear at much higher frequency than

poly-TCS (10701157 cm-1) but with nearly the same LO-TO separation This difference

is consistent with our analysis above The steric interaction between alkyl chains may put

extra strain on the head group cross-linked Si-O-Si and cause band blue-shifted compared

to TCS which lacks constraints

The deconvolution of Si-O-Si asymmetric stretching modes at 1000-1200 cm-1 shows

that all the following modes exists Si-O-Si in network cage suboxide configurations as

suggested by Grill[20] Our polarization study further suggests that LO-TO splitting exists

for some of Si-O-Si configuration The LO-TO splitting has been previously used to

interpret complicated 1000-1200 cm-1 region in amorphous organosilicon polymers[23 26]

and amorphous SiO2 structure [37] [38] [39] [40] although there is still controversal

concern about the existence of TO-LO splitted in disordered material

56

For thermal SiO2 the currently accepted modle about a-SiO2 is a continuous random

network in which the dihedral angle of bridging oxygen is a random variable The

asymmetric stretching mode of O in the Si-O-Si bond gives two predominate features the

transverse optical phonon (TO) at 1060 cm-1 and longitudinal optical model (LO) near

1254 cm-1 It is found that both modes will shift with the change of thin SiO2 film thickness

and it has been explained as that either compressive stress (interfacial strain) [41] or

subtioichiometry [18 35] or both [42] may influence the shift of TO and LO peaks LO-TO

splitting is considered as a consequence of long-range Columbic interactions for a given

oscillators and being sensitive to any kind of internal network structural changes

Mechanism of Formation of trichlorossilaneSiO2

Comparing to TCS SAMs form from trichlorosilane with alkyl chains (except OTS

C18) shows less Si-O-Si bond and a negative (instead of positive) peak at the range of

1200-1260 cm-1 suggesting formation of disordered and less dense siloxane film with

covalent bonding to SiO2 substrate Based on the above mentioned analysis there are

possibly two different mechanisms for formation of alkyltrichlorosilane on SiO2 surface

depending on chain length

For alkyltrichlorosilane with short chain like BTS and DTS because of the relatively

very reactive Cl- head group the Si-O-Si cross-linking quickly forms at room temperature

and as a consequence a disordered SAM forms on the SiO2 It is previously suggested that

it is more difficult to achieve ordered SAMs with shorter alkyl chain [43] and in order to

get an ordered SAM a reaction temperature must be lower than its critical temperature[44]

The silane with shorter alkyl chain has lower critical temperature (for example 0 degC for a

chain having 10 carbon and 38degC for 22 carbon chain [44 45]) This is a result of

competition between cross-linking and van der Waals attraction force At the lower

temperature cross-linking (chemical reaction) is significantly suppressed and VDW force

becomes dominant and helps to form more ordered SAMs Indeed anything that can

increase formation of cross-linking will result in a loss of coherence of the lateral order

For example a decrease in the lateral order with increasing pH is reported where it is

known that higher pH accelerates the creation of cross-linking Si-O-Si [3]

57

Stevens [2] suggested that the fully covered dense monolayer cannot be realized if the

head group is cross-linked because of the steric interaction between hydrocarbon chains

and limited Si-O-Si distance (le 32 Aring) The van der Waals diameter for C is 35Aring and for H

bonded to C is 25Aring and the minimum distance between hydrocarbon chains is 48 Aring

corresponding to minimum van der Waalsrsquo potential

The calculated distance between alkyl chains with cross-linking head group is 043nm

To minimize the system free energy the system can choose two of following possibilities

1) head groups are cross-linked with chains splaying apart implying that cross-linking

prevents the formation of full coverage layer In this scenario the disordered film is formed

(Figure 318a) 2) disordering of the chains by introduction of gauche defects to maximize

the entropy 3) Maintaining the formation of cross-linking while satisfying the steric

constraints through chain compression or selective cleavage of Si-C of long chains as

shown in the Figure 318b Energy gained from formation of siloxane bond is 8eV and the

elastic energy required to approach two chains from 048nm to 043nm is estimated as

80meV[3] The reason that we didnrsquot observe H-SiO3 in the case of BTS and DTS is

probably because the Si-C cleavage is chain length-dependent It may take place only when

the chain length is long enough and the chain-chain interaction is strong enough to meet the

requirement for cleavage of Si-C with formation of Si-H In addition the chlorine may also

play a role in promoting cleavage of Si-C bond A further investigation is needed to verify

this mechanism in the future work

OSi

OOSi

OSi

OSi

OSi

CH3 CH3

HH

CH3

OH

Si

OHSi

OH

Si

OSi

Si

CH3

OSi

O

Si

CH3

Si

OH

Si

lt 32 Aring

(a) BTS or DTS (b) OTS

Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface

In the case a attachment of disordered SAMs disrupt the Si-O-Si vibration of substrate In case b long range ordered SAMs couple with Si-O-Si substrate

58

35 Conclusion

The spectra change of LO phonon mode of SiO2 substrate provides information on

interfacial bond and structure between SAMs and surface It is first time that the spectral

signature is given for a SiO2 substrate change induced by the attachment of

alkyltrichlorosilane This is unique spectral signature only related to SAMSSiO2 interface

Comparison of FTIR spectra and other trichlorosilane with different length of alkyl

chain has shown that trichlorosilane also directly attaches to oxidized substrate instead of

the thin water layer on the top This attachment affects the SiO2 substrate LO phonon mode

It either increases LO by coupling with Si-O-Si of SiO2 or decreases LO by disrupting

Si-O-Si vibration on substrate depending on ordering and packing the density of SAMs

The formation of OTS (C18) SAM on SiO2 has unique behavior An unexpected

H-SiO3 species are observed and a higher cross-linking and chain packing is achieved A

possible mechanism for OTS SAM formed on SiO2 may involve chlorine-catalyzed

cleavage of Si-C with formation of Si-H under condition of compression of chains The

cleaved silane can play a role of spacer to reduce steric interaction and help to form denser

cross-linking and packing of alkyl chain

59

Bibliography

1 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

2 Stevens MJ Thoughts on the Structure of alkylsilane monolayers Langmuir 1999 15(8) p 2773-2778

3 Fontaine P M Goldmann and F Rondelez Influence of headgroup cross-linking on chain packing in Langmuir monolayers of n-alkyltrialkoxysilanes Langmuir 1999 15(4) p 1348-1352

4 Francis R G Louche and RS Duran Effect of close packing of octadecyltriethoxysilane molecules on monolayer morphology at the airwater interface Thin Solid Films 2006 513(1-2) p 347-355

5 Hair ML and W Hertl Reactions of chlorosilanes with silica surfaces J Phys Chem 1969 73(7) p 2372-2378

6 Hair ML and CP Tripp Alkylchlorosilane Reactions at the Silica Surface Colloids and Surfaces a-Physicochemical and Engineering Aspects 1995 105(1) p 95-103

7 Bain CD et al Formation of monolayer films by the spontaneous assembly of organic thiols from solution onto gold J Am Chem Soc 1989 111(1) p 321-335

8 Onclin S BJ Ravoo and DN Reinhoudt Engineering silicon oxide surfaces using self-assembled monolayers Angewandte Chemie-International Edition 2005 44(39) p 6282-6304

9 McGovern ME KMR Kallury and M Thompson Role of Solvent on the Silanization of Glass with Octadecyltrichlorosilane Langmuir 1994 10(10) p 3607-3614

10 Lin SY Variation of Si-H and Si-D bond-bending modes in different local bonding environments in amorphous silicon dioxide Materials Chemistry and Physics 1999 58(2) p 156-161

11 Marrone M et al A Fourier transform infrared (FTIR) study of the reaction of triethoxysilane (TES) and bis 3-triethoxysilylpropyl tetrasulfane (TESPT) with the surface of amorphous silica Journal of Physical Chemistry B 2004 108(11) p 3563-3572

12 Marcolli C and G Calzaferri Vibrational structure of monosubstituted octahydrosilasesquioxanes Journal of Physical Chemistry B 1997 101(25) p 4925-4933

13 Loboda MJ CM Grove and RF Schneider Properties of a-SiOx H thin films deposited from hydrogen silsesquioxane resins Journal of the Electrochemical Society 1998 145(8) p 2861-2866

60

14 Bornhauser P and G Calzaferri Ring-opening vibrations of spherosiloxanes Journal of Physical Chemistry 1996 100(6) p 2035-2044

15 Morrow BA IA Cody and LSM Lee Infrared studies of reactions on oxide surfaces IV Structure of chemisorbed ammonia on silica J Phys Chem 1975 79(22) p 2405-2408

16 Queeney KT et al Silicon oxidation and ultra-thin oxide formation on silicon studied by infrared absorption spectroscopy Physica Status Solidi a-Applied Research 1999 175(1) p 77-88

17 Queeney KT et al In-situ FTIR studies of reactions at the siliconliquid interface Wet chemical etching of ultrathin SiO2 on Si(100) Journal of Physical Chemistry B 2001 105(18) p 3903-3907

18 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

19 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

20 Grill A and DA Neumayer Structure of low dielectric constant to extreme low dielectric constant SiCOH films Fourier transform infrared spectroscopy characterization Journal of Applied Physics 2003 94(10) p 6697-6707

21 Lewis HGP TB Casserly and KK Gleason Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane Journal of The Electrochemical Society 2001 148(12) p F212-F220

22 Pryce Lewis HG DJ Edell and KK Gleason Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials Chem Mater 2000 12(11) p 3488-3494

23 Trasferetti BC CU Davanzo and MA BicadeMoraes LO-TO Splittings in Plasma-Deposited Siloxane Films J Phys Chem B 2003 107(39) p 10699-10708

24 Bornhauser P and G Calzaferri Normal coordinate analysis of H8Si8O12 Spectrochimica Acta Part A Molecular Spectroscopy 1990 46(7) p 1045-1056

25 Long-Hua Lee W-CC Wei-Chih Liu Structural control of oligomeric methyl silsesquioxane precursors and their thin-film properties Journal of Polymer Science Part A Polymer Chemistry 2002 40(10) p 1560-1571

26 Trasferetti BC et al Infrared Studies on Films of Carbosilazane and Siloxazane Networks Chem Mater 2005 17(18) p 4685-4692

27 Alexandra Fidalgo LMI Chemical Tailoring of Porous Silica Xerogels Local Structure by Vibrational Spectroscopy Chemistry - A European Journal 2004 10(2) p 392-398

28 Tripp CP and ML Hair Reaction of alkylchlorosilanes with silica at the solidgas and solidliquid interface Langmuir 1992 8(8) p 1961-1967

29 Awazu K Oscillator strength of the infrared absorption band near 1080 cm-1 in SiO2 films Journal of Non-Crystalline Solids 1999 260(3) p 242-244

30 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

61

31 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

32 G Lucovsky MJM JK Srivastava and EAIrene LOW-TEMPERATURE GROWTH OF SILICON DIOXIDE FILMS - A STUDY OF CHEMICAL BONDING BY ELLIPSOMETRY AND INFRARED-SPECTROSCOPY J Vac Sci Technol B 1987 5 p 530

33 Hirose K et al Structural transition layer at SiO_2Si interfaces Physical Review B 1999 59(8) p 5617 LP - 5621

34 PGPai SSC Y Takagiand G Lucovsky INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION J Vac Sci Technol A 1986 4 p 689

35 Queeney KT et al Infrared spectroscopic analysis of an ordered SiSiO2 interface Applied Physics Letters 2004 84(4) p 493-495

36 Kim YH et al Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films Journal of Applied Physics 2001 90(7) p 3367-3370

37 Kirk CT Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica Physical Review B 1988 38(2) p 1255 LP - 1273

38 Kamitsos EI AP Patsis and G Kordas Infrared-reflectance spectra of heat-treated sol-gel-derived silica Physical Review B 1993 48(17) p 12499 LP - 12505

39 Sarnthein J A Pasquarello and R Car Origin of the High-Frequency Doublet in the Vibrational Spectrum of Vitreous SiO2

101126science27553081925 Science 1997 275(5308) p 1925-1927 40 Pasquarello A and R Car Dynamical Charge Tensors and Infrared Spectrum of

Amorphous SiO_2 Physical Review Letters 1997 79(9) p 1766 LP - 1769 41 Miyazaki S et al Structure and electronic states of ultrathin SiO2 thermally

grown on Si(100) and Si(111) surfaces Applied Surface Science Proceedings of the Eighth International Conference on Solid Films and Surfaces 1997

113-114 p 585-589 42 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2

interface Physical Review B 2003 67(24) p 245305 43 Aswal DK et al Self assembled monolayers on silicon for molecular electronics

Analytica Chimica Acta Molecular Electronics and Analytical Chemistry 2006 568(1-2) p 84-108 44 Brzoska JB N Shahidzadeh and F Rondelez Evidence of a transition

temperature for the optimum deposition of grafted monolayer coatings 1992 360(6406) p 719-721

45 Wasserman SR YT Tao and GM Whitesides Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 1989 5(4) p 1074-1087

62

4 Chapter 4 Amino-Terminated Monolayer on H-

Terminated Crystalline Silicon (111) By an Self

Catalytic Reaction

41 Introduction Traditionally amino-terminated alkoxysilane-based self-assembly system is formed on

hydroxylated surfaces through the formation of a Si-O-Si surface bond between the silanol

group of the alkoxysilane chain and the surface hydroxyl group (Figure 41) In reality the

formation structure and morphology of the siloxane layer on semiconductor surfaces are

extremely sensitive to traces of water in the solvent which leads to self-polymerization

irregular cluster structures and poor structural reproducibility It is very hard to control the

amount of water that physically absorbed on the surface Moreover for widely used

aminosilane the optimized routes are still not available for preparing amine functional

surface with short chains[1 2] partially because aminosilane could interact with

hydroxyl-terminated SiO2Si through multiple reactions including ionic interactions

hydrogen bonds and covalent bond Si-O-Si that lead to more complex conformation and

disorder

SiOEtEtO

EtO

H2N

SiOHHO

HO

H2N

CH3OH

3 H2O+ +

(Hydrolysis)

SiOHHO

HO

H2N

SiOHHO

HO

H2N

+Si

HOHO

H2N

SiOHHO

O

H2N

(Condensation)

Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane

(APTES) SAM Formation

63

For hydrogen-terminated silicon surface in spite of its atomically well-defined surface

structure[3] is not suitable for direct application of silane agents because of the lack of

hydroxyl group or physical absorbed water which are commonly believed to be crucial for

the siloxane layer formation on the surface [4]

In this chapter we reported a new method to prepare ndashNH2 terminated Si (111) surface

by attaching (3-Aminopropyl) triethoxysilane (APTES) molecule to hydrogen terminated

surface through a simple one-step reaction By applying infrared spectroscopy technique

we have shown that APTES can readily react with H- terminated surface and attach to the

silicon surface forming stable layer We have found that the amine group of APTES is the

key for the reaction to take place Also the structure of the interfacial surface of SAMSi

especially the formation of interfacial SiO2 is investigated A possible mechanism of that

is proposed and discussed

42 Experimental

421 Materials Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both sides to

a thickness of 450 μm Following chemicals were used as received except where noted

(3-aminopropyl) triethoxysilane (APTES) (98) triethoxysilane (TES 95)

heterobifunctional crosslinker p-maleimidophenyl isocyanate (PMPI) anhydrous

toluene(998) anhydrous acetonitrile(998)(all from Sigma-Aldrich Corp)

422 Preparation of H-terminated Si(111) N-doped FZ silicon (Si (111)) wafers are cut into 1cm x 2cm pieces cleaned with acetone

and methanol The standard cleaning procedures (RCA) are then applied to the Si surface

de-ionized water (DI water 182M cm)H2O2NH4O (411) DI waterH2O2HCl  

(411) Both solutions are heated at 80degC for 10min with thorough rinsing with DI water

after each step The RCA cleaning removes organic and metallic contamination The

atomic flat hydrogen terminated Si(111) surface (H-Si(111)) is prepared by etching the

64

Si(111) surface in dilute HF (~10) for 30s followed by etching in ammonium fluoride

(49) for 2min 30s at room temperature [3] The prepared H- terminated silicon surface is

atomically flat and hydrophobic

423 Formation of Aminosiloxane SAM on H-terminated Si(111) (3-aminopropyl) triethoxysilane (APTES) monolayer is formed by immersing freshly

prepared H-Si (111) surface into 01 (vv) APTES in anhydrous toluene (998 both

from Aldrich) for various time in a nitrogen gas (N2) purged-glove box After the reaction

the sample is rinsed in anhydrous toluene three times and then sonicated in anhydrous

toluene for 5min Finally the functionalized sample is blown dry in N2 stream Sample is

transferred to the infrared spectrometer under ambient environment and spectra are

collected under a dry air-purge

As a control experiment other solutions are prepared One consist of HSi (111) in

solution in anhydrous toluene and the other one is 01 (vv) triethoxylsilane (TES) agent

in anhydrous toluene TES has three ethoxy groups similar to APTES But instead of having

an aminopropyl chain the Si atom is bonded to a unique hydrogen atom All experiment

procedures were the same as the above descriptions for APTES

424 Stability of Aminosilane SAM APTES modified Si (111) samples were immersed in DI water for various period of

time from 30min to 46 hours Spectra were collected after each exposure time

425 Chemical Reactivity of Amino- SAM on Si(111)

P-maleimidophenyl isocyanate (PMPI structure shown in Figure 42)solution is freshly

prepared right before the reaction with APTESSi(111) by dissolving xx mg PMPI power

in 20 ml anhydrous acetonitrile Acetonitrile was used as the solvent due to its better

suitability than NN-dimethyl formamide dimethyl sulfoxide or aqueous buffers in similar

applications [5] APTESSi (111) sample is transferred into the PMPI solution in a N2

purged glove box Reaction was carried out for 24 hours at room temperature in the sealed

test tube Sample is then rinsed with anhydrous acetonitrile and dried in a stream of N2 flow

65

All procedure is operated in a N2 purged glove box

N OO

NCO

Figure 42 p-maleimidophenyl isocyanate (PMPI)

426 Infrared Spectroscopy Characterization

Infrared absorption spectra (IRAS) of functionalized Si surfaces are recorded between

650cm-1 and 4000cm-1 on a Nicolet FT-IR spectroscometer equipped with liquid nitrogen

cooled down MCT-B detector and using a transmission set up The infrared beam is

incidented at 74 degree off normal corresponding to the Brewster angle for silicon and 10

degree off normal to minimize the possible reflection interferences A 4cm-1 (data spacing

1912cm-1) resolution has been chosen with 1000 scans

43 Results 431 Formation of APTES SAM on Hydrogen Terminated Si(111) Figure 43 shows a typical infrared spectrum of freshly deposited APTES on

hydrogen-terminated Si (111) The spectra are recorded after a 20 hoursrsquo reaction time

followed by rinsing and sonication in anhydrous toluene Figure 43 a is recorded at 74deg

incident angle off normal while Figure 43 b is recorded at 10deg After exposure to APTES

solution the sharp feature of Si-H stretching mode at 20837 cm-1 is significantly reduced

broadened and red-shifted to 2076 cm-1(Figure 43a) The total integrated area is only ~

23 of the initial fresh-etched Si-H mode (~0014) As shown in the

66

Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES

FTIR spectra of freshly-etched H-Si (111) samples exposure to the APTES in anhydrous Toluene for 20h (nov1) at room temperature in a nitrogen purged glove box Spectra were recorded for sample at a) 74 degree (Brewster angle for silicon) off normal and b) 10 degree off the surface normal with MCTA detector Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si (111) while range 1900-2400cm-1 is referenced to clean oxidized surface The spectra in the small up-left panel are compared of Si-H stretch mode between spectra a and c fresh-etched H-Si (111) surface as prepared Polarization experiments on H-Si (111) (a and b) demonstrated that Si-H bond is normal to the surface The free primary amine NH2 bending mode at 1600cm ndash1 also shows some polarization dependence indicating ndashNH2 perpendicular to the surface

67

inserted left-up panel in the Figure 43 the sharp H-Si stretching mode at 20837 cm-1

(Figure 43c) reveals a monohydride-terminated atomically flat surface[3] The

broadening and shift of this band indicates a change in the neighboring chemical

environment of surface H-Si bond A similar shift of the Si-H band to lower frequency due

to hydrogen-bonding interaction with nearby NH2 or Si-NH3 has been previously reported

by Queeney et al [6] Along with the decrease of the Si-H peak in the intensity a weak

broad bump appears around 2240 cm-1This mode has previously been assigned to the

H-SiO3 from Si-Si back bond oxidization[7] revealing that oxidation takes place during the

reaction

With reducing Si-H mode several intense and broad peaks appears in the 1000-1300

cm-1 range contributing from multiple vibration modes such as Si-O Si-O-C modes and in

the region of 2800-3000 cm-1 attributed to C-H stretching modes Since the CH2

asymmetric stretching mode is at 2933 cm-1 greater than reported ~2920 cm-1 for a

crystalline ordered film [8] it indicates that the formed APTES film is disordered A small

shoulder at 2973 cm-1 from CH3 asymmetric stretching mode indicates the existence of

partially hydrolyzed APTES We then expect that the ethoxy group (Si-O-C2H5) will also

contribute in other modes for instance Si-O-C stretching mode at 1107cm-1

The NH2 bending mode at 1604 cm-1 indicates that the amine groups are extended away

from the surface and are free from hydrogen-bonding interaction with the surface hydroxyl

groups A weak broad peak at ~3300 cm-1 is assigned to the corresponding stretching

modes of primary amine group The broad bands peaked at 11381050 cm-1 are assigned to

the various Si-O modes formed by the APTES condensation They are also overlapped

with other bands such as Si-O-C modes from ethoxy group of only partially hydrolyzed

APTES

Figure 44 displays the spectrum for fresh H-Si (111) exposed only to the anhydrous

toluene under the same experimental condition The spectrum from Figure 43a is shown

here as a comparison No absorbance features related to toluene are actually observed after

20 hr Moreover the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a

~95 of total integrated area of initial fresh H-Si(111) surface These evidence indicates

that hydrogen terminated Si(111) is stable in the anhydrous toluene An additional

experiment has shown that H-Si (111) surface is stable in anhydrous toluene even after 72

68

hours (data not shown) Thus we exclude the possibility that solvent alone will contribute

to the spectra features we observed

The stage of the reaction of H-Si (111) with APTES is examined by measuring surface

changes after various time period of immersing time in the APTES solution Figure 45

shows the spectra for fresh H-Si (111) after being exposed to APTES solution for a) 30

minutes b) 2 hours and c) 20 hours respectively Comparing spectra taken after 30 minutes

and 2 hours both 1000-1300 cm-1 region and C-H stretching mode regions increase with

increasing exposure time while the equivSi-H mode decreases and broadens with the exposure

time accompanied with the increase of a new peak at ~2240 cm-1 from oxidization species

A shoulder of CHx at 2973 cm-1 corresponding to CH3 stretching mode is seen in all of

spectra and slightly decreases in the intensity with prolonged exposure time This indicates

gradual loss of ethoxy group of APTES A series of experiments where the exposure time

is varied from 5 minutes to seven days is performed We present in Figure 413 the

integrated area of Si-H (~2083 cm-1) and H-SiOx (~2240 cm-1) as a function of reaction

time and in Figure 414 the integrated area of three regions oxide region (1000-1300 cm-1)

CHx stretching modes (2800-3000 cm-1) and -NH2 bending mode (~1604 cm-1) As shown

in Figure 413 with the increasing exposure time the integrated area of Si-H decreased

and H-SiOx increased initially and then decreased This trend is similar to what is observed

in the initial oxidization of H-Si(111) in air[9] The fact that (70plusmn8 ) of Si-H remains

after 20 hours exposure to APTES solution suggests that the majority of the surface is still

covered by the H-Si The CHx stretching modes and -NH2 bending modes have the same

time dependence initial increase and then decrease The decrease of intensity of CHx may

be due to gradual removal of ethoxy group from partially attached APTES molecule The

integrated area of 1000-1300 cm-1 region increases initially and reaches a plateau after 20

hours This suggests that the film growth is self-terminated and polymerizations are

limited

69

Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to a 01 (vv) APTES in anhydrous toluene for 20 hours b neat anhydrous toluene for 20 hours Both reactions were performed at room temperature in a nitrogen purged glove box Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si(111) and range 1900-2400cm-1 is referred to a clean oxidized surface

Table 1 Peak Assignments for APTES Layer Formed on the H-Si(111)

Peak Position (cm-1) Assignment

2973 CH3 asymmetric stretching 2933 CH2 asymmetric stretching 2878 CH3 symmetric stretching 2250 H-SiO3 stretching 2076 Si-H stretching 1640 H2 O scissoring 1604 Free NH2 bending

1138 1050 Si-O-Si stretching 887 H-SiO3 bending 740 CH3 rocking

70

Figure 45 FTIR Spectra of Time Dependence Experiments

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to 01

(vv) APTES in anhydrous toluene for various time length a 30 minutes b 2 hours c 20

hours All reactions were performed at room temperature in a nitrogen glove box All

spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to

freshly-etched H-Si(111) and range 1900-2400cm-1 are referenced to clean oxidized

surface For clarity absorbance values within region 1900-2400 cm-1 were multiplied by

the scaling factor as shown

71

432 UV experiment UV irradiation has been found to promote the hydrosilylation of alkene on the

H-terminated silicon surface by forming Si-C bond through a free radical chain reaction

[10 11] To investigate the mechanism of reaction further we irradiate the APTESH-Si

(111) solution for 30min with a 284nm UV light The spectra for APTES reaction with and

without UV are shown in Figure 46 and the integrated area values for these featuring

bands are shown in the Table 2

Figure 46 shows that both spectra are dominated by strong and broad peak

900-1300cm-1 with maximum at 1085 cm-1 and 1130cm-1 which are assigned to Si-O-Si

asymmetric stretch This region may also include contributions from Si-O-C stretch mode

at 11001085 due to non-hydrolyzed ethoxy groups (-OCH2CH3) in APTES The H-Si

stretch band at 2081cm-1 is reduced slightly broadened and a small shoulder appeared at

lower frequency ~2070cm-1 Comparing its integrated area with the freshly prepared H-Si

(111) there is ~30 less indicating a loss of H-Si bond The peaks at 2922cm-1 and 2973

cm-1 are assigned to asymmetric stretch mode of CH2 and CH3 respectively The

comparison of the integrated area value as shown differs from relative intensity of 1085 to

1133 cm-1 due to the slightly varied environment of Si-O In summary no apparent

difference is found between both spectra indicating that there is no direct relation between

UV excitation and the attachment of APTES

Table 2 Comparison of integrated area of major bands

Int Peak Area (CHx)

(2800-3000 cm-1)

Int Peak Area (H-Si)

(~2080 cm-1)

Int Peak Area

(1000-1300 cm-1)

30min wo UV 0015plusmn00015 00095plusmn00016 0162plusmn0016

30minw UV (284nm) 0014plusmn00016 00101plusmn00017 0178plusmn0018

72

Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and without UV (284nm) Illumination

Hydrogen terminated n-Si (111) samples were immersed in the 01 (vv) APTES in anhydrous toluene at room temperature for 30min a without UV illumination b 284nm UV illumination is applied during the reaction All spectra within 650-1700cm-1 and 2700-3800cm-1 have been referenced to freshly prepared H-Si(111) surface while spectra within range 1900-2300cm-1 are referenced to initial clean oxidize surface

73

433 APTESH-Si(111) Stability in the water

One important application of amine terminated self-assembled monolayer is to enhance the

attachment of bimolecule such as DNA proteins to the surface The stability of NH2 -SAM

in the biocompatible aqueous environment is crucial since most of these attachmentsrsquo

chemistry is carried in an aqueous environment Here we study the effect of water only We

leave APTES-functionalized H-Si (111) samples (annealed or fresh) in the dionized water

for various times at ambient environment and compare it to the results from conventional

APTESSiO2 surface Figure 47 shows FTIR spectra of changes of APTESSi (111) and

APTESSiO2 after being in the water for various times Figure 48 quantifies the changes of

the APTES films on both surfaces by plotting the integrated area of CHx at 2800-3000 cm-1

against the time in the water For APTES SAM formed on H-Si (111) the largest loss

~30 decrease of the integrated area of CHx at 2800-3000 cm-1 is observed within the first

30 min It then slightly increases with increasing time in the water Finally after 20 hours

it decreases again but quite slowly Nearly ~70 film still remains on the surface even

after 46 hours exposure to aqueous water indicating the film is reasonably stable in the

water

In contrast APTES film formed on SiO2 is quickly removed from the surface once exposed

to water which is consistent with other authorsrsquo previous works [1 12] As shown in Figure

47b the film continues degrades indicated by the reduced stretching modes of C-H in the

intensity with increasing time in the water Meanwhile the increase of negative bands at

1062 and 1232 cm-1 assigned to the TO and LO phonon mode of underneath SiO2 substrate

respectively suggests a continuous etching of substrate After 46 hours at least ~70 film

indicated by the integrated area of CHx (Figure 48) is removed from the surface The

remaining ~30 possibly including contributions from background level

hydrogen-carbon absorption on the solidliquid interface is not necessary only because of

the attached APTES molecules

74

Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time

FTIR spectra are recorded for a APTES SAM formed on H-Si(111) b APTES film formed on regular silicon dioxide surface after immersing in water for various time from 30 minutes to 46 hours at the room temperature

75

Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water The quick dropping in the integrated area of CHx indicates large amount of APS molecules that are quickly removed from the surface upon exposure to aqueous water while APTESH-Si (111) has significantly higher stability than APTESSiO2

76

434 Chemical Reactivity of APTESSi(111)

The heterobifunctional cross-linker p-maleimidophenyl isocyanate (PMPI) is used to

verify the chemical reactivity of APTESSi (111) surface It is known that PMPI reacts

with ndashNH2 group either through its isocynanate group to form urea linkage or through its

NHS- group to form amide bond (Scheme 1) As shown in the Figure 49 after

APTES-modified Si (111) reacted with PMPI multiple PMPI bands appeared among

1350-1800 cm-1 The strongest peak at 1722 cm-1 along with weak peak at 1781 cm-1 are

attributed maleimide asymmetric and symmetric C=O stretching respectively The 1668

and 1550 cm-1 are assigned to amide I and II of urea linkage [13] Peak at 1515 cm-1 is

assigned to the aromatic C-C stretching The integrated area from 1363 to 1430 cm-1

assigned to maleimide symmetric C-N-C centered at ~1396 cm-1 is previously used to

quantify the amount of PMPI attached to the APTES surface [14 15] This particular peak

is chosen to integrate predominantly on criteria of minimal overlap with other spectral

features For instance this region can avoid overlapping with bending mode of ndashNH2

(1500-1600 cm-1)

N OO

NCO

SiO

HO

H2N

SiOHOO

H2N

Si Si

N OO

NH

C O

SiO

HO

NH

SiOHOO

H2N

Si Si

(PMPI)

Scheme 1 The isocyanate group of PMPI forms a urea link (-N-CO-N-) with APTES amine group

77

Figure 49 PMPI 24 hours on APTESH-Si(111)

FTIR spectra of APTES functionlizated H-Si (111) were obtained a before b after exposure to PMPI solution APTES spectra are referenced relative to clean H-Si (111) surface while PMPI spectra are referenced relatively to amine-terminated surface prepared from APTES right before reaction with PMPI

78

435 Control Experiment

In order to further understand the reaction mechanism the spectra from the control

experiments are acquired as shown in Figure 410 where fresh H-Si(111) is exposed to the

similar alkoxysilane molecule propyltrimethoxysilane (TMPS) without amine- end group

(b) and anhydrous toluene only (c) under the similar experimental condition

SiOCH3H3CO

H3CO

CH3

Scheme 2 Trimethoxypropylsilane (TMPS)

No absorbance features related to toluene are actually observed after 20 hr Moreover

the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a ~95 of total

integrated area of initial fresh H-Si (111) surface This evidence indicates that hydrogen

terminated Si (111) is stable in the anhydrous toluene An additional experiment has shown

that H-Si (111) surface is stable in anhydrous toluene even after 72 hours (data not shown)

Thus we exclude the possibility that solvent alone can contribute to the spectroscopic

features of APTESH-Si (111) we observed

For alkoxysilane without amine (Figure 410b) the surface H-Si lost lt10 of initial

fresh etched H-Si (111) This loss of H-Si is slightly greater than the loss (~5) when it is

in neat anhydrous toluene but less than the loss (~30) when it is exposed to APTES It

maybe due to the reaction of H-Si (111) with alcohol produced from hydrolysis of silane

molecule It has been shown previously that H-Si (111) can readily react with methanol and

ethanol even under room temperature and dark environment[16] The FWHM of peak of

remained H-Si stretching mode is ~7plusmn07 cm-1 and 4plusmn05 cm-1 for APTES and TMPS

respectively The fresh etched H-Si (111) has FWHM at 44plusmn007 These results indicate a

significant change of chemical environment of surface H-Si bond that occurs after

exposure to APTES The results from control experiments suggest that amine group ndashNH2

in APTES plays a crucial role in the reaction of APTES with H-Si(111)

79

Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c Toluene only FTIR spectra were obtained for freshly-etched H-Si(111) surface exposed to a 01 APTES in anhydrous toluene b 01 TMPS in anhydrous toluene c neat anhydrous toluene only for 20 hours All spectra are reference relative to freshly-etched H-Si(111) surface

80

436 Reaction of H-Si(111) Surface with Propylamine In this section the reaction between ndashNH2 and H-Si (111) was checked in the toluene with

or without presence of water (wet or dry condition) A short organic molecule propylamine

with ndashNH2 as an end group is chosen The FTIR spectra after H-Si(111) is exposed to 02

propylamine for two hours are shown in the Figure 411A Figure 411B shows the zoom-in

region of H-Si and H-SiO3 stretching vibration mode at 2000-2300 of the same spectra in

Figure 411A referred relatively to an oxidized surface

In the anhydrous environment (Figure 411A-b) ~25 loss of integrated area of H-Si

stretching mode at 2083 cm-1 is associated with an appearance of new peaks at 2262 876

cm-1 attributed to H-SiO3 stretching and bending modes respectively The broad peak

centered at ~1130 cm-1 is assigned to Si-O modes This evidence suggests that local

back-bond oxidization of H-terminated Si (111) surface occurs Further quantifying

amount oxide on the surface is done by comparing the integrated area of LO phone mode

within this region to the LO mode of chemical oxidized (SC-1SC-2) SiO2 which has been

shown previously to produce an oxide layer ~10Aring in thickness[17] The total integrated

area of 900-1300 is ~016 less than ~50 peak area obtained on chemical oxidized

surface

There is no discernable peak at 3400 cm-1 and 1640 cm-1 the characteristic peaks of surface

hydroxyl group and absorbed water suggesting the absence of surface hydroxyl Si-OH

group and absorbed water In addition the sharpness of stretching mode of H-Si (Figure

411B) indicates that major surface region is unaffected by immersing in propylamine

solution and remains H- passivated where dipole coupling between neighboring Si-H

groups on a terrace remains[18-20]

The peak at 730 cm-1 could be assigned to ndashNHndash wagging vibration from formation of

surface Si-N-C bond between propylamine and Si-H The corresponding stretching mode

of NH at ~3400 cm-1 is too weak to be discernable in the spectra [21] The appearance of

various stretching modes of -CH2 and -CH3 at 2800-3000 cm-1 including 295029222964

cm-1 with total integrated area ~ 00131 and bending modes at ~ 1450 cm-1 indicates the

attachment of propylamine on surface The amine dissociative adsorption on silicon

1 As a compassion the integrated area of CH (2800-3000) is ~ 002 for H-Si(111) in APTES for 20 hours and less than 0006 for H-Si(111) in TMPS for 20 hours

81

Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine

In the top panel (A) FTIR spectra of fresh prepared H-Si(111) surface after exposure to 02 propylamine in a) regular toluene b) anhydrous toluene Both are referenced against to fresh H-Si (111) As a comparison the spectra of chemically oxidized SiO2 surface after exposure to 02 propylamine in regular toluene is given (c) For clarity the spectra b and the spectra b and c is displayed on 4 timesrsquo smaller scale than a In the bottom panel (B) same spectra shown in the top panel are referenced to clean oxidized silicon surface

82

surface with Si-N bond formation was previously studied in the UHV condition in gas

phase([22-24]) Under our experiment condition (wet chemistry environment and room

temperature) this reaction may be expected but should be significantly different from

mechanism under UHV condition The spectra show that formation of Si-N competes or

co-exists with the oxidization of Si-H catalyzed by trace amount of water and amine group

When excess water co-existed with propylamine (wet condition) H-Si surface is

oxidized much faster than in the anhydrous case As shown in the Figure 411 the

integrated area of Si-O-Si stretching modes at 1000-1200 cm-1 is nearly 10 times higher

than the case where H-Si (111) is exposed to propylamine in anhydrous environment for

the same time period Both H-SiO3 (2256 880 cm-1) and surface hydroxyl (related

vibration modes SiO-H stretching mode at ~ 3400 cm-1 Si-OH stretching mode at 950

cm-1) species are observed In addition the vibration mode related with hydrogen bonded

water is observed the stretching mode of Si-OH at ~3400 cm-1 and scissoring mode of

water at 1640 cm-1 However the surface hydrogen is not completely replaced by ndashOH

group as there is ~ 40 H-Si remained on the surface while another ~30 existed in the

form of H-SiO3 with corresponding stretching mode at 2256 cm-1 (Figure 411B)

Considering the integrated area of stretching Si-O modes at 1000-1200 cm-1 it is nearly

five times higher than SiO2 prepared from RCA chemical oxidization It suggests that

continuing oxidization may happen in an anisotropic manner The oxidization of substrate

is co-existed with other surface species including surface silicon-hydrogen bond with

insertion of oxygen into back-bonds (H-SiO3) and untouched Si-H bond The broadness

(FWHM-) and peak position (~2073 cm-1) of stretching mode of H-Si indicates a

disruption of the dipole coupling between neighboring Si-H groups on a terrace [18-20]

As a schematic drawing shown in the Figure 412 the numbers 1-4 indicate the surface

species presents on the surface In the dry condition only 1 and 2 are observed and

dominated by specie 1 (untouched H-Si) In the wet condition all three species are

observed And anisotropy oxidization happens toward underneath deeper layers The

species 4 is attributed to reaction ndashNH2 with H-Si forming Si-N linkage Both species 2

and 3 readily react with APTES

It has been found that H-Si (111) is stable in pure water up to several days Apparently

propylamine accelerates the oxidization of H-Si where H2O is a source of oxidization

83

Furthermore the direct reaction between propylamine and H-Si (111) may exist although it

is not dominated and must process at a very slow rate

CH3

NH2

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HOSi

O

OHSi

O

HSi

Si

HSi

O

HSi

O

HSi

Si

HSi

Si

HNSi

Si

CH3

H2O

3 2 1 4

Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or

without water

44 Discussion The FTIR spectra demonstrate that APTES can form stable siloxane layer on the H-

terminated Si (111) in an anhydrous toluene at room temperature without using any

accelerators or pre-hydrolysis step Amine- group of APTES is found to be crucial for the

reaction to take place An insertion of oxygen in the Si back-bond forming H-SiO3 is

observed The amount of attached APTES (CHx stretching modes and NH2 bending modes)

is correlated with the degree of loss of Si-H stretching mode and H-SiO3 stretching mode

As the reaction time in APTES solution increases the initial Si-H stretching mode

decreases in intensity while an H-SiO3 stretching mode appears simultaneously and

gradually increases indicating that oxidation occurs After a couple of hours the H-SiO3

stretching mode decreases while mode corresponding to the absorption of APTES on H-Si

(111) is now present The saturation of the Si surface is observed after 20 hours when no

more active sites are accessible to APTES due to the steric restriction

It has been long-term established that alkylsiloxane self-assembled monolayer is used

to engineer silicon oxide surface [4 25] The general accepted mechanisms although still

under considerable debates involves hydrolysis of alkoxy- groups and condensation with

active surface hydroxyl group or incorporate into a two dimensional cross-linked network

of Si-O-Si bonds in the physical absorbed thin water layer [26 27][more references]

However to our best knowledge there is no report about direct formation of alkoxylsilane

84

on oxide-free and hydrophobic H-terminated single-crystal silicon surface which are

normally alkylated through reaction with alkene forming Si-C linkage thermally or

photochemically [10 11] or it is found recently that organotrichlorosilane could possibly

form on non-oxide surface at room temperature due to the hydrosilylation of

chloride-terminated silicon surface generated by ion exchange between Si-H and chloride

ions in anhydrous toluene [28]

A possible two-step reaction mechanism has been proposed previously for

amine-catalyzed silanization of alkoxylsilane on hydrogen-terminated porous silicon

surface with water[29] the first step is an amine-catalyzed hydrolysis of H-Si to form

hydroxyl-terminated surface at the second step the surface hydroxyl groups further react

with the alkoxy (Si-O-R) or silanol (Si-OH) groups of APTES molecule under amine

catalysis The second step of amine-catalyzed siloxane condensation has been previously

reported For example a significant fraction of adsorbed aminosilane attaches covalently

to the surface silanol group in an anhydrous environment at room temperature under

catalysis of amine motifs [30-32]

This mechanism cannot be fully applied to the results we observed under the anhydrous

experiment condition First the absence (or minimized content) of surrounding water in

anhydrous toluene makes the surface hydrolysis less likely to occur It lacks evidence of

Si-OH in present FTIR data We only see Si-OH under the wet condition accompanied by

the formation of a significant large amount of silicon oxide Second this mechanism

doesnrsquot provide detailed information on the initial stage of oxidization of H- terminated

silicon surface In other words it is questionable whether surface Si-OH forms with or

without oxidization of underneath silicon

The studies of water reaction on H-Si(100) under ultrahigh vacuum have shown that

oxidation of Si-Si bonds occurs both at room temperature and elevated temperatures but

without breaking Si-H bond probably because of the bond energy of Si-H 14eV higher

than bulk Si-Si[9] The surface hydroxyl could form only after Si-O-Si formation[33] A

study of the reaction of O2 with H-Si(111) has found that no oxygen is initially inserted into

the Si-H bond to form Si-OH instead various H-SiOx species are formed[34]

From our time-dependence data in Figure 413 and Figure 414 it has clearly shown

that initially H-Si is slowly decreased while H-SiO3 appears simultaneously This indicates

85

at the first step that an insertion of oxygen into H-Si bandbonds occurs Both H-Si and

H-SiO3 modes are still observed after 7 daysrsquo exposure to APTES The vibration modes

related with absorbed silane molecules like CHx and NH2 are barely observed at the first

two hours but start increasing and then decreasing with exposure of the time Based on

these evidence we propose the following mechanism the Si(111) surface initially

H-terminated evolves into H-SiO3 due to the insertion of oxygen (possibly coming from

traces amount of water in the solvent) into the back-bond of equivSi-H as depicted in Figure

415 This step may be also the result of a catalyst process involving the amine group The

hydrogen bonding formed between water and amine group significantly weakens the O-H

bond and thus making the oxygen atom more nucleophilic and more ready to attack the

surface Si atoms either in Si-H or Si-Si with formation of surface hydroxyl Si-OH (I) or

H-SiO3 (II) respectively Our data suggest that the latter (pathway-II) is dominated over

pathway-I under anhydrous environment Silane molecule from the solution can then

readily react with the H-SiO3 group The presence of the oxygen atoms in the back-bond

polarizes the Si-H bond and weakens it making it more reactive to the APTES molecule

After 20 hours the plateau observed in the 1000-1300 cm-1 region indicates that the

saturation has been reached and the APTES layer acts as a barrier to block any further

attachment on the surface active sites H-SiO3 For extensively longer exposure time after

several days in APTES solution the APTES is removed and surface oxidization continues

corresponding to observed decrease of H-Si and CHxNH2 in the intensity Nearly constant

H-SiO3 could be explained as a result of equilibrium between H-Si oxidization and its

further converting to HO-SiO3

In this mechanism surface hydroxyl groups do not form and cannot be accounted for

the attachment of the silane molecules Instead the formation of H-SiO3 is a key in the

surface attachments of APS on HSi (111) As shown in Figure 415 because of the

inserted oxygen atoms that weaken the Si-H bond H-SiO3 has higher reactivity than Si-H

resulting reaction of H-SiO3 with alkoxy-group of APTES under catalysis of NH2 group

86

Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid triangle represents the peak area of Si-H stretch mode at ~ 2100 cm-1 and open circle represents the peak area of H-SiO3 at ~2250cm-1 X-axis (time) is plotted in logarithm scale

87

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid square in the upper panel represents the peak area within range of 1000-1300 cm-1 contributed from several overlapped bands like Si-O Si-O-C In the lower panel the open circle represents the peak area of NH2 bending ~ 1600 cm-1 and solid triangle represents peak area of CHx (X=2 or 3) stretching modes at 2800~ 3000 cm-1 For some data points the error bar was too small and hardly distinguished from the data points X-axis (time) was plotted in logarithm scale

88

Si

SiSi

H

SiSi

SiSi

H

Si

SiSi

OH

SiSi

SiSi

H

NH2EtO

HH

H2

δ+

δminus

Si

OO

H

OSi

OO

H

Si

OH3CH2C

R

O

CH2CH3δminus

δ+

δ+

δminus Si

O

R

O

CH2CH3

Si

OOO

Si

OO

H

I

II

Figure 415 Possible Mechanism of APTES reacts with surface H-SiO3

441 Amine-catalyze Oxidization of H-Si backbond and attachment of

APTES

In the present study we found that amine group APTES is crucial for the reaction For

the alkoxysilane without the presence of amine the reaction with H-Si (111) can only

proceed if there is any at a very slow rate considering ~90 H-Si remains at terrace

structure even after 20 hours Therefore the formation of H-SiO3 is most likely proceeds

under the catalysis of amine group

There are already extensive reports on that amine group catalyze direct condensation of

alkoxy- [30 35] or chlorine- [36] group to surface hydroxyl group The proposed

mechanism of the catalytic activation is that the interaction between nitrogen and hydrogen

attached to oxygen make oxygen more polar[36] The Si-OH surface mode is known to

have strong interactions with Lewis bases such as propylamine and pyridine The hydrogen

bonding due to this interaction substantially weakens the SiO-H bond and increases the

nucleophilicity of the oxygen atom (δminus) which will attack the electron deficient Si atom

(δ+) of the Si-Cl [37] or Si-O-R to form Si-O-Si linkage

89

We examined the reaction of H-Si (111) with propylamine in anhydrous toluene and

regular toluene The increasing amount of water in the solution did significantly accelerate

the oxidization of silicon substrate and formation of surface Si-OH Without the presence

of water both reactions are suppressed and H-SiO3 species was clearly observed and most

of surface H-Si remains This experiment also confirms that the existence of alkoxy group

is important for the reaction to keep going Under anhydrous environment amine group or

alkoxy group alone cannot fully account for the loss of H-Si we observed

442 Limited Interfacial Oxidization

The earlier study of the initial stage of oxidization of hydrogen-terminated silicon in

the water or in the atmosphere has proposed a two-stage oxidization with different

oxidization rates [38] [39] The Si-Si back-bond instead of Si-H is first attacked by water

molecule to form H-SiOx but the oxidization is a slow process (Induction Period) As

Si-OH coverage increases formation of Si-O-Si bridges can be formed through adjacent

Si-OH while more rapid oxidization is observed in a second stage [38] [39] In this

oxidization mechanism the initial oxidization depends strongly on the surface

concentration of ndashOH groups In the case of APTES silanization APTES could quickly

react with H-SiO3 once it is formed under amine catalyzing in the step 2 and then may

suppress any possible further oxidization The attachment of APTES might limit the

oxidization only to the first or second layer The utilization of a N2-purged glove box also

helps to minimize the oxidization Indeed the fact that there are still ~20 of H-Si and

H-SiO3 remaining after extended exposure time suggests that surface is still covered with

hydrogen existing in the form of either H-Si(Si) or H-SiO3 instead of Si-OH The less

oxidization and partially H- passivation may contribute to the observed higher stability of

APTESSi (111) in the water as well where OH- etching of SiO2 substrate or hydrolysis

Si-O-Si is considered as one of the sources of instability

443 Stability of APTES layer and Binding Capability

The stability of aminosilane is crucial for its various applications An ideal aminosilane

layer should be flat stable with a dense surface free amine functional group However the

90

optimized routes are still not available for preparing amine functional surface with short

chains[1] [2] Although APTESSiO2 system is widely used in many biomedical

applications like promoting biological adhesion (ref) it is found previously that it is not

stable at all SAM or multilayers formed on SiO2 from amino-alkoxysilane is easily

removed when the film is exposed to water or water salt solution [1]

The instability of APS on oxidized surface is explained as the existence of multiple

complicate interactions between function groups of amino-organosilane and SiO2 surface

with a thin physical absorbed water layer Thus the absorbed APTES layers are not only

observed through surface Si-O-Si covenant bonds but most likely also through ions

interaction (NH3+) hydrogen bonds (between NH2 and Si-OH) It is also been suggested

previously that the film most likely linked on the physically absorbed water layer on the

SiO2 surface instead of directly binding to the surface In addition the underneath SiO2

substrate could be etched away in the water environment when there is NH2 group around

as indicated by the increasing negative band from 1000-1300 cm-1 at Figure 47b This is

possibly because of the formation of OHminus anion (the surface ndashNH2 pKa is ~10 and ndashNH2 is

protonated under neutral environment (pH~7)

minus+ +minus⎯rarr⎯+minus OHNHROHNHR 322

By using H- terminated Si (111) surface and the method presented in this study the sources

of complicated various interactions mentioned above is minimized or does not exist at all

In addition physically absorbed water is not present on H-Si (111) surface due to the

hydrophobic nature of the surface As a consequence it minimizes the unwanted

polymerization and unwanted attachment of silane layer to the water layer with

significantly reduced stability Moreover the electrostatic interaction between amine and

surface could be minimized also due to lack of surface hydroxyl group Indeed the IR

spectra shown in Figure 43 indicate the existence of free amine groups (bending mode of

NH2 at 1604 for free amine and 1580 or less for hydrogen bonded ndashNH2)

Biological reactivity of surface with ndashNH2 functionality is further confirmed by

reaction with PMPI which forms covalent bond with surface amine group This is

confirmed by the FTIR spectra of PMPI absorbed on APTESSi (111) surface suggesting

91

the surface prepared using APTESH-Si (111) is well suitable for further biological

applications

45 Conclusion We have demonstrated that (3-aminopropyl) triethoxysilane (APTES) can form

self-assembled monlayer on the atomically flat H- terminated Si (111) surface It is first

time that we show the attachment of APTES molecule to silicon without involving oxide

substrate or appreciable oxidization of substrate APTES layer formed on the H-

terminated surface shows significantly higher stability than APTES layer formed on

SiO2Si (100) with free amine groups which are important for its further application The

prepared NH2- surface shows similar reactivity toward the further biological attachment

with other methods A possible mechanism is proposed for the reaction of APTES with

atomically flat H-Si (111) Amine- group has been demonstrated to be a key for the

reactions to take place The first involves the insertion of oxygen into the Si backbond

forming H-SiO3 under catalysis of amine group The following reaction of H-SiO3 with

APTES has been demonstrated to process very quickly The interfacial oxide silicon is

significantly limited and the surface remains partially hydrogen passivated which may

account for the higher stability of APTES layer formed on H-Si (111)

92

Bibliography

1 Wang AF et al In vitro stability study of organosilane self-assemble monolayers and multilayers Journal of Colloid and Interface Science 2005 291(2) p 438-447

2 Allen GC et al Macro- micro- and nano-investigations on 3-aminopropyltrimethoxysilane self-assembly-monolayers Thin Solid Films 2005 483(1-2) p 306-311

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

5 Xiao S-J et al Covalent Attachment of Cell-Adhesive (Arg-Gly-Asp)-Containing Peptides to Titanium Surfaces Langmuir 1998 14(19) p 5507-5516

6 Queeney KT YJ Chabal and K Raghavachari Role of interdimer interactions in NH3 dissociation on Si(100)-(2 x 1) Physical Review Letters 2001 86(6) p 1046-1049

7 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

8 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

9 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air 1994 76(4) p 2157-2163

10 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

11 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

12 BROKER B SILICON SURFACE FUNCTIONALIZATION FOR DNA ATTACHMENT in Department of Physics and Astronomy 2006 Rutgers University

Piscataway 13 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 14 Shen G MFG Anand and R Levicky X-ray photoelectron spectroscopy and

infrared spectroscopy study of maleimide-activated supports for immobilization of oligodeoxyribonucleotides Nucleic Acids Research 2004 32(20) p 5973-5980

15 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2 interface Physical Review B 2003 67(24) p 245305

16 Michalak DJ et al Infrared spectroscopic investigation of the reaction of hydrogen-terminated (111)-oriented silicon surfaces with liquid methanol Journal of Physical Chemistry B 2006 110(41) p 20426-20434

17 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

93

18 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

19 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

20 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

21 Socrates G Infrared Charateristics Group Frequencies 1997 22 Bitzer T T Alkunshalie and NV Richardson An HREELS investigation of the

adsorption of benzoic acid and aniline on Si(100)-2 x 1 Surface Science Vibrations at Surfaces 1996 368(1-3) p 202-207 23 Rummel RM and C Ziegler Room temperature adsorption of aniline (C6H5NH2)

on Si(100)(2x1) observed with scanning tunneling microscopy Surface Science 1998 418(1) p 303-313

24 Kugler T et al Chemically modified semiconductor surfaces 14-phenylenediamine on Si(100) Surface Science 1992 260(1-3) p 64-74

25 Schreiber F Structure and growth of self-assembling monolayers Progress in Surface Science 2000 65(5-8) p 151-256

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

28 Shirahata N et al Interfacial observation of an alkylsilane self-assembled monolayer on hydrogen-terminated Si Langmuir 2004 20(20) p 8942-8946

29 Xu DS et al Hydrolysis and silanization of the hydrosilicon surface of freshly prepared porous silicon by an amine catalytic reaction New Journal of Chemistry 2003 27(2) p 300-306

30 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

31 White LD and CP Tripp An infrared study of the amine-catalyzed reaction of methoxymethylsilanes with silica 2000 227(1) p 237-243

32 White LD and CP Tripp Reaction of (3-aminopropyl)dimethylethoxysilane with amine catalysts on silica surfaces Journal of Colloid and Interface Science 2000 232(2) p 400-407

33 Rivillon S RT Brewer and YJ Chabal Water reaction with chlorine-terminated silicon (111) and (100) surfaces Applied Physics Letters 2005 87(17)

34 Zhang L K Wesley and S Jiang Molecular Simulation Study of Alkyl Monolayers on Si(111) Langmuir 2001 17(20) p 6275-6281

35 Wu B G Mao and KYS Ng Stepwise adsorption of a long trichlorosilane and a short aminosilane Colloids and Surfaces A Physicochemical and Engineering Aspects 2000 162(1-3) p 203-213

94

36 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

37 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

38 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

39 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

95

5 Chapter 5 Alkylation of H-Terminated Silicon (111)

and Thermal Stability of SAMs with Different

Functionalities

51 Introduction The formation of well-defined and densely packed organic monolayers on semiconductor

surface has received increasing interests in the variety field from micronano-electronics

biosensor and biological attachments There are two main categories of methods for

forming organic layers on silicon One is silane-based SAMs via Si-O-Si bond forming

between silane on oxidized silicon and other is alkene-based SAMS via a Si-C on a H-

terminated silicon Other types of SAMs form via Si-N or Si-O-C are not dicussed here

The major drawbacks of silane-based SAMs (chloro- or alkoxy-) on oxide silicon are

the reproducibility in the synthesis and its stability The Si-O bond formed on the interface

of SAMSiO2 is susceptible to hydrolysis and is thermally liable The extensive studies

have shown that the preparation of trichlorosilane or trialkoxylsilane on silicon oxide is

very sensitive to the environment parameters such as water content humidity temperature

solution histories history of glassware resulting in the reproducibility problem under the

regular lab condition

The formation of well-defined and densely packed organic monolayers directly

attached to single crystalline silicon surface without interfacial silicon oxide layer has

received increasing interests since its invention A highly organized monolayer through

highly stable Si-C bonds can be prepared by the reaction of alkenes with H-terminated

silicon thermally photochemically or catalyticly Because of its ability to tailor the surface

for different applications SAMs with a variety of functionalities have been widely

investigated in their formation interfacial structure and decomposition In addition in the

field of farication of semiconductor and electronic devices many processes involve high

temperature anneals Therefore the thermal behavior of organic SAMs is important for

96

applications such as organicinorganic hydride device micro-nano- patterning selective

Atomic Layer Deposition (ALD)

In this chapter the formation structure and thermal stability of two types of organic

monolayers (-CH3 SAM and ndashCOOH SAM) thermally grafted on H-terminated Si (111)

are studied by infrared spectroscopy

52 Experimental

521 Materials

Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular seive 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional cross-linker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and with nitrogen bubbling for at least 1

hour in order to remove the dissolved water and oxygen before use

522 Preparation of Self Assembled Monolayers

5221 Preparation of H- Terminated Si (111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ-cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

97

123

45 6

78

91 10

23

45 6

78

911

N2 flow

cold water

Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)

peroxide at the ratio 31 at 95Cdeg for 25 minutes to remove the surface contamination

following by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into N2 purged FTIR spectrometer

chamber for taking reference spectra or into a N2 purged glove box where all further

chemical functionalizations were conducted

5222 Preparation of ndashCH3 Terminated Si (111) surface

Neat octadecene was pre-treated with 3Aring molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs without oxidizing the silicon surface

After transferring the octadecene liquid into the three-necked flask loading H-Si (111)

sample and assembling the condenser tube in the N2 glove box the whole set was sealed

and moved out from N2 glove box to a hood The octadecene was then continuously purged

with N2 (g) for additional 30 min before heating it up to reaction temperature at 200 degC in a

silicon oil bath

98

5223 Preparation of -COOH Terminated Si (111) surface

Neat 1-undecylnic acid was pre-deoxygenated for at least 1 hour while heated at 50 degC

(keep it from freezing) and then cooled to room temperature The freshly prepared silicon

H-Si (111) was then placed under N2 in a Schlenk three-necked flask containing a

previously deoxygenated neat undecylnic acid and allowed to react at 120 degC for 2 hours

The excess unreacted reagent was removed after the reaction and the sample was rinsed

with THF dichloromethane hot 10 acetic acid (at 80 degC) and DI water and then dried

under the stream of N2 (g)

53 Results

531 Preparation of Octadecylated Si (111) Surface

Figure 52 shows the typical transmission infrared spectra of freshly-octadecylated Si(111)

surface prepared by immersion of hydrogen-terminated Si(111) into the neat 1-octadecene

for 2 hours at 200 degC [1 2] The spectra acquired from two different incident angles of the

IR beam 74deg and 10deg off normal These spectra are referenced relatively to the

freshly-etched H-Si (111) surface so the negative peaks in the spectra represent features of

H-Si (111) surface removed during the alkylation reaction For the spectra collected at 74deg

off normal (Brewster angle) the negative sharp peak of Si-H stretching mode at 2083 cm-1

and Si-H bending mode at 628 cm-1 indicates removal of H atoms from surface The sharp

negative peak at 2083 cm-1 is not observed when probed with IR incident angle of 10deg off

normal while the Si-H bending mode appeared slightly more negative indicating that Si-H

bond is perpendicular to the Si(111) surface The broad low intensity peak at 2073 cm-1

shown in the spectra referenced against the oxidized surface (inserted in a small left panel)

indicates that the alkylation is not completed which is in agreement with at most ~ 50

surface Si-H which can be grafted due to the steric limitation for alkyl chain with more than

one carbon on Si (111) surface [3]

A rather low surface oxidization is observed as indicated by the weak broad peaks

centered at 1018 cm-1 with FWHM 83 plusmn 2 cm-1 in the region of 900-1100 cm-1 The

effective thickness of Si-O is less than 01 nm estimated by comparing the integrated area

99

of broad Si-O-Si phonon modes to the IR spectra of sample that has been oxidized using

standard RCA cleaning (SC-1 10min immersion in a solution of 114 30 H2O230

NH4OHDionized water at 80degC followed by a water rinse and a SC-2 clean 10min

immersion in a solution of 114 30 H2O2 39 HClDeionized water at 80degC) The RCA

clean has been shown previously to produce an oxidized layer of ~ 1 nm [4] After

alkylation the area of Si-O (~00233 centered at 1018 cm-1) corresponds to less than ~10

of regular oxide prepared from RCA method The lack of typical shape (LOTO) of

oxidized peaks at 1000-1200 cm-1 from RCA clean suggests that Si-O probably exists in

isolated Si-O form

The attachment of alkyl chain to the Si(111) surface is confirmed by the positive peaks

at 2800-3000 cm-1 29192850 cm-1 are assigned to the asymmetric and symmetric

stretching modes of CH2 group and 29662879 cm-1 are assigned to the asymmetric and

symmetric stretching modes of CH3 group respectively The peak at 1467 cm-1 is assigned

to the corresponding scissor mode of CH2 groups The υa (CH2) band is indicator of

packing of linear alkyl chains in the monolayers [5] the denser packing results in lower

wavenumber It is known that this band shifts from 2928 to 2920 cm-1 as the alkyl chains

going from disordered liquid phase to ordered solid phase[6] So the observed peak at

2919 cm-1 suggests the alkyl chains are ordered densely packed In fact as shown in the

Figure 53 the integrated area of stretching modes of hydrocarbon representing the

amount of alkyl chains on the surface is nearly linearly correlated with the wavenumber of

υa (CH2) band The denser packing indicated by the higher integrated area of stretching

modes of carbon-hydrogen corresponds to the lower wavenumber of peak of υa(CH2)

The weak band at ~ 678 cm-1 is assigned to the surface Si-C stretching mode The fact

that it disappeared from the spectra when IR beam incident angle is 10deg indicates that Si-C

bond is normal to the surface However it is worth to note that Si-C peak is quite difficult

to see since it is imposed with nearby strong silicon phonon mode at 610 cm-1 Si-H

bending mode at 626 cm-1 and CO2 at 667 cm-1 A careful spectra reprocess is needed in

order to remove other irrelatated bands

100

Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene

FTIR Spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-octadecene for 2 hours at 200 degC The spectra were measured at 74deg (top) and 10deg (bottom) off normal as indicated Both are referenced relative to the freshly-etched H-Si(111) surface

101

Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains

The packing density is represented by the integrated area of stretching modes of carbon-hydrogen It clearly shows that the denser packing of SAM leads to better ordered layer

102

532 Preparation of COOH-Terminated Monolayer on Si(111) Surface

via a Si-C bond

Figure 54 shows transmission infrared spectrum of COOH-terminated Si(111) through

Si-C bond on Si(111) surface prepared by immersion of hydrogen-terminated Si(111) into

the neat 1-undecylenic acid for 2 hours at 120 degC This is similar with the method applied

to porous silicon surface [7] The negative sharp peak of Si-H stretching mode at 20831

cm-1 and Si-H bending mode at 6267 cm-1 clearly indicates removal of H atoms from

surface

The strong peak appears at ~1718 cm-1 (FWHM 239 plusmn 03 cm-1) which is a

characteristics of a carboxylic acid is assigned to the stretching vibration mode of C=O of

a carboxylic acid The broad shoulder of this peak may contain weak stretching mode C=C

at 1634 cm-1 and stretching mode of C=O in an ester form Si-O-C(O)-R at ~1740 cm-1

Although both alkenes (C=C) and carboxyl group (-COOH) may react with H-Si(111) [8]

here we cannot completely exclude the possibility of reaction between COOH and surface

Si-H and exactly estimate the percentage of reaction through this pathway The intense

peak at 1718 cm-1 and the absence of Si-O-C at ~1100 cm-1 strongly indicate that most of

the surface Si-H was consumed by a hydrosilylation reaction with C=C rather than thermal

reaction with the hydroxyl group of acid function In addition the clear peaks at 1278 and

1413 cm-1 assigned to C-OH stretching and C-O-H bending also suggest that the acid end

group is left intact after the reaction This is similar with what reported that the dominant

hydrosilylation is observed in the reaction between undecylenic acid and porous silicon

[7]

The major bands between 2800-3100 cm-1 are directly assigned to the asymmetric and

symmetric methylene stretching υαs(CH2) at 2926 and υs(CH2) at 2854 cm-1 respectively

It is known that the asymmetric stretching mode of methylene an indicator of surface

monolayer packing order shifts from 2928 to 2919 cm-1 as the phase of monolayer shifts

from disordered liquid phase to ordered crystal phase [6] Therefore the above observed

value indicates that the COOH-terminated monolayers formed from undecylenic acid are

not as orderly and compact as long chains of CH3- terminated n-alkyl monolayers

103

Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid

Transmission infrared absorbance spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-Undecylenic Acid for 2hours at 200 Cdeg The spectrum was measured at 74deg off normal and is referenced relative to the freshly-etched H-Si(111) surface

104

Although there are other indirect methods for forming COOH- terminated monolayers ie

by hydrolysis of ester-terminated monolayers on silicon[9 10] these methods still can not

produce monolayer as orderly as n-alkyl monolayers because the hydrolysis of ester group

usually is not complete[11]

Finally the weak band at the 900-1200 cm-1 indicates a very low concentration of

Si-O-Si or Si-O-C the surface is essentially oxide free Compared to the reaction of

n-alkylation of Si(111) using 1-octadecene there is usually a certain small amount of oxide

(~10 equivalent of the chemical oxide formed by standard RCA cleaning with effective

thickness ~ 1 A) that is formed during the similar thermal reaction condition a common

problem in hydrosilylation [2] One explanation of this largely suppressed oxide formation

is that the residual water a cause of oxide formation during alkylation is trapped by

undecylenic acid [12] This chemical modification method is especially useful in the place

where oxide is unwanted Meanwhile the chemically reactive acid groupsrsquo termination

leaves the surface available for being further tailored to meet the requirements of different

applications

533 Chemical Stability Resistance of Organic Monolayer via a Si-C

bond to HFNH4F

Figure 55 shows the FTIR spectral changes of Octadecylated Si(111) after immersed

in the 10 HF solution for 30 second and 40 NH4F solution for 90 second (a typical

recipe used for removing silicon oxide and preparing atomic flat H-Si(111) surface) The

SAM has high resistance toward HFNH4F etching Not only the hydrocarbon has very tiny

loss but also the silicon oxide under SAM formed during alkylation is significantly

protected by organic layer This suggests that SAM is densely packed over the whole

surface and behaves like a very good barrier to prevent HF and NH4F from attacking the

sub-oxide of substrate This also indicates that the oxide must exit as local small patches

surrounded by alkyl chains otherwise the area without alkyl chains protection would be

etched away by HF

105

534 Thermal Stability of Octadecylated Si(111)

Figure 56 shows the typical FTIR data after octadecylated Si (111) annealed at stepped

increasing temperature from 100 to 400 degC In the Figure 56a all the spectra are

referenced against freshly SAM modified Si (111) surface As indicated by the stretching

modes of carbon hydrogen at 2800-3000 cm-1 the loss of alkyl chains starts at 150 degC This

is consistent with the results reported by Fauxheus [13] in the nitrogen environment and

Sung in the ultra vacuum environment [14] With the gradual loss of alkyl chains a peak

appears at 2080 cm-1 corresponding to the stretching mode of surface Si-H Its intensity

increases with increased annealing temperature until 350degC Further annealing to the

higher temperature 400 degC the intensity of Si-H stretching mode starts to decrease with

appearance of new peak at 2269 cm-1 which is assigned to stretching mode of H-Si with

the insertion of oxygen into the Si backbonds H-SiOx species At the same time the CH

stretching mode completely disappeared indicating complete desorption of grafted

alkyl-chains (for clarity the same spectra referenced to clean H-Si(111) are shown in the

Figure 57a) These results directly indicate that alkyl chains are desorbed as a whole with

breaking of surface Si-C bond and regeneration of Si-H in accordance with the desorption

mechanism based on results from UHV conditions[14] Consistent with appearance of

H-SiOx at higher annealing temperature above 400degC there is no oxidization of silicon

substrate observed below 400 degC which indicates that the alkyl chains or H-Si may protect

the surface from oxidization In contrast to Fauxheus et al we do clearly see the formation

of Si-H at 2080 cm-1 and do not observe the oxidization of Si or significant insertion of

oxygen into Si back-bond below 350 degC even in the normal N2 purged environments

Consider that the spectra were referenced against freshly-SAM grafted Si (111) surface

with 20-50 Si-H site untouched the positive peak at 2080 cm-1 indicates there is more

Si-H newly formed during the thermal decomposition of alkyl-chains on the surface than

on the starting freshly-alkylated Si (111) surface

In the Figure 56b the same data as Figure 56a is presented in the different manner

for each spectrum the reference spectrum is the one taken right after heating at the

preceding temperature We can clearly see the biggest loss that occurs during 250 - 300 degC

The amount of loss of CHx is proportional to the increasing intensity of Si-H band In

106

Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution

FTIR Spectra of Octadecylated SAM were obtained before and after exposure to HF (30s) and NH4F (90s) The top spectra are referenced against to Octadecylated SAM before HFNH4F treatment and bottom one are referenced relative to fresh H-Si(111)

107

Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure N2 gas from 100 to 400 degC Transmission infrared spectra were collected at 60 degC after each successive annealing with incident angle 70deg off normal The duration of heating at each temperature is 15min a) All spectra are referenced relative to fresh octadylated Si(111) surface at 60degC b) For each spectrum the reference spectrum is the one taken right after heating at the preceding temperature (differential spectra)

108

Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated Si(111) sample

a FTIR spectra of region of C-H stretching after annealing at different temperature b The dash line plots the integrated peak area of C-H stretching modes as a function of annealing temperature The points are normalized by the peak area measured prior to annealing

109

addition the sharper Si-H peak after heating from 300 to 350degC may suggest that Si-H

continues form and is less likely disturbed by the nearby environment due to removal of

more surrounding alkyl chains from the surface

The desorption behavior of octadecylated Si (111) is summarized in the Figure 57b

The dash line plots the integrated peak area normalized by the peak area of C-H stretching

modes prior to annealing as a function of annealing temperature In contrast to Sungrsquos work

at UHV condition we do not observe the alkenes probably due to the removal of alkenes

by continuous N2 purge flow during the annealing processes

535 Thermal Stability of COOH-Terminated-Si (111)

Figure 58 shows typical FTIR data after COOH-terminated Si (111) annealed at

stepped increasing temperature from 100 to 500degC In Figure 58a all the spectra are

referenced relative to freshly-grafted COOH- terminated Si (111) prior to annealing In

Figure 58b the same data as Figure 58a are presented in the differential manner ie for

each spectrum the reference spectrum is the one taken right after heating at the preceding

temperature

The peak of C=O stretching mode at 1714 cm-1 is very first mode to start decreasing in

intensity at 150 degC and a weak new peak appears at slightly higher frequency 1740 cm-1

This shift of C=O mode maybe due to the breaking of hydrogen bond between COOH-

groups upon annealing It further decreased after annealing at 200 degC simultaneously two

new peaks appeared at 1756 1823 cm-1 which can be possibility assigned to formation of

C(O)-O-C(O) between nearby COOH- groups although this reaction may not account for

all the observed loss of intensity of 1714 cm-1 A possible breaking of C=O may be also

undergoing The peaks at 1756 and 1823 cm-1 disappear after annealed at 350degC

At the 300degC the intensity of carbon-hydrogen stretching modes starts decrease and

the biggest loss occurs in the range of 400-450 degC There is no further change in intensity of

CH2 stretching mode from 450 to 500 degC indicating no further loss of alkyl chains

Comparing to the octadelyated Si(111) as we discussed earlier the COOH terminated

monolayers has higher thermal stability than n-alkylated Si(111) surface where alkyl

chains is completely desorbed from the surface at 400 degC (Note the difference of chains

110

length can not account for this higher thermal stability as the desorption donrsquot significantly

depend on alkyl chain length in the range from C6 to C18[13])

Accompanied with the loss of alkyl chains a new peak at 2072 cm-1 appears which is

assigned straightforwardly to Si-H stretching This strongly indicates that alkyl chains are

disassociated as a whole by cleavage of Si-C and regeneration of Si-H on the surface

Further annealing at 450 degC and higher temperature the intensity of Si-H stretching mode

decreases and a weak peak appears at 2270 cm-1 which is previously assigned to SiH with

insertion of oxygen into the Si-Si backbonds At the same time a broad weak band appears

in the region 900-1200 cm-1 representing the formation of SiO (with effective thickness 2

Ǻ or ~20 coverage in the form of islets of SiO) This evidence indicates that the surface is

only partially oxidized and part of surface is still covered with H-Si in the form of H-SiOx

after annealing at 500 degC

For clarity we also include the transmission infrared data recorded ex-situ using DTGS

detector with 74deg off normal incident angle transmission geometry As shown in Figure 59

the annealed COOH-SAMSi (111) is referenced against to clean H-Si (111) and

freshly-grafted COOH-SAM prior to heating respectively After hydrosilylation and

annealing there is a weak broad peak at 1664 cm-1 and 2269 cm-1 in consistent with in-situ

data And there is only less than ~5 carbon hydrogen remained on the surface As shown

in Figure 59c the negative peak of Si-H stretching mode at 2073 cm-1 has integrated area

~0007 cm-1 (~50 of integrated area of a full H-terminated Si(111) surface) implying that

there was at least ~50 of Si-H presenting on the freshly-grafted COOH-terminated

Si(111) before annealing which is in agreement with that the fact at most ~50 surface

Si-H of H-Si(111) can be substituted with alkyl chains due to the steric limit [3]

111

Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c

112

Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111) FTIR Spectra is recorded ex situ before and after COOH-terminatedSi(111) samples is annealed inside the chamber The spectra were measured at 74deg off normal using DTGS detector a freshly-grafted COOH-SAMSi(111) b annealed COOH-SAM Si(111) referenced relative to freshly-etched H-Si(111) c annealed COOH-SAM Si(111) referenced relative to freshly-grafted COOH-SAMSi(111)

113

54 Discussion

541 Alkyl Packing on the H-Si (111) surface (Theory and Model)

By comparing FTIR spectra of a freshly grafted n-alkylated Si (111) surface with spectum

of fresh H-Si (111) surface (both are referenced to SiO2 surafce) the area under the Si-H

stretching (2083cm-1) on surface revealed that 30 H-Si remains Because a slightly

oxidization is also observed during alkylation 70 is an upper limit of percentage of

hydrogen replaced by Si-C linkage In theory the maximum coverage is 50 due to the

steric interaction between fully extended chains The ordering of alkyl chains increases as

thee packing density increases The percentage of remained surface H-Si after alkylation is

~ 50 for ndashCOOH terminated surface where nearly no oxidization is discernable in the

infrared spectra This is well consistent with the theoretical calculation [15]

542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111)

We demonstrated that ndashCH3 terminated Alkyl C18 chain on silicon (111) is thermally

stable up to 150 degC Starting from 150 degC the chains start to desorb from the surface The

maximum loss of hydrocarbon occurs between 250 to 300 degC Accompanying with the loss

of hydrocarbon chains (indicated by the integrated area of CH stretching mode at

2800-3000 cm-1) a peak at 2076 cm-1 attributed to Si-H stretching mode appears

simultaneously and increases steadily in the intensity up to 350 degC Further annealing at

higher temperature above 350 degC results in loss of Si-H and reappearing of peak at 2260

cm-1 (H-SiO3) results in complete loss of hydrocarbon and appearance of a small broad

Si-O peak at ~1140 cm-1 This indicates the surface is not oxidized until annealing over 350

degC and the oxidization occurs in the way of insertion of oxygen into the Si-Si back-bond of

H-Si

A desorption mechanism has been proposed earlier for thermal decomposition of alkyl

Si(111) in the UHV environment [14] the alkyl chains are desorbed as a whole by cleavage

of surface Si-C bond accompanied by regeneration of the alkenes amd surface Si-H

114

31222322 )( )( CHCHCHCHHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (1)

Alternatively another competing pathway has also been proposed where Si-CH3 instead

of Si-H remains on the surface with cleavage of C-C bond However it was considered as a

minority mechanism [13]

322223322 )( )( CHCHCHCHCHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (2)

Our results obtained from annealing under N2 environment is consistent with the HREEL

data in UHV conditions[14] It directly supports that the alkyl chains are desorbed via

cleavage of Si-C bond with re-formation of surface Si-H The increase of Si-H peak

intensity cannot be explained by the removal of nearby alkyl chain in other mechanism (eg

pathway (2)) without cleavage of Si-C bond Because if pathway 2 is dominated remaining

Si-CH3 would still disrupt the dipole coupling of Si-H and we would not see the increasing

of Si-H mode Indeed the peak position of Si-H is observed at 2080 cm-1 with a shape of

sharp spike suggests that local formation of dipole coupling between neighboring Si-H

groups on a terrace It has been previously shown that Si-H stretching modes is red shifted

from 2083 cm-1 to 2073 cm-1 and broadened due to disruption of dipole coupling of Si-H on

a terrace [16-18] In this picture the amount of removed alkyl chains is proportional to the

re-formed Si-H The presence of Si-H suggests that desorption of the alkyl monolayer

occurs through βminushydride elimination

Annealing above 350 degC and after ~80 alkyl chains are removed Si-H surface starts

to be oxidized by insertion of oxygen into the Si-Si back-bond The source of oxygen may

be due to impurity oxidant in either purged N2 gas or water absorbed inside of chamber

The appearance of H-SiO3 is consistent with previous study of oxidization of hydrogen

terminated silicon with presence of oxidant For instance a study of reaction of O2 with

H-Si(111) found that no oxygen initially inserted into Si-H bond to form Si-OH instead

various H-SiOx species formed [19 20] Another study of water reaction on H-Si(100)

under ultrahigh vacuum has shown that oxidization of Si-Si bonds occurs at both room

115

temperature and elevated temperatures but without breaking Si-H bond likely due to

14eV stronger bond energy of Si-H than bulk Si-Si[21]

The slow oxidization of surface indicated by the small Si-O peaks at 1000-1300 cm-1

and H-SiO3 peak at 2260 cm-1 maybe attributed to the minimized oxidant impurity and

stability of H-Si surface They are not sufficient to drive fast oxidization at the annealing

temperature A previous study of initial stage of oxidization of hydrogen-terminated

silicon in the water or humidity air proposed two-stage oxidization with different

oxidization rate [22 23] The Si-Si back-bond instead of Si-H is first attacked by attracted

water molecule to form H-SiOx and the oxidization is slow (Induction Period) As Si-OH

coverage increasing it could join with adjacent Si-OH to form Si-O-Si bridge groups

These groups are argued to be responsible to more rapid oxidization at the second stage [22

23]

543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)

Comparing to n-alkyl Si(111) SAM COOH-SAMSi(111) shows higher thermal stability

The -CH2- stretching mode of alkyl chains of ndashCOOH SAM only has minor changes upon

annealing to 350 degC while at the same temperature ~80 alkyl chains is removed from

n-alkylated Si(111) surface The largest loss of -CH2- occurs between 400ndash450degC The

infrared spectra clearly show that a chemical transformation of carboxyl-termination prior

to the thermal desorption of hydrogen-carbon chains occurs At the lower temperature

between 200-250degC a decrease of integrated area of C=O mode and the simultaneous

appearance of two peaks at 1726 and 1823 cm-1 suggest a formation of anhydride from

condensation of two neighboring COOH groups (Figure 510)[24] With increasing

temperature to 300degC the largest loss of C=O occurs and the peaks at 17261823 cm-1

remain nearly unchanged instead of increasing This may be explained as anhydride group

became unstable at this temperature The fact that no spectroscopic feature related with

C=O (1400-1700 cm-1) is observed suggests the C=O is chemically transformed or

removed from surface

116

In the most recent independent study of Faucheux et al [25])2 they postulated that C-C

bond between the alkyl skeleton and the anhydride group will break first due to the C-C

bond polarization upon annealing at this temperature range 250-300 degC leaving a saturated

hydrocarbon chains bond to two surface silicon They then attributed the enhanced thermal

stability to the formation of this type of paired chain structure Although this two-end chain

mode seems reasonabe to explain observed enhanced stability there several issues with

this mechanism it lacks direct evidence that how C-C bond forms between two

neighboring chains In addition the steric interaction between chains was not considered

and it may prevent formation C-C bridge on the top of chains (C-C ~154 Aring)

Our results show a similar behavior except that the loss of majority of C=O occurs at

250-300 degC while they are observed at 200-250 degC We observed that anhydride formed at

250 degC is completely removed upon annealing to 350degC while they observed it at lower

temperature at 300 degC3

Anhydrides are formed by dehydration of carboxylic acid with eliminating water

between two carboxylic acid groups Anhydride is pretty reactive acid-derivative like acid

chlorides but less reactive than acid chlorides anhydride can undergo many of the same

kinds of reaction as acid chlorides In our experiment at 250degC ~25 C=O carboxyl

stopped accompaning with the formation of anhydride While at 300degC additional ~40

C=O was lost while there were no more anhydride forms accompanied with the loss of

hydrocarbon It could be either due to the instability of anhydride or there maybe exist

other mechanism accounted for the loss of C=O annealing at this temperature For instance

they could be attributed to removal of single non-paired carboxyl chain by cleavage of Si-C

bond This is consistent with what is found early in the case of n-alkylated Si(111)

annealing at 250-300 degC leads to removal of majority alkyl chains by cleavage of Si-C

R-COOH + R-COOH + heat --gt R-C(O)OC(O)-R + H2O

2 Our work is carried independently with their research At the time we have all data and prepared for a publication they published their results right before us 3 Note the temperature range difference between us may be attributed to the way to measure sample temperature

117

Furthermore we notice that the quantitative analysis of our experimental data in Figure

511 has a very similar pattern to the simulated result of desorption of two-end chains[25]

Similar to the thermal decomposition of n-alkyl chains on Si(111) a increase in Si-H

stretching mode accompanying with loss of -CH2- indicates that desorption of the alkyl

monolayer occurs through β-hydride elimination In contrast to thermal decomposition of

n-alkyl chains on Si(111) where the loss of H-Si and appearance of H-SiO3 is observed

upon annealing to 400 degC H-Si stretching mode decreases while H-SiO3 is barely observed

during the process of heating COOH-SAM The Si-H starts to decrease when annealing

temperature is as lower as 250 degC This might be due to the excessive oxidant existed on the

surface with hydrophilic ndashCOOH groups(eg release of pre- hydrogen bonded water upon

heating or H2O formed from transformation of carboxyl to anhydride) And the larger

amount of Si-O is observed in the range 1000-1300 cm-1 suggesting more silicon

oxidization presenting on the annealed COOH-SAM surface This higher degree of

oxidization and lack of intermediate product H-SiO3 probably is due to exist of oxidant

from decomposition products (H2O) of carboxyl group

Based on this evidence we propose the following mechanism as shown in Figure 510

At the lower temperature (150-250 degC) -COOH functional groups are undergoing

chemical transformation to anhydride at the place where two nearby ndashCOOH exist With

temperature increasing to 250-300 degC the single carboxyl alkyl chain that has no chance to

form anhydride will be removed from the surface with the removal of whole alkyl chains

through Si-C cleavage Further annealing at 350 degC leads to degradation of anhydride and

may form bridge C-C or C-O-C connecting two neighboring chains and release CO and

CO2 The paired chains have higher thermal stability can be desorbed from surface until

annealing to 400 degC and above

118

Si

OHO

Si

OOH

Si

OO

Si

O

CO2CO

O

SiSi

Figure 510 Possible Reaction during Annealing

Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen environment

Quantitative analysis of the change of integrated area stretching mode of CHx of carboxyl-C12 SAM and n-alkylated C18 SAM (Note here length of chains is not matter But for a more rigorous discussion a result from C12-SAM will be given later) Both are normalized to area of CH2 from fresh grafted SAM

119

54 Conclusion We demonstrated that organic self-assembled monolayers (with -CH3 and ndashCOOH

terminal groups) can be thermally grafted on the H-Si (111) via a Si-C bond There is much

less surface oxidation occurring during the formation of ndashCOOH SAM than that of ndashCH3

SAM possibly because trapping of trace of oxidant (ie H2O) by the -COOH terminal

group prevents it from attacking silicon substrate The ndashCH3 SAM shows crystal-like

ordered structure The ordering of alkyl chains of ndashCH3 SAM depends on the packing

density the higher packing density the better ordering is Grafted ndashCOOH SAM is found

less ordered than ndashCH3 SAM possibly due to the interaction between the ndashCOOH head

groups

The study of thermal stability of the organic layers by annealing samples under N2

atmospheres shows that both types of SAMs desorp from surface through cleavage of Si-C

bond (β-hydride elimination reaction) -CH3 SAM is thermally stable up to 200degC

Annealing to higher temperature results in desorption of alkyl chains from surface

accompanied with re-formation of Si-H Further annealing to higher temperature at 400degC

hydrocarbon is completely removed from surface confirmed by the FTIR spectra and final

surface is partially oxidized with insertion of oxygen into the Si-H back-bond

COOH-SAM shows enhanced thermal stability compared with o ndashCH3 SAM The

alkyl chains are thermally stable up to 350 degC and are completely desorped from surface

after annealing at 450 degC COOH functional group is found to play a role in enhancing

thermal stability of layers It is found that anhydride forms between -COOH groups of two

neighboring chains before the desorption of alkyl chains occurs The elimination of the

anhydride by anealing at 300-350degC may lead to formation of an end-paring of the grafted

chains with C-O-C or C-C bridging on the top of chains The end-pairing chains

correspond to the enhanced thermail stability

120

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

4 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

5 Porter MD et al Spontaneously organized molecular assemblies 4 Structural characterization of n-alkyl thiol monolayers on gold by optical ellipsometry infrared spectroscopy and electrochemistry J Am Chem Soc 1987 109(12) p 3559-3568

6 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

7 Boukherroub R et al Thermal hydrosilylation of undecylenic acid with porous silicon Journal of the Electrochemical Society 2002 149(2) p H59-H63

8 Asanuma H GP Lopinski and H-Z Yu Kinetic Control of the Photochemical Reactivity of Hydrogen-Terminated Silicon with Bifunctional Molecules Langmuir 2005 21(11) p 5013-5018

9 Sieval AB et al Highly stable Si-C linked functionalized monolayers on the silicon (100) surface Langmuir 1998 14(7) p 1759-1768

10 Boukherroub R et al New synthetic routes to alkyl monolayers on the Si(111) surface Langmuir 1999 15(11) p 3831-3835

11 Liu YJ NM Navasero and HZ Yu Structure and reactivity of mixed co-carboxyalkylalkyl monolayers on silicon ATR-FTIR spectroscopy and contact angle titration Langmuir 2004 20(10) p 4039-4050

12 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

13 Faucheux A et al Thermal decomposition of alkyl monolayers covalently grafted on (111) silicon Applied Physics Letters 2006 88(19)

14 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

15 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

16 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

121

17 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

18 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

19 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

20 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

21 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air Journal of Applied Physics 1994 76(4) p 2157-2163

22 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

23 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

24 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 25 Faucheux A et al Mechanisms of thermal decomposition of organic monolayers

grafted on (111) silicon Langmuir 2007 23(3) p 1326-1332

122

6 Chapter 6 Selective Atomic Layer Deposition (ALD) of

Metal Oxide on SAMs with Different Functional Groups

61 Introduction

Scaling down of integrated circuits requires the replacement of SiO2 gate dielectric in

the field effect transistor because leakage current exponentially increases with decreasing

SiO2 film thickness and results in device failure The high- and low- permittivity dielectrics

are been investigated as a substitutes of SiO2 for years The deposition of high quality

high-k film on the demanding geometry is essential for the applications Aomic layer

deposition (ALD) is the one which can meet these requirements

ALD is a powerful ultra-thin film deposition technique offering excellent large-area

uniformity and conformality It enables accurate control of film thickness composition

with demanding geometry at the atomic level The ALD process uses molecular precursors

such as metal complex for growth of metal-oxide film Each precursor behaves as a

self-limiting reaction at the surface and is deposited in monolayer per cycle The film

quality and growth rate of ALD process greatly depend on the initial surface chemical

condition A surface with proper chemical state is required for molecular precursor to

nucleate on the surface and to initiate a well-controlled linear growth

For this purpose a thin SiO2 surface terminated with hydroxyl group was used to as

starting surface for high-k film deposition which actually partially compromises the

advantage of using high-k by reducing the effective gate stack capacitance Recently ALD

of high-k has been found to be able to perform on oxide-free H-passivated silicon (HSi)

[1-4] However because silicon has high affinity toward oxygen interfacial SiO2 is easily

formed between the silicon and high-k layers during the ALD process[1 2] in spite that

H-passivated silicon shows high resistance to oxidization under normal lab condition in

123

ambient environment and even in pure O2 or H2O environment at temperature as high as

300degC ([2 5]) Furthermore H-terminated Si has relatively poor nucleation characteristics

comparing to hydroxyl terminated SiO2 surface resulting in non-linear film growth and

formation of discontinuous and electrically leaky gate stack To solve the problem of poor

nucleation H-terminated silicon surface a starting surface with reactive nucleation sites

and good oxygen barrier is under the demand

Semiconductor passivated by alkyl chains through Si-C bond has shown high stability

in the air or water The SAMs serve as diffusion barrier to protect surface from oxidization

and other chemical reaction The surface cannot be oxidized in the air for months In this

work we explore to use alkyl-SAM as alternative solution for the ALD issues addressed

above First SAMs could provide a well-defined interface surface and act as a barrier for

oxidant diffusion Second at the same time by using broad available organic chemistry

methods SAMs can be easily tailored with different chemical functional groups in order to

meet different applications It can enhance the nucleation process and promote a linear

growth dynamics on the top of SAMs with reactive terminal groups such as hydroxyl and

carboxyl group It can be also used as passivated inert layer with -CH3 termianl group to

block unwanted reactions

Besides the direct applications of SAMs in ALD process SAMs can be used as model

surface to study organic-inorganic interface Organic-inorganic interface plays increasing

important role in molecular electronics micro-interconnect technology such as

carbon-based low-k dielectrics and metallicinorganic diffusion barrier However

elucidation of fundamental interaction at the interface is very difficult and not well

understood because of nature of complexity and lack of precisions for the organic surface

(such as organic polymer surface having mixed terminal groups) High quality and

well-ordered organic SAMs [6] provide a known surface density of uniformly organized

functional groups at the interface and ability to tailor surface properties by varying terminal

functional group

In this study we apply ALD of Al2O3 on SAMs surface with different terminal groups

including OH- NH2- COOH- CH3- The controllable surface nucleation is studied

including both substrate-enhanced growth and substrate-inhibited growth The interaction

between functional groups and TMA precursor has been studied systematically in this

124

study Because the effect of substrate on the nucleation and growth is seen in the beginning

of the ALD growth our current study focuses on the very first 10 ALD cycles

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

SiH

SiSiH

SiSiH

SiSiH

SiSiH

SiSiH

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

ALD

High k Metal Oxide

hydrosylation

Figure 61 SAM Assisted Atomic Layer Deposition of Metal

Oxide on Silicon Surface

125

62 Experimental

621 Materials Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular sieve 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional crosslinker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and N2(g) bubbling for at least 1 hour in

order to remove the dissolved water and oxygen before use

622 Preparation of Self Assembled Monolayers

6221 Preparation of H- Terminated Si(111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95Cdeg for at least 25 minutes to remove the surface

contamination following by thoroughly DI-water rinsing The cleaned sample was then

placed in the 10 HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the

silicon oxide layer and produce an atomic flat H- terminated Si(111) surface During the

etching step the wafer was agitated occasionally to remove the bubbles formed on the

surface After NH4F etching sample is rinsed thoroughly with DI water and dried under a

stream of N2 (g) The sample was then immediately removed into N2 purged FTIR

126

spectrometer chamber for taking reference spectra or into a N2 purged glove box where all

further chemical functionalizations were conducted

6222 Preparation of ndashCH3 Terminated Si (111) Surface

Neat octadecene was pre-treated with 3Ǻ molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs with minimized oxidization of the

silicon surface After transferring the octadecene liquid into the three-necked flask loading

H-Si (111) sample and assembling the condenser tube in the N2 glove box the whole set

was sealed and moved out from N2 glove box to a hood The octadecene was then

continuously purged with N2 (g) for additional 30 min before heating it up to reaction

temperature at 200 degC using silicon oil bath

6223 Preparation of -COOH Terminated Si (111) Surface

Neat undecylenic acid was pre-deoxygenated for at least 1 hour while heating at 50 degC and

then cooled to room temperature The freshly prepared silicon H-Si (111) was then placed

under N2 in a Schlenk three-necked flask containing the previously deoxygenated neat

undecylenic acid and allowed to react at 120degC for 2 hours The excess nonreacted reagent

was removed after the reaction and sample was rinsed with THF dichloromethane hot

10 acetic acid and DI water and then dried under a stream of N2 (g)

6224 Preparation of ndashOH Terminated Si (111) Surface

-OH terminated SAM is reduced from a ndashCOOH SAM by immersing freshly prepared

ndashCOOH SAMSi(111) into the 01M LiAlH4 in anhydrous THF for 6 hours After pouring

out the excess reaction solution sample was rinsed with THF and dried under a stream of

N2 (g)

6225 Preparation of ndashNH2 Terminated Si(111) Surface

Two routes were developed to prepare ndashNH2 Terminated Si(111) surface Both are

derivatives of ndashCOOH SAM Method I first utilizes conversion of the acid functional

group to succinimidyl ester as following freshly prepared ndashCOOH SAM was activated by

127

immersing into NHSEDC solution (NHS 01M and EDC 04M in deionized water) and

allowed to react at room temperature for 1 hour to form Si-C10COONHS surface The

resulting surface was rinsed copiously with deionized water and then dried under a stream

of N2 (g) This reaction is pretty robust and the completion of conversion is confirmed by

FTIR spectroscopy Second the NHS-terminated surface was then reacted with

ammonium by immersing into 01M ammonium solution in dioxane for 2 hours with

formation of Si-C10-CONH2 a primary amide terminated surface After reaction the

sample was rinsed copiously with THF and then dried under N2 stream The last step was

involved reducing primary amide to primary amine by immersing ndashCONH2 SAM into

01M LiAlH4-THF solution for 6 hours followed by rinsing with THF 10 HCl aqueous

solution and deionized water then dried under a stream of N2

Method II is chlorination-based method The routine is shown in the Figure 62 In a

N2 purged glove box freshly prepared ndashCOOH SAM was convert to ndashCOCl by immersing

into 01M oxalyl chloride solution prepared by adding 100μL oxalyl chloride (2M in

CH2Cl2) into 20ml anhydrous CH2Cl2 then add one drop of anhydrous DMF for 3 hours

After reaction is completed the -COCl terminated sample rinsed copiously with anhydrous

CH2Cl2 and let it naturally dried in N2 glove box Then sample was transferred into a clean

test tube sealed in the N2 glove box and transferred to another glove box for further amine

modification or to FTIR chamber for IR measurement The operation process must be

careful to avoid exposure to air or moisture The freshly prepared ndashCOCl SAM sample was

placed in the Teflon beaker containing 5ml 05M NH3 dioxane solution and 2ml anhydrous

triethylamine The beaker was then covered with Para film and left for overnight in the N2

glove box After the reaction the excess solution was poured out and sample was rinsed

with deionized water and then dried in N2 flow

The chemical reactivity of -NH2 terminated SAM was confirmed by reacting it with

PMPI a common cross-linker used to covalently immobilizing DNA or protein on the

ndashNH2 terminated surface The freshly prepared -NH2 SAM was placed in the PMPI

solution prepared from dissolving 50 mg PMPI power in 20ml anhydrous acetonitrile for

24 hours Sample was rinsed with excess solvent acetonitrile and dried in a stream of N2

128

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

PCl5

NH3

LiAlH4

Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM

623 Characterization of the Self-Assembled Monolayers Transmission Fourier transform infrared spectrometry (FTIR) was carried out in both

ex-situ and in-situ For ex-situ setup a Nicolet Magna 860 spectrometer equipped with

rotating sample holder and DTGS detector was used The spectra were recoded at 4 cm-1

resolution 1000 scans for each loop of total 5 loops The spectrometer was constantly

purged with N2 (g) while acquiring data

624 Atomic Layer Deposition Chamber Set Up UHV apparatus More detailed information with schematic drawing of ALD chamber and in-situ IR setup is

described in the Chapter 2

129

63 Results 631 Preparation and Characterization of COOH- terminated

SAMSi(111) (same as Chapter 5)

632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111)

Figure 63 shows FTIR spectra of freshly-grafted COOH-SAMSi (111) after exposure to

TMA for various times and Figure 64 is zoomed in region of 1300-1900 cm-1 The spectra

are presented in the differential manner for each spectrum the reference is the one taken

after preceding exposure Upon the first 1s exposure to TMA at 100 degC the stretching

mode C=O of acid group at 1714 cm-1 completely disappears with appearance of two

strong bands centered at 1477 1581 cm-1 with a shoulder at 1610 cm-1 They can be

assigned to the stretching mode of C=O in acid salt structures [7] The peak at 1217 cm-1 is

assigned to symmetric deformation CH3 modes of Al-CH3 previously [2] [8-10] and it is

not Si-CH3 bonding The corresponding CH3 asymmetric mode at 1467 cm-1 is within the

strong peak of the stretching mode of C=O and cannot be distinguished from the spectra

The peak at 706 cm-1 is attributed to the CH3 rocking modes of Al-(CH3)3 [11 12] and small

positive features at 2800-3000 cm-1 is assigned to the C-H stretch of Al-(CH3)3

Further exposure to TMA the spectra have only slightly changes at the region around

1580 cm-1 indicating most reactions on the surface are completed after the first TMA

exposure It is possibly due to peak shift resulting from continuous change of environment

of C=O and forms more salt-like structures [7] There is no more change at 1217 cm-1

indicating no more Al-(CH3)3 absorbed or desorbed from the surface This self-terminating

growth is an important condition for ALD growth of TMA

In order to further investigate the surface bonding between Al-(CH3)3 and COOH-

group the 10s TMA exposed COOH-SAMSi (111) sample is removed from ALD

chamber and immersed into the hot HCl solution for 10 minutes Figure 65 shows the

FTIR spectra after 10s TMA exposure and HCL treatment Both are referenced relative to

fresh-grafted COOH- terminated Si (111) surface The features related to Al-CH3 706

1217 cm-1 did not appear due to the exposure of the sample to the atmosphere during the

sample unloading and

130

1000 1500 2000 2500 3000

δSiH

υCH3~706 υC=O

4th TMA 5s

3rd TMA 3s 2nd TMA 1s

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

TMA on COOH-SAM Saturation 10-18-2006

1200 Al-CH3

υCH2

1st TMA 1s

COOH-SAM

10-3

777

Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to

TMA for various time

Freshly-grafted COOH-SAMSi (111) were installed in the ALD chamber and exposed to TMA (gas phase) for various times FTIR Spectra are recorded in situ (except the bottom one) after each exposure with different exposure time using MCT-B detector The spectra are represented in a differential manner except the bottom one for each spectrum the reference is the one taken after preceding exposure The spectra on the bottom is recorded ex-situ at 74ordm incidence angle using DTGS detector and referenced against to freshly etched H-Si(111) surface

131

1500 2000

-0002

-0001

0000

0001

1200

C

OO

C

OO

Abs

orba

nce

Wavenumber (cm-1)

4th TMA 5s

3rd TMA 3s

2nd TMA 1s

1st TMA 1s

5x10-4

1477 1577

υC=O

Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900

cm-1

132

transportation resulting in quick hydrolysis of Al-CH3 to Al-OH Several bands appear at

723 870 and 1056 cm-1 They are assigned to Al-O related compounds due to hydrolysis of

Al-CH3 The two strong bands centered at 1477 and 1558 cm-1 correspond to stretching

mode of C=O in the form of stable acid salt or -C (O) OAl The small broad peak centered

at ~870 cm-1 is assigned to C-O-Al After hot HCl solution treatment as shown in the

Figure 65c both bands at 1400-1600 cm-1 and 870 cm-1 completely disappeared and the

peak corresponding to stretching mode of C=O of -COOH reappears at 1714 cm-1 This

indicates that the surface is recovered to the initial COOH- terminated Si(111) surface

(Figure 65a) with ~30 reduced concentration comparing to the initial ndashCOOH

terminated surface (ie the integrated area of 1714 cm-1 is ~70 of initial integrated value)

The recovery of COOH- groups can be possibly explained as acid salt -COO-Al+ complex

is converted back to -COOH with formation of AlCl3 under strong acid environment This

strongly suggests that TMA forms ionic bond with surface carboxyl group Meanwhile the

fact that only ~70 -COOH recovers and the bands at 1056 and 723 cm-1 which are

assigned to the C-O and Al-O stretching mode of Al-O-C respectively (may be compared

with Si-O-Al) remain unchanged suggest that the ~30 COOH loss maybe due to

permanent breaking up C=O and formation C-O-Al bond when ndashCOOH react with TMA

molecule

133

1000 1500 2000 2500 3000

c

bC=O in salts

sim870sim723 υCH2υC=O

HCl posttreated TMA on COOH-SAM 10-18-2006

δSiH

υSiH2083

Hot HCl post treated

10s TMA

COOH-SAM

Wavenumber (cm-1)

Abso

rban

ce

a

10-3

Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl

solution treatment

FTIR Spectra of COOH-C12 SAMSi(111) is recorded ex situ using DTGS detector After a freshly prepared ndashCOOH SAM b total 10s TMA exposure on COOH-SAM and c a post-treatment by immersing TMA exposed COOH-SAM sample into hot HCl solution for 10min at 80 degC All spectra are referenced relative to freshly etched H-terminated Si(111) surface The ratio of recovery of C=O at 1712 cm-1 is ~ 70 (A~00330046) The peaks at 723 cm-1 and 870 cm-1 appear after TMA exposure The 723 cm-1 remains while peak at 870 cm-1 disappeared after HCl treatment They may be assigned to Al-O-C and Al-OH respectively 723 cm-1 is not seen in the ndashCOOH-SAM but appears only after TMA exposure So it may not be due to C-C vibration from chains with four carbons and more (720-741 cm-1) [7] It may be related to the irreversible change during reaction between TMA and ndashCOOH SAM

134

633 ALD Growth of TMA on COOH-terminatedSi (111) ALD on polymer is one of its important applications However ALD on polymer is

complicated due to the absence of necessary chemical functional groups or co-existence of

various chemical groups Therefore ALD on a well defined organic self-assembly

monolayers with controllable surface chemical function groups may provide good model

for study of ALD of inorganic material on organic surface

The nucleation and growth of Al2O3 ALD on COOH- terminated Si (111) are studied

using in situ Fourier transform infrared spectroscopy COOH-terminated Si (111) has

negligible oxide formation during thermal grafting process with forming stable Si-C

surface bond in contrast to alkylated Si (111) where formation of oxide is a common

problem In addition the chemically active COOH- group can enhance nucleation of TMA and form a high quality Al2O3 film Due to the intrinsic steric limitation of alkyl chain

packing on Si (111) surface the packing density of COOH will be close to the density of

-CH3 terminated SAM TMA will not react with H-terminated silicon until 300 Cdeg at the

temperature in which interfacial oxidization becomes unavoidable By introducing

ndashCOOH SAM reactive terminal group can significantly reduce required temperature for

ALD growth to as low as 100degC in our current study which is far lower than the thermal

decomposition temperature 350degC for organic ndashCOOH SAM (Referring to previous

chapter)

Figure 66 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100

degC for the first 10 cycles All spectra except the one on the bottom are referenced to

freshly-grafted COOH- terminated Si (111) at 60degC The spectrum on the bottom is the

spectrum of freshly-grafted COOH-SAM referenced to clean H-Si (111) surface The

initial COOH terminated Si(111) surface shows its characteristic sharp peak at 1714 cm-1

the C=O stretching mode of acid group in the form of hydrogen bonded dimmer[7] After

first TMA 10s exposure at 100degC this peak completely disappears and two new bands

appear

135

1000 1500 2000 2600 2800 3000

-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

002

TMA 10cyc COOH-SAM S29A 10-13-2006

10 cyc5 cyc

D2O 3

TMA 3 D2O 2TMA 2D2O 1TMA 1

~700 ~930TOLO Al2O3

COOH-SAMHSi(111)

C=O in SaltsAl-CH31213 υC=O

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

10-3

δSiH

(a)

00057

1000 1500 2000 2400 2600 2800 3000

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

1213

Abs

orba

nce

Wavenumber (cm-1)

Al-CH31213

OD~2743

TMA 10cyc COOH-SAM S29A Differential 10-13-2006

Al-O943

10 cyc

5 cyc

D2O 3

TMA 3

D2O 2

TMA 2

D2O 1

TMA 1

(b)

1213

Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111)

a all spectra are recorded in-situ using MCT-B detector and referenced against to pre-annealed COOH-SAM right before the first TMA exposure except the bottom one Bottom one is recorded ex situ using DTGS detector and referenced relative to fresh H-Si(111) b FTIR difference spectra of each different spectrum is referenced to the spectrum recorded immediately before the exposure

136

simultaneously at 1476 and 1581 cm-1 indicating that TMA immediately reacts with all

surface accessible COOH- groups and a homogenous nucleation may be achieved As we

have also shown earlier in the Figure 63 the extended exposure to TMA does not add more

TMA to the surface This is important for a high quality layer-by-layer atomic layer

deposition The 1217 cm-1 is clearly assigned to deposited -Al(CH3)The broad peak

centered at ~740 cm-1 could be assigned to mixed Al-O modes and CH3 rocking mode of

Al-CH3 After first D2O exposure a immediately decreasing in 1217 cm-1 (Al-CH3) and

~706 cm-1 indicates hydrolysis of Al-CH3 The peaks at 1476 and 1581 cm-1 slightly

decrease in intensity accompanying with two positive peaks appearing at ~1460 cm-1 and

~1624 cm-1 This could be explained as peak shifting of 1476 and 1581 cm-1 caused by the

environment changes of C=O after hydrolysis of Al-CH3 A broad weak band centered at

2743 cm-1 is assigned to OD stretching The introducing water pulse to TMA exposed

COOH-SAM doesnrsquot result in any subsurface oxidization This differs from the growth of

Al2O3 on HSi for which a significant oxidization was observed immediately after water

exposure [2]

TMA reacts with surface Al-OD groups to form Al-O-Al-CH3 after the second exposue

The peak shifting observed after first D2O exposure now shifts back to before D2O

exposure indicated by the negative peaks at ~1460 cm-1 and ~1624 cm-1 and positive peak

at 1476 and 1581 cm-1 The second D2O exposure then replaces Al-CH3 with Al-OD again

as expected[13] and the reversible peak shifting re-appears in the region 1400-1650 cm-1

In following ALD cycles the changes in the region 1400-1650 cm-1 are continuously

observed but becoming smaller with increasing number of TMAD2O cycles This strong

suggests that these features are attributed to interfacial bonding and become less affected

with increasing thickness of Al2O3 film Two bands at ~730 and ~930 cm-1 steadily

increase in intensity with the number of cycles and slightly blue shifts They could be

assigned to amorphous TOLO phonon mode of Al2O3 film The frequency of LO mode is

slightly lower than 954 cm-1 for amorphous Al2O3 ALD grown directly on silicon surface

[2]

No measurable modes are found in the range 1000-1200 cm-1 and ~800 cm-1 which are

assigned to Si-O or Al-O-Si Si-O-Si previously [14 15] And also there is no Si-CH3 mode

found at 1266 cm-1[9] These evidences clearly indicate neither TMA nor oxygen goes into

137

the siliconSAM interface All the ALD reactions are most likely strictly limited only to top

COOH- functional surface The SAM behaves as a good barrier for preventing diffusion of

oxidant into the interface In addition there is no apparent OD stretching observed at

2700-2800 cm-1 indicating no significant D2O incorporation into the Al2O3 film even after

10 ALD cycles

Figure 67 shows FTIR spectra of post-annealing effect on 10 cycles ALD grown Al2O3

film on COOH-SAM All spectra except the bottom one are referenced to the 10 cycles

ALD grown Al2O3 film prior to annealing The spectra on the bottom are referenced

relative to the pre-annealed (120degC) COOH-SAMSi(111) surface right before the first

TMA exposure The SAM is stable upon annealing at 300degC With increasing temperature

the SAM starts to degrade indicated by the negative peaks of carbon-hydrogen stretching

modes from alkyl chains of SAM at 2850- 2950 cm-1 and the biggest loss of CH2 occurs at

300-400 degC Concurrent with the loss of carbon hydrogen a small positive peak at 2055

cm-1 and negative peak at 2073 cm-1 appear at 400degC assigned to the interfacial H-Si

stretching modes perturbed by the environments and formation of new H-Si bond Further

annealing at higher temperature leads to the complete loss of surface H-Si

After annealed at 300degC the bands at 1400-1600 cm-1 assigned to C=O stretching

modes increases with simultaneously reduced intensity of bands at 1640-1700 cm-1

suggesting more interfacial bond formation after mild thermal treatment (differential

spectra is displayed for clarity) These bands then significantly reduced in the intensity

upon thermal treatment at 400 degC most likely caused by the removal of the whole alkyl

chains under this temperature It clearly shows no oxide silicon forms even when organic

SAM barrier already started to disassociate from the surface at 400 degC In fact the

simultaneous appearance of small positive peak at 2055 cm-1 and negative peak at 2073

cm-1 suggests that formation of surface H-Si generated from the disassociation of grafted

alkyl chains by breaking of Si-C bond is a desorption mechanism proposed under UHV

condition[16] In addition oxidized H-Si back-bond is not observed at 2200-2300 cm-1

Comparing to the results from post-annealing of pure COOH-SAMSi (111) in which the

loss of hydrogen and oxidization of silicon substrates occurs at lower temperature at

300-350 Cdeg the ALD-grown Al2O3 COOH-SAMSi (111) surface clearly shows the

138

higher resistance to oxidization the loss of hydrogen and oxidization of substrate occur at

400-500 degC This may be explained as the deposited Al2O3 film provides an additional

1000 1500 2000 2500 3000

10-3

600c

500c

400c

300c

10 cyc TMAD2O

υCH2υC=O υSiH

TOLO SiO2

TOLO Al2O3

~745

Abs

orba

nce

Wavenumer (cm-1)

~955

TMA 10cyc COOH-SAM Post anealed

(a)

-00054

Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)

FTIR Spectra were recorded in-situ after annealing at each annealing temperature All spectra are referenced relative to the pre-annealed (120 degC) ndashCOOH SAMSi before ALD deposition SiO2 didnrsquot appear until 500degC when large amount of hydrocarbon chains were removed from the surface This is a clear evidence that organic SAM serve as diffusion barrier to prevent Si substrate from oxidization A negative peak centered at 2071 cm-1 with integrated peak area -0054 is attributed to surface H-Si The peak position is significantly lower than 2083 cm-1 for a fully covered H terminated Si (111) surface This indicates decoupled H-Si stretching (Note the integrated area measured at 70ordm incidence angle using MCTB inside ALD chamber is slightly different from what is measured outside using DTGS detector at 74ordm incidence angle)

139

1000 1500 2000 2500 3000

(b)

2078

υAl-O

300- 60 Co

Wavenumber (cm-1)

600-500 Co

500-400 Co

TMA 10cyc COOH-SAM Post annealed S29A Differential 10-13-2006 Ab

sorb

ance

400-300 Co

5x10-4

υSi-O-SI

2053

Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on

COOH-SAM H-Si(111) post-annealed in ultra pure N2 gas from 300 to 600 degC

The spectra are same as what displayed in the Figure 67 They are shown in a difference manner FTIR spectra were recorded at each annealing temperature and are referenced to the spectrum recorded immediately before the annealing The surface Si-H and hydrocarbon chains are completely removed from surface at 500degC

140

1800 1900 2000 2100 2200

A 000732073

10cyc + 600 Co

COOH-SAM

Abs

orba

nce

Wavenumber (cm-1)

2x10-4

10-3

H-Si(111)

S29A COOH-SAM TMA ALD + Anneal DTGS

A 001592083υSiH

Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with

COOH-SAM and following 10 cycle TMAD2O growth and 600 degC annealing

FTIR Spectra were recorded ex-situ for freshly etched H-Si(111) and after thermally functionalization with COOH-SAM The COOH-SAM is then used as substrate for 10 cycles TMAD2O ALD growth and annealed up to 600 degC All spectra are referenced relative to SiO2 surface The top spectrum is shown in the different scale as indicated in the figure After functionalization with COOH-SAM the original sharp H-Si stretching peak at 2083 cm-1 is broadened and red-shifted to 2073 cm-1 The integrated peak area is reduced by ~ 50 indicating nearly half of surface hydrogen is removed After anneal at 600 degC remaining hydrogen is completely removed

141

Oxygen diffusion barrier to protect silicon substrate from oxidization after SAM

disassociated at the lower temperature The D2O trapped in the film if there is any could

escape at the lower temperature before SAM starts to desorp from the surface The D2O

impurity incorporated in the high-k film during ALD processes might provide oxygen

source to the interfacial SiO2 formation during post-annealing [4]

Further annealing at higher temperature at 500 degC the silicon dioxide is formed as soon

as the interfacial H-Si starts to be removed (400-500 degC) The growth of silicon dioxide and

the loss of interfacial H-Si continue with increasing annealing temperature Tere is about ~

07 nm silicon oxide formed (integrated area ~030) after 600 degC annealing The LO

phonon mode of SiO2 is broader and weaker than what is observed for chemically oxidized

SiO2 from RCA cleaning procedure indicating that this interfacial oxide is not

homogeneous [4] The oxidization of silicon subsurface is probably due to oxidant from

either the gas impurity or water trapped in the Al2O3 film while annealing There is no

noticeable H-SiO3 species observed during the whole annealing process With increasing

annealing temperature the LO phonon mode of Al2O3 shifts from ~930 cm-1 (as deposited

at 100 degC) to higher frequency at ~ 955 cm-1 (600 degC ) and the shape of peak become

slightly sharper indicating denser Al2O3 film forms upon thermal treatment This is

consistent with that annealing would not significant increases the crystallizing of

amorphous Al2O3

In summary -COOH SAM is very reactive with TMA and the nucleation is almost

completely within the first TMA exposure Although the ndashCOOH SAM is less ordering

than -CH3 SAM little or no penetration has occurred and the reaction is well confined to

the top of the surface The SAM is a good barrier to prevent either TMA molecule or

oxygen penetration into the underneath siliconSAM interface No oxidization is observed

during the whole ALD process Even after post-annealing of Al2O3 film grown on ndashCOOH

SAM the significant oxidization of Si substrate occurs only at the temperature ~500 degC or

higher Interestingly the deposition of Al2O3 thin film on ndashCOOH SAM increases the

thermal stability of SAM itself as well

142

634 ALD TMA on ndashOH terminated SAMSi (111)

6341 Preparation of HO-SAM

HO-SAM is prepared by reducing carboxyl group of freshly-grafted ndashCOOH SAM to ndashOH

group The Si (111) sample terminated with ndashCOOH SAM is immersed into LiAlH4 BHF

solution for 2 hours The longer immersion time in LiAlH4 may cause degradation of the

SAM layers while the reaction may not be completed if exposure time is too short Figure

610 shows FTIR spectra of the final HO-SAM and the starting ndashCOOH SAMSi (111)

surface The top spectrum shows the spectral changes of the surface after reduction of acid

group ndashCOOH to hydroxyl group ndashOH It is clearly seen that the characteristic peak of

carboxyl group the stretching mode of C=O of acid group at 1716 cm-1 is almost

completely disappeared after LiAlH4 treatment while no other new peaks appear in the

nearby region 1400-1800 cm-1 Meanwhile a close-up check of region 2800-3000 cm-1

shows the increase of CH2 stretching modes in the intensity at 2826 and 2855 cm-1 This

evidence strongly indicates that -COOH group is reduced to ndashCH2OH with adding two

hydrogen atom to C=O (The small shoulder at 2960 cm-1 may be induced by the impurity

during the whole process)

The broad bands at 1184-1300 cm-1 are consisted of several modes It could be clearly

seen in the differential spectra After the reduction of acid group to the primary alcohol the

two negative bands at 1243 1289 cm-1 are observed along with a negative peak at ~1412

cm-1 they were previously assigned to C-O-H in plane mode of acid group At the same

time the sharp peak at 1263 cm-1 is unchanged and is clearly seen in the spectra after

ndashCOOH is reduced to ndashOH This peak could be assigned to in plane deformation of O-H

[17] The earlier study has shown that this mode is broad strong at higher frequency at

1300-1500 cm-1 for pure or concentrated alcohols and becomes narrow and weak at lower

frequency ~1250 cm-1 for the diluted primary alcohols [7] The corresponding stretching

mode of O-H which is expected at ~ 3640 cm-1 is too weak to be detected at current

sensitivity level

The broad bands 1000-1200 cm-1 might be consisted of contributions from multiple

modes the oxidization of interfacial silicon and C-O stretching mode of alcohols The total

143

integrated area ~002 is less than 10 of integrated area of chemically oxidized silicon

with ~1 nm-thick SiO2

6342 ALD of Al2O3 on HO-SAM

Figure 611 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100 degC for

10 cycles on ndashOH SAM prepared directly by reducing fresh ndashCOOH SAM by LiAlH4 All

spectra are referenced relative to freshly-converted -OH SAMSi (111) at 60degC After the

first exposure of TMA the peaks corresponding to Al-CH3 are immediately seen in the

spectra including 2942 cm-1 (stretching) 1203 cm-1 (bending) and 706 cm-1 (rocking) The

integrated area of peak at 1213 cm-1 is 00034 only ~50 of that on the - COOH SAM and

SiO2 This indicates TMA nucleation on the ndashOH SAM surface is less than nucleation on

both - COOH SAM and SiO2 surface This is kind of expected from the preparation of -OH

SAM Since ndashOH SAM is converted from ndashCOOH SAM the resulting density of ndashOH

must be not higher than the density of ndashCOOH group which actually is physically limited

by the steric interaction of alkyl chains (only ~50 Si-H sites are grafted with alkyl chains)

Therefore the estimated surface density of ndashOH group of ndashOH SAM should be less than on

hydroxyl terminated regular SiO2 surface Second the reactivity of C-O-H at the end of

alkyl chain may be less than hydroxyl group Si-OH on the silicon dioxide surface Third

the spatial distribution of ndashOH on ndashOH SAM is more regular than ndashOH on the SiO2 surface

based on the preparation of ndashOH SAM This can be supported by the shape of Al-CH3 peak

is less well defined when Al-CH3 is grown on SiO2 surface (FWHM 1998 cm-1 when

grown on ndashOH SAM) The peak position of Al-CH3 is 1202 cm-1 on ndashOH SAM and 1212

cm-1 on SiO2 respectively After first TMA exposure a complicated spectra feature appears at 2800-3000 cm-1

including positive peaks at 2942 and 2889 cm-1 and two negative peaks at 2853 and 2923

cm-1 These could be interpreted as imposition of four modes The two positive peaks are

144

1000 1500 2000 2500 3000 3500

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

υSi-H

υCH2δCO-H

1263

υC=O

1716

2952 2926

differential

COOH-SAM

HO-SAM

S28A HO-SAMSi(111) Preparation

Wavenumber (cm-1)

A

bsor

banc

e

Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM

FTIR spectra of HO-SAM prepared from reducing freshly-grafted COOH-SAM on H-Si(111) by using LiAlH4 solution The two spectra on the bottom are referenced against the freshly etched H-Si(111) surface The differential spectrum on the top of panel has shown that the spectroscopic changes after conversion from ndashCOOH SAM to ndashOH SAM Briefly negative peak at 1716 cm-1 indicates removal of C=O and positive peak at 2952 and 2926 cm-1 is attributed to the stretching mode of added -CH2 group by hydroboration

145

attributed to asymmetric and symmetric stretching mode of CH3 of Al-CH3 with

corresponding bending mode at ~1203 cm-1 The two negative peaks at the same position

of stretching modes of -CH2- from starting ndashOH SAM most likely are caused by

disturbance of original -CH2- vibration induced by the penetration of TMA precursor into

the SAM This disturbance is still presented after subsequent D2O exposure However this

spectral change is observed only in the first three TMAD2O cycles and become smaller

and smaller with increasing number of TMAD2O cycles As shown in the Figure 611b

(spectra displayed in the differential manner) after the 5 and 10 cycles of TMAD2O

exposure the changes in the region 2800-3000 cm-1 are barely seen These evidences

strongly suggest that the observed changes are mainly caused by the reaction on the

interface of SAMAl2O3 and a small amount of penetration of TMA into SAM possibly

occurs at the initial exposure The modes due to Si-CH3 at 2958 cm-1 (stretching) and 1270

cm-1 (bending) [2] are not seen in the spectra suggesting the TMA did not react at the

interface between silicon and SAM even though certain amount of TMA molecules more

or less penetrate into SAM After subsequent first D2O exposure there is no change at the

peak position 29272853 cm-1 corresponding to CH2 groups of alkyl chains of SAM while

the negative peaks are observed at the peak position 29372888 cm-1 indicating

hydrolysis of -CH3 groups of absorbed Al-CH3

As shown in the Figure 611a the sharp peak at 1264 cm-1 presented at the initial

HO-SAM (Figure 610) continually decreases in the intensity with the increasing number

of reaction ALD cycles Since this narrow peak is assigned to the O-H bending in the

primary alcohol C-OH it is reasonable to attribute this decrease to the replacement of

hydrogen with Al atom and formation of bond of C-O-Al between surface C-OH and

Al-CH3 This suggests that despite the evidence that penetration of TMA into SAM is

terminated within the first 3 cycles the nucleation of TMA on -C-OH surface is not

completed until 10 ALD cycles The incubation period is slightly longer than the growth

of TMA on ndashCOOH surface With increasing number of TMAD2O reaction cycles two

strong peaks at 690 and 908 cm-1 grow steadily in the intensity they are assigned to the

TOLO phonon modes of grown Al2O3 film respectively The integrated area of these two

modes is significantly less than that of Al2O3 film grown either on regular chemically

oxidized silicon surface or -COOH SAM terminated surface In addition the peak position

146

of LO mode of Al2O3 grown on HO-SAM located at 908 cm-1 is lower than LO mode of

Al2O3 film grown on regular silicon dioxide (940 cm-1) or COOH- SAM (938 cm-1) Since

LO phonon mode is sensitive to the density of Al2O3 film this evidence suggests Al2O3

films grown on the HO-SAM surface are less dense and have lower quality This is

consistent with HO-SAM that has fewer nucleation sites (ie surface HO- groups) than

SiO2 surface or less reactive chemicals than COOH- SAM The surface density of HO-

group converted from COOH- is no more than the initial surface density of ndashCOOH group

Even the converting reaction is not 100 completed the remaining -COOH still can react

with Al(CH3)3 precursor and hence cannot be used to explain the observed less dense

Al2O3 film formed on HO- SAM surface In summary both the number of nucleation sites

and chemical reactivity of surface function groups can affect the ALD film growth

147

1000 1500 2400 2600 2800 3000-0001

0000

0001

0002

0003

0004 (a)

~1264

minusOD2744 υCH2

ρCH3

TOLO Al2O3

10cyc TMAD2O

5cycTMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

Abs

orba

nce

Wavenumber (cm-1)

TMA 10cyc HO-SAMSi(111)

Al-CH3

~908~690

Al-CH32942

1203

1213

1000 1500 2000 2400 2600 2800 3000

-00008

-00004

00000

00004

00008

00012

00016

00020

1203

Abs

orba

nce

Wavenumber (cm-1)

υCH2υCH3

Al-CH3

TMA 10cyc HO-SAMSi(111) [Step Differential]

10cyc TMAD2O

5cycTMAD2OD2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

(b)

1213

Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on HO-SAMSi(111) a all spectra are referenced against to the pre-annealed HO-SAM right before 1st TMA exposure b the same spectra are shown in a differential manner Each difference spectrum is referenced to the spectrum recorded immediately before the exposure

148

635 ALD TMA on ndashNH2 terminated SAMSi(111) 6351 Preparation of NH2 -SAM

Because the reactivity of ndashNH2 with hydrogen terminated silicon surface either formation

of Si-N bond or possibly -NH2 catalyzed oxidization of surface the bi-functional NH2

terminated alkene can not be directly applied to the H-terminated silicon surface We

developed a new simple two-step route to produce -NH2 terminated monolayer with stable

Si-C bond starting from COOH- terminated Si (111) surface

N-hydroxysuccinimide (NHS) reagents act as cross-linkers and activate the carboxyl

group by forming an NHS-ester intermediate This is a characteristic esteriication reaction

widely used to prepare NHS-activated esters Figure 613 displays the FTIR spectra of

chemically modified Si(111) at each intermediated step starting from freshly grafted

COOH-SAMSi(111) surface The acid-terminated surface is chemically activated by

NHSEDC for 1 hour at room temperature It shows complete disappearance of C=O

stretching vibration mode of acid group at 1712 cm-1 and appearance of new peaks at the

nearby region 1815 1787 1747 cm-1 they are characteristics of the succinimidyl ester

group and assigned to the stretching modes of carbonyl Two strong peaks at 1211 and

1070 cm-1can be assigned to the C-O-C stretching modes in the succinimidyl ester group

These evidences further confirm that the majority of ndashCOOH group instead of C=C is

extended away from the surface and available for the subsequent chemical modification

because there does not appear to be any residual absorption at ~1715 cm-1 that would be

expected if more than 10 of the monolayer was in the form of silyl ester The NHS

activated surface is further reacted with ammonium in the dioxane solution at room

temperature As shown in the Figure 613 the disappearance of all characteristic features

of NHS at 1700-1820 cm-1 and 1000-1200 cm-1 and appearance of two bands at 1670 and

1620 cm-1 which are assigned to amide I (carbonyl stretching) and II (N-H deformation) of

primary amide ndashCONH2 group indicate that NHS is completely replaced by the amine

group -NH2 and forms primary amide termination After immersing in the LiAlH4 THF

solution for 2 hours the amide I and II bands are significantly reduced indicating the

majority of C=O of ndashCONH2 is reduced to amine -CH2NH2 The small peaks remaining at

1670 cm-1 is due to the incomplete reduction reaction The conversion rate of primary

amide to primary amine is not 100 under current experimental condition However the

149

longer immersing time in LiAlH4 will not be able to further increase the conversion rate

but might lead to the degradation of SAM (data are not shown) We have checked the

chemical reactivity of the resulting amine- terminated surface by applying PMPI a widely

used cross-linker molecule used for biological molecular attachments

Figure 614 shows the FTIR spectra of freshly prepared amine- terminated Si(111)

from NHS method mentioned above and the change of surface after immersing in PMPI

solution for 24 hours The appearance of features of PMPI at 1720 cm-1 indicates the

attachments of PMPI to the amine-Si (111) surface By comparing the integrated area of

strongest peak at 1720 cm-1 to the case where PMPI absorbed on APTESSiO2 surface at

the same experimental condition (24 hours room temperature) (Lapinrsquos unpublished data)

we found that they both give similar integrated area of 1720 cm-1 suggesting that the amine

terminated Si (111) via a Si-C at least has the similar surface chemistry reactivity in term of

reactivity with PMPI Even though the conversation efficiency of amide to amine may not

be 100 the surface should have similar chemical reactivity for the further applications

NO O

OH

N-Hydroxysuccinimide NHS

150

1000 1500 2000 2500 3000 3500-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

-CH2NH2SAM

-CONH2SAM

-COCl SAM

-COOH SAM

Amide II

1715

1801

1460

υSiO

Wavenumber (cm-1)

υSiH υCH2

Amide I

υC=O

υC=O

S31A-11092006- NH2-SAM [COCl Method]

Abs

orba

nce

1670

Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) FTIR spectra were recorded after each step After chlorination of -COOH the C=O in COOH at 1715 is completely disappeared with simultaneous appearance of new sharp peak at 1801 cm-1 corresponding to C=O in ndashCOCl Further ammonia treatment converts -COCl to -CONH2 with replacement of Cl by NH2 indicated by appearing of two characteristic peaks of amide show at 1670 and 1610 cm-1 and disappearing of peak at 1801cm-1 Final hydroboration step adds two hydrogen to C=O in the amide group ndashCONH2 forming -CH2NH2 Although the monolayer of amine is too weak to be detected in the IR spectra the disappearance of amide I and II indicates C=O is converted to CH2

151

1000 1500 2000 2500 3000 3500-0012

-0010

-0008

-0006

-0004

-0002

0000

0002

0004

~1420υC-N

12111070

υCH2 υSiH

υC=O

NH2CO-SAM

S35A-11152006- NH2-SAM [NHS] A

bsor

banc

e

Wavenumber (cm-1)

NH2-SAM

NHS+EDC

COOH-SAM

Amide II ~1600 Amide I

1670

Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

FTIR Spectra of freshly prepared H-Si(111) after functionalization with undecylenic acid (-COOH SAM) and COOH surface is activated by NHS + EDC for 2hours (NHS-SAM) The NHS activated surface further reacts with ammonium in dioxane solution to form primary amide (CONH2-SAM) Finally the amide terminated surface is reduce to form NH2-SAM by LiAlH4 (NH2-SAM) All spectra are referenced against to freshly prepared H-Si(111)

152

1000 1500 2000 2500 3000 35000000

0001

0002

0003

0004

0005

0006

0007

0008

NH2-SAM

S30A-11062006- NH2-SAM [NHS] + PNPI

Wavenumber (cm-1)

PMPI

A

bsor

banc

e

Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II) are verified by reacting with PMPI

The FTIR spectra of fresh NH2 ndashSAM is referenced relative to freshly etched H-Si(111) surface while the top spectra is recorded after immersed in PMPI solution and referenced relative to NH2 ndashSAM before PMPI immersion

153

6352 ALD TMA on NH2-SAM Figure 615 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashNH2 SAM prepared directly by reduction of primary amide ndashCONH2

SAM by LiAlH4 The ndashCONH2 terminated SAM can be prepared from ndashCOOH SAM

through two different routes as described in the last preparation section All spectra are

referenced against the spectrum of freshly prepared NH2-SAM recorded at 60 degC Similar

to other surface with reactive termination groups -OH or -COOH after the first TMA

exposure the peaks corresponding to Al-CH3 are immediately seen in the spectra

including 2942 cm-1 (stretching) 1202 cm-1 (bending) and 706 cm-1 (rocking) The

integrated peak area of 1202 cm-1 is close to that of film grown on the ndashCOOH surface but

nearly twice higher than that of the film grown on the ndashOH SAM surface indicating higher

surface nucleation rate or higher surface chemical reactivity toward TMA for ndashCOOH and

ndashNH2 terminated surface than ndashOH terminated surface

After immediate exposure to TMA a negative peak at ~ 1676 cm-1 and two positive

peaks at ~1580 and ~ 1500 cm-1 appear simultaneously they are assigned to the changes

related with residual ndashCONH2 left from incomplete reduction of ndashCONH2 to -CH2NH2

during -NH2 SAM preparations After TMA exposure -CONH2 possibly reacts with TMA

forming -CONH- bond The N-H deformation (Amide II) is shifted from 1600 cm-1 for

primary amine to around ~1550 cm-1 for the secondary amine These spectral changes

become less noticeable with increasing ALD reaction cycles suggesting that the related

reactions are mainly limited to SAMAl2O3 interface

The small peak periodically appearing at 2740 cm-1 is unambiguously assigned to OD

stretching vibration It appears after every D2O exposure and disappears after every TMA

exposure indicating a nearly completed ALD TMA-D2O cycle reaction The integrated

peak area of LOTO phonon modes of Al2O3 increases steadily with increasing number of

ALD cycles indicating the steady growth of Al2O3 film After 10 cycles the peak of LO

phonon mode is as sharp as what observed for Al2O3 film grown on the chemical oxides

SiO2 surface or -COOH surface with peak position at 940 cm-1 These evidences suggest

the Al2O3 film grown on -NH2 surface may be as dense as Al2O3 film grown on other types

of reactive surface including ndashCOOH SAM or regular SiO2

154

1000 1500 2000 2500 3000-0006

-0004

-0002

0000

0002

0004

0006

υOD2740

Abs

orba

nce

Wavenumber (cm-1)

2854

1214

734

10cyc TMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA NH2-SAMSi-C Si(111) S30A 11-10-2006

TMA 1

940Well defined TOLO peak of Al2O3

2927

1211

Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) FTIR spectra of 10 cycles TMAD2O ALD-Grown on NH2-SAMSi(111) All spectra are referenced against to the pre-annealed NH2-SAM right before first TMA exposure A growth of Al2O3 is immediately observed after the very first TMAD2O cycle and has developed into a well-defined Al2O3 film indicating by the sharp peaks of LOTO mode at 734 and 940 cm-1 after 10 cycles The Al-CH3 mode at 1211 cm-1 is only slightly shifted to 1213 cm-1 with increasing number of ALD cycles This suggests initial bonding status of TMA at SAM interface is similar to bonding to the existing Al2O3 film

155

636 ALD TMA on ndashCH3 Terminated SAMSi(111)

Figure 616 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashCH3 SAM prepared directly by immersing freshly prepared H-Si(111)

sample into the deoxygenated neat alkene at 200 degC for 2 hours All spectra are referenced

against to the freshly grafted and pre-annealed at 120 degC ndashCH3 SAM All spectra are

recorded at 60degC Unlike other SAMs with the chemically reactive group the first

exposure of ndashCH3 SAM to TMA does not show any significant absorption of TMA on the

surface The characteristic peak of AlndashCH3 at ~1202 cm-1 is not seen There are minor

negative features observed in the region of 2800-3000 cm-1 the stretching modes of

hydrogen-carbon This is most likely caused by the perturbations induced by the small

amount of physically absorbed TMA trapped inside alkyl chains of SAM The result is

expected for ndashCH3 terminated SAM the reaction with AlndashCH3 requires overcome very

high energy barrier[18] and a small amount of TMA molecule could only physically

trapped inside the SAM without chemical reaction The first several TMAD2O cycles (1-3)

do not give apparent spectral features for growth of Al2O3 The only noticeable change is

that the peaks at 2800-3000 cm-1 corresponding to the stretching modes of -CH2- and -CH3

groups continue decreasing in the intensity with increasing numbers of ALD cycles This

suggests that more and more TMA molecule penetrates into the densely packed SAM and

perturb the original vibration modes of -CH2- The physically pre-absorbed TMA

molecules then serve as nucleation sites for the subsequent ALD growth In fact after 5

cycles TMA D2O exposure the phonon modes of Al2O3 film appear at lower frequency

region (~770 and ~ 880 cm-1) and increase in the intensity with increasing number of ALD

cycles After 10 cycles two dominated peaks appear at ~770 and 887 cm-1 assigned to the

TO and LO phonon modes of Al2O3 film respectively Comparing to the Al2O3 film grown

on ndashOH SAM -NH2 SAM and ndashCOOH SAM the broadened and lowered frequency of

peak of LO phonon mode indicates the film is more amorphous and less well defined It

might be due to the lack of nucleation sites on the -CH3 SAM surface at the beginning and

the nucleation sites occurring at physically absorbed TMA molecules are distributed in a

sporadic way Consequently the lower quality of Al2O3 film is obtained

With increasing number of ALD reaction cycles the continuous loss of intensity of

hydrogen-carbon stretching modes at 2800-3000 cm-1 suggests SAM might still not be

156

1000 1500 2000 2400 2600 2800 3000-0004

-0003

-0002

-0001

0000

0001

0002770 Al2O3

10cyc TMAD2O

5cyc TMAD2O

TMA 2

D2O 2

D2O 1

TMA 1

υOD

A

bsor

banc

e

Wavenumber(cm-1)

TMAD2O 10cyc CH3-SAM(C18) 10-24-2006

υCH887

Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on CH3-SAMSi(111) All spectra are referenced against to the pre-annealed CH3-SAM right before first TMA exposure Unlike to SAM with reactive terminal group the feature of TMA is nearly not observed during the first two TMAD2O cycles A broad band attributed to LOTO mode of Al2O3 appears after 5 cycles with much less well-defined peaks Negative peaks appeare at 2800-3000 cm-1 and increase with increasing number of ALD cycles This suggests TMA precursor continuously penetrates into the SAM and leads to screeching of original C-H stretching modes

157

fully covered by previously deposited Al2O3 film and incoming TMA molecule could still

attack the SAM and get inside it The film may grow in a way of island-mode All these

evidence strongly suggests that a vertically inhomogeneous growth instead of a

homogenous layer-by-layer growth of Al2O3 film is undergoing on the ndashCH3 SAM

An increasing band centered at ~2740 cm-1 is assigned to OD stretching mode

indicating non-reacted D2O molecule trapped and accumulated inside the SAM layer and

the subsequent incoming TMA molecule cannot efficiently reach it This is not seen in the

ALD growth of TMA on the chemical reactive surfaces like SiO2 -NH2 -COOH

terminated SAMs) It is possibly due to the inaccessibility for some D2O trapped in the

SAMs During the 10 ALD reaction cycles there are no spectral features observed in the

region of 1000-1300 cm-1 indicating no interfacial silicon oxide forms on the SAMSilicon

interface TMA does not reach the SAMSilicon interface and react with the substrate at the

interface even though it may penetrate into SAM more or less This is reasonable as the

buried SAMSi(111) which is still partially hydrogen passivated lack of reactivity with

TMA at current reaction temperature 100degC Previous study has shown TMA will not react

with H-terminated silicon up to 300 degC [2] In summary the ALD growth of Al2O3 film on

non-reactive ndashCH3 SAM is observed 1) The physically absorbed TMA molecule during

the first several TMA exposures most likely initiates the subsequent growth The initial

absorption might be in a sporadic way with low absorption rate As a consequence the

growth is inhomogeneous and the grown-film has lower quality No spectral features found

for growth on the SAMSi suggests that the growth of TMA on the ndashCH3 terminated

surface may not be attributed to the reaction at the SAMSi interface

637 ALD Growth of TMA on SiO2

Figure 617 shows the FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC

for the first 10 TMAD2O cycles on SiO2 surface All spectra are referenced relative to

freshly wet chemistry (RCA) cleaned silicon dioxide surface at 60degC The surface hydroxyl

group has density 7 x 1014 OHcm2 [19] After the initial TMA exposure at 100degC three

bands appear at 1100-1300 cm-1 The 1213 cm-1 can be assigned to Al-CH3 and it decreases

after the subsequent D2O exposure and reappears after TMA exposure The other two

bands 1270 and 1188 cm-1 didnrsquot change with increasing number of ALD cycles indicating

158

they are features attributed to the interface of SiO2 and Al2O3 They are assigned to Si-CH3

The peaks at 1084 and 1005 cm-1 are related to the Si-O-Si or Al-O-Si The broad

low-frequency band around 700-1000 cm-1 increasing with number of ALD cycles can be

assigned to grown- Al2O3 LO and TO phonon modes After 10 cycles the grown- Al2O3

film has similar spectral features of Al2O3 film at 700-1000 cm-1 as Al2O3 film grown on

the -COOH SAM surface indicating similar nucleation and growth of Al2O3 on both

surfaces

159

1000 1500 2000 2500 3000-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

703 Al-O-SiSiO2

TOLO Al2O3

1088Al-CH3

10cyc5cyc

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

Abso

rban

ce

Wavenumber (cm-1)

10-3

TMA 1

TMA 10cyc on SiO2 11-01-2006

1005

933

1000 1500 2000 2500 3000-0002

-0001

0000

0001

0002

0003

0004

0005

10cyc TMAD2O on COOH-SAMSi(111)

10cyc TMAD2O on SiO2

Y A

xis

Title

X Axis Title

10-3

Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post annealed from 300 to 600c

FTIR spectra of 10 cycles TMA ALD-Grown on chemical oxidized SiO2 All spectra are referenced against to the pre-annealed SiO2 right before first TMA exposure 1213 cm-1 (Al-CH3) and 1270 cm-1 (Si-CH3) are seen after first TMA exposure 1000-1200 cm-1 regions are mixed with contributions from formation of Si-O-Al (positive) 1004 and 1088 cm-1 and destruction of Si-O-Si (negative) on the top of SiO2 layer The spectral features in this region(1000-1200cm-1 ) is unchanged with increasing number of ALD cycles indicating they indeed are features related to interface After10 cycles a similar quality of Al2O3 film forms as Al2O3 grown on ndashCOOH SAM as shown in the insert top-right panel

160

64 Discussion The FTIR spectra demonstrate that ALD TMA can proceed on all organic SAMs surface

with different terminal groups -COOH -OH -NH2 and ndashCH3 It is expected for the surface

terminated with chemically reactive groups like -OH -COOH and -NH2 But it is kind of

unexpected for chemically inert -CH3 terminal group

641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM The FTIR Spectra demonstrate that the freshly-grafted -COOHSAM on Si (111) surface

can react immediately with Al(CH3)3 (TMA) molecule and the nucleation process is nearly

completed within as short as 10 seconds All -COOH groups are reacted The reaction is

self-terminated and the absorption of TMA on the surface saturates within a few seconds

After HCl solution treatment significant percentage of ndashCOOH is recovered After 10

ALD cycles a high quality Al2O3 film forms indicated by the sharp LO and TO phonon

mode of Al2O3

Unlike deposition of Al atoms on -COOH SAM where 20-25 of COOH remain

unreacted [20] extremely reactive TMA is much more reactive than Al atoms toward

ndashCOOH group and react with all surface ndashCOOH groups The recovery of ndashCOOH peak

after strong acid HCl treatment and the intense bands at 1470 cm-1 (asymmetric stretching

of COOminus) and 1580 cm-1 (symmetric stretching of COOminus) which are typical characteristic

feature of C=O bond in carboxyl anion group [7] strongly suggests that the Al(CH3)3

forms acid-salt like complex on the interface as shown in the Scheme 3 The reaction of

TMA with hydroxyl terminated surface is presumed to go by an abstraction of hydrogen

atom from ndashOH group and combine with methyl group form methane (CH4) which

involved a Lewis acid-base interactions with a group III alkyl (TMA) acting as the Lewis

acid (electron receptor) and a group VI hydride (OH) acting as the Lewis base [18] The

reaction of TMA with ndashCOOH is undergoing similarly but much easier The disassociated

proton H+ from carboxylic acid easily combine with ndashCH3 forming methane (CH4) while

long pair electron of oxygen transfer to -Al(CH3)2 forming C(O)-O-Al(CH3)2 complex

Because after giving proton carboxylate ion has the negative charge spread out equally

over two oxygen atoms each oxygen atom bears half of negative charge The long pair of

161

electron of oxygen is harder to transfer to the electron receptor of Al atom in other words

the bond O-Al is more polar After strong acid post-treatment carboxylate ion exiting in

this complex can be converted back to carboxylic acid

In the meantime there also possibly co-exists a reaction between Al (OH)x and C=O

with formation of Al-O-C covalent bond In the study of aluminum atom on methyl Ester

terminated surface it is found that Al can react with the ndashCO2CH3 group

Al+

CH3 CH3

O-O

R

C

OO

C

OO

Asymmetric stretching symmetric stretching

Scheme 3 Possible interaction on TMA-COOH SAM interface

In addition the high quality Al2O3 film and limit surface number density of ndashCOOH

(because of steric restriction) suggest that most likely more than one TMA molecule can

bond to one ndashCOOH group initially

642 Mechanism for ALD growth on ndashCH3 SAM The observed growth of Al2O3 film on ndashCH3 SAM is not unexpected Although the

reaction between ndashCH3 or ndashCH2 groups and TMA can not take places in theory [21] The

previous studies proposed that a non-perfect SAM which cannot prevent ALD precursor

from reacting on underneath SAMsubstrate interface is accounted for the observed growth

A good quality SAM can efficiently block ALD growth [22]

Chen and coworkers investigated the block mechanism In their study of SAM as

barrier for blocking ALD of halfnium oxide it is found that the quality or packing density

of the ndashCH3 SAM layer determine the block efficiency[23] Only SAMs with carbon

chains longer than C12 can efficiently block the growth of halfnium oxide They attributed

162

the observed ALD growth to the defects and pinhole in the SAMs These defects and

pinhole make it possible for the precursor penetrates into the organic film and react with

active hydroxyl or oxygen atoms at the interface Furthermore they proposed a

deactivation mechanism in which alkyl monolayer hinders the nucleation at the interface

by removing the surface reactive sites (Si-H) and preventing oxidization of substrate[24]

Another study of ALD of Ti[N(CH3)2]4 on ndashCH3 terminated alkoxysilane SAM found that

the density of absorbed Ti is negatively correlated with the density of ndashCH3 SAM[25]

Hence they proposed that there is no reaction between Ti[N(CH3)2]4 and ndashCH3 terminal

groups of SAM the reaction confined completely to the SAMSiO2 interface and the

absorption rate of Ti depends on its ability to penetrate the SAM In both study the ALD

growth is attributed to the initial nucleation at the reactive organicsubstrate interface It

occurs whenever SAM resists is not sufficient to block the penetration

In our study we would like to propose another mechanism for the observed growth on

the inertial surface (-CH3) We believe that the growth is determined by the precursor and

SAM structure (conformation and defects) but it less depends on the reactivity of

underneath interface The reactivity of interface may play a role in shortening incubation

time and speeding nucleation but may not determine whether reaction occurs or not In

other words ALD growth can possibly occur on an organic SAMs surface with completely

non-reactive interface underneath

In our experiment the reaction on the SAMSilicon interface less likely occurs for the

following reasons first no Si-O-Al (1000-1100 cm-1) or Si-CH3 (1270 cm-1) modes are

observed in the FTIR spectra second at the interface of SAMsilicon the place where no

alkyl chain attached is still passivated by hydrogen and less reactive than SiO2 site in the

SAMSiO2 In the previous study it is found that TMA will not react with H- terminated

silicon until heating up to 300 degC [2] Thus at our current experiment condition (growth at

100degC) the reaction at interface is expected to not take place Also the IR signal of

absorbed TMA molecule is too weak to be detected in the first three cycles suggesting the

absorption process quite slowly In addition the spectral change of -CH2- stretching mode

due to the perturbation induced by the incorporation of TMA into the SAMs continuously

increases with increasing ALD cycles

163

In the mechanism we proposed here for ALD growth of Al2O3 on ndashCH3 SAM initially

TMA molecule is physically absorbed on the surface sporadically either staying on the

surface or penetrating into the SAMs This is supported by the evidence that a immediate

loss of -CH2 stretching mode at 29232853 cm-1 appears upon the first TMA exposure and

the loss of ndashCH3 stretching mode appears later and quickly increase with increasing

number of ALD cycles These pre-absorbed TMA molecules then serve as nucleation sites

for the subsequent ALD growth As suggested by the IR data the absorption rate is quite

low and nucleation sites are not available over the whole surface As a consequence the

grown Al2O3 film is quite patched and amorphous suggested by the broad not well

defined LOTO mode of Al2O3 film in the FTIR spectra This is similar to ALD growth of

Al2O3 on H- terminated surface where although no reaction take place after the initial

exposure TMA can physically absorb on the surface and serve as nucleation sites for the

subsequent ALD growth[3] In fact H-terminated silicon pretreated with longer time

exposure to TMA ie more physically absorbed TMA leads to more linear ALD growth

of Al2O3 film [3]

A detailed analysis of changes of hydrocarbon stretching mode at 2800-3000 cm-1 with

increasing TMAD2O ALD cycle reveals how TMA interact with ndashCH3 SAM As shown

in the Figure 618 the changes of hydrocarbon stretching mode in terms of relative ratio of

-CH3 to -CH2 at the first 5 cycle is quite different from the second 5 cycles (ie from 5-10

cycles) This suggested that initially TMA precursor gets inside the SAM and perturbs with

-CH2- groups of backbone and later on more perturbation occurs on the top of surface

with the ndashCH3 instead of going inside SAM This could be explained as with formation of

TMA islands on the SAM surface the incoming TMA gains more chances to grows on the

top of SAM and interacts with -CH3 groups instead of penetrating into the SAM Since

perfectly ordered SAM would not have sufficient space between chains to allow

penetration by 275Aring diameter Al atoms the diffusion mechanism for larger TMA

molecule complex must involve defects Two types are considered static and dynamic

[26]

In a model of SAMs with static defects one may expect the island growth in which

growth TMA occurs only at the defective or low-density area of the SAMs In this case [27

28] the density of these defects limits the initial deposition Therefor instead of assuming

164

2600 2700 2800 2900 3000-00002

-00001

00000

00001

00002

00003

υOD

υCH2

10-5th cyc

Abs

orba

nce

Wavenumber (cm-1)

TMA on CH3-SAM Differential Spectra

5 - 0 cyc

υCH3

Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA Growth on -CH3 SAM FTIR spectra recorded after 5 cycles of TMAD2O and 10 cycles are referenced relative to the surface before the previous ALD growth Top spectra show the spectral net changes for last 5 cycles of total 10 cycles and bottom one shows changes of first 5 cycles of 10 cycles Clearly initial 5 cycles have more effects on -CH2- stretching modes and subsequent 5 cycles have more effects on -CH3 (which is on the top of SAM)

165

the diffusion occurs in the way of randomly and uniformly over the whole surface the

defects of SAMs determine the initial deposition

In a model of dynamic defects the defects appear transiently caused by the thermally

activated lateral hopping process of the SAsM (the deposition temperature is 100 degC) The

creation of transient holes allows transport of nearby TMA molecule to inside SAMs or

even reach the interface[20 26]

Currently we are not able to conclusively exclude any one of two types of defects We

have found post-treatment of -CH3 SAMSi(111) with HFNH4F has shown HF nearly has

no effect on the silicon oxide at the interface formed during thermal hydrosilylation This

suggests that SAM is densely packed and can efficiently prevent penetration of HF

Therefore it is reasonably presume there are no significant static defects exiting in the

SAM and SAM can equally efficiently prevent penetration of bigger TMA molecule at

room temperature The dynamic mode may mainly account for the trapping of precursor

inside the SAM at 100 degC

643 Initial Reaction Mechanism for ALD growth on ndashOH SAM On the one hand the ALD growth of TMA on ndashOH SAM should be similar to the other

type of regular hydroxylated surface because of presence of reactive ndashOH group Initial

TMA depositions on -OHSiO2 are both kinetically and thermodynamically favorable as

compared to those on H-terminated surface[29] Comparing to ALD TMA on H terminated

silicon surface the incubation period is reduced for OH-terminated Si(100) surface[30]

And it is also found that the Al2O3 films grown on OH-terminated surface have much

smoother morphology than those grown on H-terminated surface [30]

On the another hand however the ndashOH SAM is unique and different from other

ndashOHSi surface in term of surface distribution and number density of ndashOH group due to the

steric restriction existing in the alkylation of H-Si(111) The density of ndashOH should be

lower than hydroxylated silicon dioxide The spacing between adjunct reactive surface

ndashOH sites on SAM is estimated at least 72 Aring assuming converting efficiency from

ndashCOOH to -OH is 100 and initial ndashCOOH uniformly distributed over the whole surface

This spatial limitation makes some reaction pathway impossible for TMA absorption

on ndashOH SAM For example the ring closing reaction proposed on OHSi(100) surface [31]

166

where one TMA molecule could bond to two surface ndashOH sites forming two interfacial

Al-O bond (Figure 620II) will less likely appear on ndashOH SAM In addition since the

way of ndashOH distributed is more uniform (spatial orientation etc) on ndashOH SAM than SiO2

surface the absorbed TMA may have more uniform spatial distribution on the ndashOH SAM

surface It is indicated by the CH3 bending mode of Al-CH3 at ~1203 cm-1 which is

sensitive to how Al bond A very sharp single peak centered at 1203 cm-1 is observed on

ndashOH SAM surface On the SiO2 surface multiple bands appear at 1270 1213 1187 cm-1

after initial TMA exposure 1270 cm-1 is assigned to the Si-CH3 due to methyl transferring

to silicon [2] The fact that as the band at 1213 disappears after subsequent water exposure

it confirms the assignment of 1213 cm-1 to Al-CH3 In summary TMA on HO-SAM exist

in the structure as shown in Figure 620I with one TMA bond to one ndashOH while TMA on

hydroxylated silicon dioxide exists in the form II with one TMA bond to at least two ndashOH

and in other forms like Si-CH3 through ligand exchange as well

A further discussion is on correlating surface density ndashOH with growth rate[32 33]

They donrsquot have direct spectroscopic data to reveal which types of initial TMA reaction on

the surface with different surface ndashOH concentration One TMA molecule could bond to

one two or three ndashOH sites and also ligand exchange could lead to the formation of

Si-CH3 Our data clearly shows that both Al-CH3 and Si-CH3 existed on the chemical

oxidized surface after initial TMA exposure(Figure 617) Therefore the single model

(ligand exchange) given by Puurunen may not enough to explain the cause of steric

hindrance which accounting for saturation of precursor Instead it could be a mix of Model

II (ligand Si-CH3) and Model III (chemically absorbed precursor Si-O-Al-CH3) [33]

For chemical oxides the Si-OH density is most likely at the level of 7 x 1014 OHcm2

[19] (7 -OH per nm2 this number may vary with substrate temperature) For ndashCOOH SAM

the surface density of alkyl chains is quantified as ~27 x 1014 cm2 (27-OH per nm2) [17]

The lower concentration of surface ndashOH groups the lower growth-per-cycle (GPC) during

ALD growth of aluminum oxide[33] Based on the empirical linear relationship given by

Puurunen the growth of Al2O3 on SiO2 is estimated as much as three times more than

Al2O3 grown on ndashOH surface This is consistent with our infrared data as shown in Figure

624 where the integrated area of Al2O3 phonon modes at ~734 and 930 cm-1 is used to

estimate the total amount of absorbed Al2O3 layers

167

Comparing to regular chemically oxidized silicon surface ndashOH SAM provides ideal

model to control the concentration of surface ndashOH in order to study the correlation between

ALD growth and concentration of ndashOH It eliminates other side reaction such as formation

of Si-CH3 on silicon dioxide SAM-directed assembly makes it different from growth on

chemical oxide Different orientation (cubic hexagonal) could produce different saturation

coverage

644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM FTIR spectra demonstrated TMA immediately absorbs on the ndashNH2 SAM The

characteristic peaks of absorbed TMA are clearly seen at 2940 2888 cm-1 (-CH3

asymmetric and symmetric stretching) 1201 cm-1 (CH3 bending) and 703 cm-1 (CH3

rocking) They disappear upon subsequent water exposure The peak at 803 cm-1 is

previously assigned to Al-N bond The possible mechanism for TMA absorbed on the

ndashNH2 SAM is shown in Figure 621 This reaction mechanism is similar to that of TMA on

a hydroxyl-terminated surface Both are Lewis base-acid interaction with a group III alkyl

(TMA) acting as the Lewis acid and a group ndashNH2 or ndashOH acting as the Lewis base

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Figure 619 -COOH SAM terminated Si(111)

~72 Aring

~72 Aring

168

O

Si

AlCH3 CH3

O

Si

Al

CH3

O

Si I Initial absorption II Closing ring

Figure 620 Possible absorbed TMA on ndashOH terminated Surface

NH2

AlCH3 CH3

CH3

AlCH3 CH3

NH

CH4 AlCH3

CH3

N

Al

CH3

CH3OR

Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM

Because of the way we prepared ndashNH2 is based on ndashCOOH SAM on Si(111) the spacing

between -NH2 group is similar with the sapceing of ndashOH groups of ndashOH SAM (shown in

the Figure 620) and with same surface density of reactive sites (limited by the chemical

conversation rate) However it may be possible that two TMA molecules bind to one ndashNH2

site and double the surface density of absorbed TMA The spectra have shown that the

integrated area of Al-CH3 peak at ~1210 cm-1 is nearly double for growth on ndashNH2 SAM

(~00064) than that on ndashOH SAM (~00035) which indirectly supports the initial reaction

mechanism that we proposed In addition a higher nucleation rate on ndashNH2 SAM is

consistent with the fact that higher quality Al2O3 film forms on ndashNH2 SAM surface than on

ndashOH SAM surface after 10 ALD cycles indicated by the sharper LOTO phonon mode of

amorphous Al2O3

By first look our experiment results seem not consistent with theoretical calculations

[18] in which ndashOH SAM is favor both thermodynamically and kinetically over the

169

reaction with ndashNH2 SAM toward TMA The reaction of TMA with ndashNH2 SAM is relative

slow compared to the on ndashOH SAM The initial probability of reaction is in the order of

SiO2 gt -OHgt -NH2 gt -CH3 In our study the film quality observed is like that in the order

of -COOHgt -NH2 gt SiO2 gt -OH gt -CH3 But the quality of ALD grown film is not solely

determined by how fast or easily TMA forms bond with surface groups but overall how it

nucleates on the surface The latter involves other consideration like available nucleation

sites etc Even though TMA can easily form bond with ndashOH the behavior of TMA on

ndashNH2 may be more complex than just forming Al-N bond as on ndashOH surface

Our experimental result is consistent with other on SAM with different terminal group

For instance ALD Ti[N(CH3)] on ndashNH2 SAM[34] found that comparing to ndashOH SAM and

ndashCH3 SAM -NH2 SAM gives the cleanest growth where essentially all reactivity could be

associated with the terminal amine group

645 Comparing Initial Reaction of TMA on SAMs with different

terminal group

The FTIR spectra of first several ALD cycles reveal the initial nucleation and growth on

the SAM surface in detail As shown in the Figure 622 for the ndashOH SAM we immediately

observe the spectroscopic features belong to Al-CH3 appear after the first TMA exposure

indicating absorption of TMA on the surface The symmetric deformation CH3 modes of

Al-CH3 is ~12001209 cm-1 for TMA absorbed on ndashNH2 SAM 1203 cm-1 for -OH SAM

1213 cm-1 for chemically oxidized silicon and 1215 cm-1 for ndashCOOH SAM The band

shape analysis has shown that nonoxygen-containing Si-Al-CH3 coexists on the H-Si(111)

surface at high TMA exposure On H-terminated Si surface a homogenous Al2O3

nucleation is achieved by extending the initial TMA exposure until methyl saturation

For ndashCOOH SAM the chemical reaction with acid group takes place immediately and

has no penetration The presence of two major peaks at 1400-1600 cm-1 suggests that

majority of C=O still remain and the recovery of COOH group after immersing in HCl

solution suggests the weak acid group react with weak base Al(OH) to form salt like

structure CO(δminus)-O-Al(δ+) The formation of this complex on the surface blocks the

170

penetration The C=O is not 100 recovered may be due to the reaction of C=O with

Al(OH) forming C-O-Al

When penetration happens C-H stretching mode shows a blue shift and loss in the

intensity at the original position The blue shift indicates the alkyl chains undergo

significant disordering upon interaction with TMA The decreasing in the intensity of C-H

stretching mode indicates a possible screening effect due to the incorporation of TMA into

SAM The disorder presumably is induced by repulsive steric interactions between

neighboring TMA clusters For ALD on SAM with reactive terminal groups (-COOH

-NH2 -OH) the nucleation occurs immediately and no incubationinduction period

646 Comparing the Nucleation and Al2O3 films grown on the different

substrate

By comparing the FTIR spectra of a Al2O3 film grown on the SAMs with different

terminal groups the peak position peak area and peak shape of LOTO phonon mode of

Al2O3 films reveal the dependence of film quality and properties on the terminal groups

Figure 624 shows the comparison of FTIR spectra of 10 TMAD2O cycles grown Al2O3

film on all surfaces The C-H stretching mode of alkyl chains of SAM at 2800-3000 cm-1 is

used as indicator of the reactions As shown in the Figure 624b for the ndashCOOH SAM

there is no significant spectral change observed in this region indicating little or no

penetration occurrs and reaction is well confined to the terminal groups on the top of

surface For the ndashNH2 and ndashOH SAM a little spectral changes indicate a few more

penetrationsinterference may occur For the non-reactive ndashCH3 SAM the change is

observed immediately upon first TMA exposure and keep increasing with increasing

number of ALD cycles Al2O3 nucleation occurs slowly at the sites (may near surface but

not necessary must be at SAMSilicon interface) where precursor are trapped Because of

the dense packing of well ordered solid-like alkyl SAM the penetration is relatively

difficult for a large size of TMA molecule and only limited amount of TMA could be

trapped into SAM at each exposure (Figure 623)The detailed diffusion mechanism need

to be further elaborated and beyond the study here

171

1000 1500 2000 2600 2800 3000 3200

Wavenumber (cm-1)

00038

00067

00060

~ 1210Al-CH3

-CH3

SiO2

1st TMA on SAMs with different functional group

Abso

rban

ce

-OH

-COOH

-NH2

initial TMA exposure

29392894

Al-CH3

00039

5x10-4

Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different terminal groups

In-situ FTIR spectra were recorded immediately after first 10 second TMA exposure All reactive surfaces show sharp peak at ~1210 cm-1 attributed to Al-CH3 The exact peak position depends on Al-CH3 bond to surface 1213 cm-1 (on SiO2) 1203 cm-1 (on HO-SAM) 1200 cm-1 (on NH2 ndashSAM) and 1215 cm-1 (on COOH-SAM) The corresponding stretching mode of CH3 of Al-CH3 is seen at 2894 and 2939 cm-1

172

We could apply the diffusion mechanisms proposed earlier time for metal atom diffusion

on SAM-Au system to our system

The Al2O3 nucleation cluster can only form near around the sites with pre-trapped

TMA Even after 10 cycles the coalescence of Al2O3 cluster is still undergoing locally and

no continuous film forms on the top of SAM Incoming TMA molecule is still able to

diffuse into the uncovered SAM However after 5 cycle growth the larger percentage of

TMA starts to nucleate at the region near the top of SAM These can be seen from change

of C-H stretching mode and the formation of very broad LOTO mode of Al2O3 in the IR

spectra

The reactivity of terminal group toward TMA has largely determined the efficiency of

diffusion blocking As we have shown earlier time the ndashCOOH SAM apparently is less

ordered than ndashCH3 SAM due to the interaction of ndashCOOH groups However as we can see

here the diffusion is still completely blocked by ndashCOOH SAM even though it possibly has

larger free volume between more disordered alkyl chains comparing to ndashCH3 SAM

Si Si I with reactive terminal group II with ndashCH3 terminal group

Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group

Al2O3 nucleation clusters forming from D2O reaction with TMA trapped in the near surface region but this absorption rate is processed slowly [35]

173

1000 1500 2000 2400 2600 2800 3000

-0006

-0004

-0002

0000

0002

0004

0006

0008(a)

2740υOD

TOLO Al2O3

Al-CH3 υCH2

S30A

10 cyc TMAD2O on SAMs with different functional group

Wavenumber

Abs

orba

nce

-OH

-CH3

SiO2

-COOH

-NH2

2500 2600 2700 2800 2900 3000

-00010

-00005

00000

00005

00010

υCH2υOD

10 cycle TMAD2O

SiO2

-COOH

-NH2

-OH

Abso

rban

ce

Wavenumber (cm-1)

-CH3

(b)

Figure 624 Comparison of ALD TMA on the surface with different termination group

174

65 Conclusion The ALD of high-κ metal oxide (TMA TEMAH) on the silicon functionalized with

self-assembled monolayers (SAMs) processing -COOH -OH - NH2 and -CH3 terminal

groups have been investigated using in situ infrared spectroscopy As a comparison the

data of ALD of TMA on silicon oxide is examined as well The ALD process are found to

depend on all following factors 1) chemical reactivity of terminal groups 2) polarity of

group charges 3) surface number density 4) chains packing density and 5) subsurface

reactivity (ie SAMsilicon interface)

These studies demonstrate that the terminal grous of the SAM significantly affect the

selectivity toward TMA adsorption and subsequent ALD of Al2O3 These studies can be

also extended to ALD deposition of other high-k metal oxide for example TEMAH

Understanding the underlying reactions between precursors and various surface functional

groups gives us the control over these surface reactions that eventually leads to a technique

to tailor the ALD As a model system our current study can be further applied to study

ALD nucleation organic-inorganic interface for future nanoelectronics and molecular

electronics applications

175

Bibliography

1 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal

oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

2 Frank MM YJ Chabal and GD Wilk Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides Applied Physics Letters 2003 82(26) p 4758-4760

3 Frank MM et al Hydrogen barrier layer against silicon oxidation during atomic layer deposition of Al2O3 and HfO2 Journal of the Electrochemical Society 2007 154(2) p G44-G48

4 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

5 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

6 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

7 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 8 Glass JA EA Wovchko and JT Yates Reaction of Methanol with Porous

Silicon Surface Science 1995 338(1-3) p 125-137 9 Fidelis A F Ozanam and JN Chazalviel Fully methylated atomically flat (111)

silicon surface Surface Science 2000 444(1-3) p L7-L10 10 Puurunen RL et al Successive reactions of gaseous trimethylaluminium and

ammonia on porous alumina Physical Chemistry Chemical Physics 2001 3(6) p 1093-1102

11 Ferguson JD AW Weimer and SM George Atomic layer deposition of Al2O3 films on polyethylene particles Chemistry of Materials 2004 16(26) p 5602-5609

12 Gow TR et al Decomposition of trimethylaluminum on silicon(100) Chem Mater 1989 1(4) p 406-411

13 Ott AW et al Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 1997 292(1-2) p 135-144

14 Mozgawa W M Sitarz and M Rokita Spectroscopic studies of different aluminosilicate structures Journal of Molecular Structure 1999 512 p 251-257

15 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

16 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

176

17 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

18 Xu Y and CB Musgrave A DFT Study of the Alltsubgt2ltsubgtOltsubgt3ltsubgt Atomic Layer Deposition on SAMs Effect of SAM Termination Chem Mater 2004 16(4) p 646-653

19 Haukka S and T Suntola Advanced materials processing by adsorption control Interface Science 1997 5(2-3) p 119-128

20 Fisher GL et al The interaction of vapor-deposited Al atoms with CO2H groups at the surface of a self-assembled alkanethiolate monolayer on gold Journal of Physical Chemistry B 2000 104(14) p 3267-3273

21 Xu M et al Mechanism of interfacial layer suppression after performing surface Al(CH3)(3) pretreatment during atomic layer deposition of Al2O3 Journal of Applied Physics 2006 100(10)

22 Chen R et al Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics Applied Physics Letters 2004 84(20) p 4017-4019

23 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

24 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

25 Killampalli AS PF Ma and JR Engstrom The reaction of tetrakis(dimethylamido)titanium with self-assembled alkyltrichlorosilane monolayers possessing -OH -NH2 and -CH3 terminal groups Journal of the American Chemical Society 2005 127(17) p 6300-6310

26 Hooper A et al Chemical Effects of Methyl and Methyl Ester Groups on the Nucleation and Growth of Vapor-Deposited Aluminum Films J Am Chem Soc 1999 121(35) p 8052-8064

27 Alam MA and ML Green Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO[sub 2] gate dielectric layers Journal of Applied Physics 2003 94(5) p 3403-3413

28 Puurunen RL and W Vandervorst Island growth as a growth mode in atomic layer deposition A phenomenological model Journal of Applied Physics 2004 96(12) p 7686-7695

29 Halls MD and K Raghavachari Atomic Layer Deposition Growth Reactions of Alltsubgt2ltsubgtOltsubgt3ltsubgt on Si(100)-2amp2151 J Phys Chem B 2004 108(13) p 4058-4062

30 Lee SS et al Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Alltsubgt2ltsubgtOltsubgt3ltsubgt J Phys Chem B 2004 108(39) p 15128-15132

31 Ghosh MK and CH Choi The initial mechanisms of Al2O3 atomic layer deposition on OHSi(1 0 0)-2 x 1 surface by tri-methylaluminum and water Chemical Physics Letters 2006 426(4-6) p 365-369

32 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

177

33 Puurunen RL Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Applied Surface Science 2005 245(1-4) p 6-10

34 Killampalli AS PF Ma and JR Engstrom The Reaction of Tetrakis(dimethylamido)titanium with Self-Assembled Alkyltrichlorosilane Monolayers Possessing -OH -NHltsubgt2ltsubgt and -CHltsubgt3ltsubgt Terminal Groups J Am Chem Soc 2005 127(17) p 6300-6310

35 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

178

7 Chapter 7 Summary

71 General Conclusions In the work described above we have demonstrated that 1) FTIR provides adequate

spectral information for interfacial Si-O-Si bond formation for silane-based SAMs on

silicon oxide surfaces and for chain effects on head group cross-linking 2) three

functionalization routes can be used for HSi(111) surfaces to produce -NH2 terminated

surfaces with high free -NH2 group surface density and with higher stability in aqueous

solution for biological sensor applications than on silicon oxide substrates 3) carboxyl-

terminated SAMs have higher thermal stability than CH3-SAM and both types of SAMs

are thermally stable during the ALD growth conditions and 4) ALD of metal oxide can be

performed on a variety of SAM-terminated Si surfaces in a controllable manner without

formation of unwanted interfacial SiO2

Surface-sensitive transmission infrared spectroscopy captures the spectral changes of

SiO2 surfaces after modification with trichlorosilane A substrate-adsorbate mode at

1200-1260 cm-1 is attributed to the LO phonon mode induced by the coupling of newly

formed Sis-O-Si bonds with the Si-O-Si network of SiO2 Formation of high density

Sis-O-Si is equivalent to adding a layer to SiO2 thus increasing the thickness of the SiO2

layer Consequently the LO mode of SiO2 is blue-shifted and its intensity is increased [1

2] For an organosilane with alkyl chain steric restrictions prevent the formation of dense

head group cross-linking Si-O-Si and interfacial Sis-O-Si suppressing the vibrational

coupling between the siloxane layer and SiO2 substrate In this case the Si-O-Si mode is

decoupled from the SiO2 LO phonon Such spectroscopic information and understanding

provides a tool towards controlling the interfacial structure and a means to better define the

growth mechanisms operative for the silaneSiO2 system In particular this tool will make

it possible to develop method to achieve a densely packed SAMs for use as dielectrics

Among the many known organosilane molecules used for SAMs amino-terminated

SAMs have been used for the fabrication of patterned surfaces Such surfaces are important

to promote the absorption of enzymes and peptides and to achieve selective electroless

179

deposition of metals and nano-particles on semiconductors An immense problem exiting

in fabrication of amino- terminated surfaces either on SiO2 or on H-terminated Si surfaces

is the acid-base interaction between NH2 head group of precursor and the surface silanol

groups on SiO2 surfaces or catalyzed oxidization of H-Si surfaces These side reactions

degrade the stability and quality of the films In this study we have developed three new

approaches to prepare high quality and stable amine-terminated surfaces and verified the

presence of free amine by monitoring its reactivity with PMPI linker molecules It enables

controllable specific attachment of biomolecule to the surface

From FTIR spectra of CnH2n-1-terminated and COOH-(CH2)10-terminated surfaces

spectral features have been identified which could be ascribed to the Si-H stretching mode

confirming that a Si-H group is present on the alkylated surface The peak corresponding to

Si-H stretching mode is centered at 2073 cm-1 ie red-shifted from its common position at

2083 cm-1 for freshly prepared H-Si(111) surface[3] and also much broader than the sharp

feature seen on the ideal flat H-Si(111) surface indicating that Si-H is isolated (surrounded

by atomsmolecules other than H) as is expected if the surface functionalization is uniform

and incomplete The integrated area of this peak is ~25 and ~55 of the integrated area

(0014 cm-1) of Si-H on freshly prepared H-Si(111) surfaces for CnH2n-1-terminated and

COOH-(CH2)10 terminated surfaces respectively Since a lower value of the Si-H

integrated area is correlated with a higher degree of partial oxidization COOH-terminated

SAMs can therefore form denser layers than CH3-terminated SAMs All these observations

confirm that Si-H bonds can react to for Si-C bonds but only partially (up to 50) due

steric interactions [4]

In situ FTIR spectroscopy makes it possible to compare the thermal decomposition

pathways of CnH2n-1-terminated and COOH-(CH2)10-terminated Si(111) surfaces The

simultaneous appearance of H-Si bonds at ~2076 cm-1 with reduction of CH2 mode

intensity on both surfaces indicates that the chain removal proceeds though βminuselimination

by cleavage of the Si-C bond instead of the C-C bond with formation of Si-H The higher

decomposition temperature of COOH-terminated SAMs indicates that they have a higher

thermal stability than CH3-terminated SAMs Both SAMs are thermally stable during the

ALD growth condition (typically from 100-150 degC)

180

In situ infrared spectroscopy also reveals that the ALD of high-κ metal oxide

(TMAH2O) can be performed on the silicon functionalized with self-assembled

monolayers (SAMs) with -COOH -OH - NH2 or -CH3 terminal groups The study shows

that the nature of the end groups significantly affects the selectivity toward TMA

adsorption and subsequent ALD growth of Al2O3 These studies can be also extended to

ALD deposition of other high-k metal oxides such as HfO2 using TEMAH and H2O to

understand the mechanism of growth of interface formation

The ALD process on SAM is found to depend on all following factors 1) the chemical

reactivity of terminal groups 2) the polarity of terminal group charges 3) the end group

surface density 4) the chain packing density and 5) the accessibility and reactivity of the

siliconASM interface The results show that Al2O3 can grow on all surfaces but in a

different manner forming more homogeneous film on the -NH2 and -COOH surfaces and

very non-homogeneous films on -CH3-terminated surfaces due to a lack of initial reactive

nucleation sites Silicon functionalization with all SAMs not only efficiently eliminates the

formation of unwanted interfacial silicon oxide during ALD growth but also prevent SiO2

formation during post-annealing up to 400 degC (ie SAM decomposition temperature) This

provides a potential method to avoid the formation of SiO2 during ALD processing

The understanding of underlying reactions between precursors and various surface

functional groups obtained from this study gives us a better control over these surface

reactions that may constitute an important method to tailor ALD growth for fabricating

nanoelectronic devices These studies of ALD on SAMs also establish a foundation to

understand the ALD nucleation and growth on more complicate organic films such as

ALD on polymers [5] and selective ALD on patterned surfaces [6 7] In addition the

stability of ultra-thin metal oxide films grown on SAMs demonstrated here provides a basis

for the development of a protective nano-coating method

In contrast to conventional methods using thermal pretreatment to control the ndashOH

density on silica and alumina surfaces SAMs provide a convenient way to control the

surface reactivity and surface density of reactive sites which can be applied to a number of

systems

181

Bibliography

1 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

2 Quayum ME et al Formation of organic monolayer on a hydrogen terminated Si (111) surface via silicon-carbon bond monitored by ATR FT-IR and SFG spectroscopy Effect of orientational order on the reaction rate Chemistry Letters 2002(2) p 208-209

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Sieval AB et al Amino-terminated organic monolayers on hydrogen-terminated silicon surfaces Langmuir 2001 17(24) p 7554-7559

5 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

6 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

7 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

182

CURRICULUM VITAE

Meng Li Rutgers University

Department of Physics amp Astronomy Piscataway NJ 08856 Phone (732) 910-7247

mengliphysicsrutgersedu EDUCATION PhD in Physics (Surface Science) Oct 2007 GPA 37540 Rutgers University New Brunswick NJ (Advisor Prof Yves J Chabal Director of Laboratory for Surface Modification) Master of Science in Computational physics Oct2003 GPA 37340 Rutgers University New Brunswick NJ (Advisor Dr Bernie Yurke Bell Labs) MS and BS in Physics Jun 19991997 GPA 8810 Nanjing University Nanjing PR CHINA (Advisor Prof Qiuhe Peng) WORK EXPERIENCE Thick Film Group AZ Electronic Materials USA Corp Branchburg Process Engineer Jul 2007 ~ Aug 2007 (Internship) bull Develop and test photoresists for thick film applications

- Developed and optimized the formulation of thick film photoresists for desired performance

- Test performance of thick film photoresists on different substrates including silicon copper and gold using standard micro lithography methods

Department of Physics amp Astronomy Rutgers University New Brunswick NJ Lab Instructor (Aug2000 ~ May 2003) bull Instructed over 50 engineering students and 120 college students in classical and

modern physics experiments computational physics and experimental data analysis using MatlabExcel

bull Tutored high school students in advanced mathematics and physics and helped them succeed in gaining admission to Princeton Stanford and Cornell

183

RESEARCH EXPERIENCE Research Assistant (Advisor Prof Yves J Chabal) Laboratory for Surface Modification Rutgers University New Brunswick NJ Aug 2003 ~ Aug 2007 bull FTIR study of Atomic layer deposition (ALD) of high-kmetal oxide on semiconductor

surface modified by organic Self-Assembled Monolayers (SAMs) - Examined the chemical and thermal stability of SAMs under the conditions of

ALD processes - Characterized the ALD-grown HfO2 and Al2O3 on organic SAMs with different

functional termination - Investigated ALD precursors chemistry involved in the HfO2 and Al2O3 at

SAMsSilicon interface and optimized the reaction conditions - Studied mechanistically the effects of surface active sites on nucleation and

growth dynamics of ALD high-k metal oxide by using SAMs as model with controllable surface chemistry

- Tested condition of SAM-assisted area-selected ALD on silicon bull Investigation of phase transition in Poly(N-isopropylacrylamide) (PNIPAM) films on

silicon surfaces for applications in controllable micro- nano- fluidic systems - Characterized thin Poly(N-isopropylacrylamide) (PNIPAM) film end-tethered on

surface and investigated the conformational changes during phase transition using in-situ FTIR spectroscopy

bull Modification and characterization of silicon surfaces using organic self-assembled

monolayers for applications in optimization of attachment of biomolecules to silicon surface and nanoelectronics - Fabricated silicon surface with a variety of SAMs through Si-O-Si or Si-C surface

linkages - Studied surface morphology and chemical properties of silicon surface modified

by SAMs - Analyzed chemical bonding and interfacial structure of SAMsSilicon - Developed novel surface chemistry to prepare high quality bio-reactive surface

for biotechnology Research Assistant (Advisor Dr Bernie Yurke) Bell Laboratories Lucent Technologies Murray Hill NJ Apr 2003 ~ Apr 2004 bull DNA Self-Assembly

- Designed DNA strands for formation of DNA nano-complexes - Assembled and Analyzed DNA complexes and imaged the resulting

nano-structures - Developed computer programs to assist designing and optimizing desired

nano-patterns

184

Research Assistant (Advisor Dr Bernie Yurke) California Institute of Technology Computation and Neural Systems Pasadena CA (Prof Eric Winfreersquos Lab) Jun 2003 bull DNA Self-Assembly and Algorithmic principles in biological systems

- Designed DNA strands to generate nano-patterns through DNA self-assembly - Utilized biochemistry techniques to prepare DNA samples and to form synthetic

nano-complexes quantified DNA samples using UV spectrometer formed complicated DNA building blocks to achieve nano-patterns in Polymerase chain reaction (PCR) machine checked structure formation using Gel electrophoreses imaged DNA self-assembled nano-patterns on mica surface using Atomic Force Microscopy (AFM)

- Assembled bio-inorganic nano-systems a periodic gold nano-particle arrays (with L~25nm) using the self-assembled DNA lattice as scaffold imaged the pattern using AFM

EXPERIMENT SKILLS Clean Room Fabrication bull Semiconductor wafer clean and processing bull Micro lithography Suss Coater ACS300 FLEXIFAB coater ASM-L Stepper

Nanospec 8000 film thickness system Suss MA200 Aligner OptiTrac CoaterDeveloper Amray 4200L SEM

Surface Characterization bull Fourier Transform Infrared Spectrometry (FTIR) using a variety of probing

geometries bull Scanning Probe Microscopy (SPM AFM) tapping amp contact mode (in air and in

liquids) bull Scanning Electron Microscopy (SEM) bull Rutherford Back-Scattering (RBS) bull X-Ray photoemission spectroscopy (XPS) bull UHV systems   ChemistryOrganic Polymer Synthesis bull Wet Chemical methods semiconductor sample cleaning (RCA Piranha HF) and

specialized sample handing in inert atmosphere using a glove box bull Functionlization of silicon surfaces with organic material (using both gas and liquid

phase methods) bull Chemistry for thin film deposition (CVD ALD) Computer Skills bull Computer Language C++FortranJava Matlab bull Scientific Software Origin Labview Latex bull Office application MS office 2000

185

bull Operating System UNIX Linux Windows XPNT200098 SUN Solaris on Sparc5Ultra30 workstations SGI R4400 Dell Precision workstation cluster

PUBLICATION bull Meng Li Min Dai Yves J Chabal ldquoIn-situ infrared spectroscopy of Atomic Layer

Deposition high-κ dielectrics on organic self assembled monolayersrdquo Applied Physics Letter 2007 (manuscript in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoSpectroscopic Signature of Self Assembled Monolayer SAMSilicon interfacerdquo 2007 (manuscripts in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFTIR study of Self Assembly of Aminopropyltriethoxysilane on Atomic Flat Hydrogen Terminated Silicon(111) surfacerdquo 2007 (manuscripts in preparation)

bull Guillaume Paumier Anne-Marie Gue Franccediloise Vinet Meng Li Yves J Chabal Alain Estegraveve Mehdi Djafari-Rouhani Jan Sudor ldquoDynamic control of electrokinetic flows on stimuli-responding Surafcerdquo 2007 Langmuir (Acceped )

bull Meng Li Xinlian Luo Qiuhe Peng Zou ZG Chou CK ldquoA new method to determine the thickness of spiral galaxies Apply to Galaxy M31rdquo Chinese Physics Letter 2000 17 (6) 466-468

PRESENTATIONS bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFormation of Aminosilane

self-assembled monolayers on Hydrogen terminated Si(111) surfacerdquo 2006 20th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Alexandra Langner Sandrine Rivillon Yves J Chabal ldquoFTIR Study of Structure and Growth (3-Aminopropl) Triethoxysilane and Triethoxysilane Self Assembly on Silicon Investigated by FTIRrdquo 2005 19th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Bernie Yurke Yves J Chabal ldquo DNA templated nanofabricationrdquo 2004 18th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

PROFESSIONAL AFFILITIES bull Member of American Physics Societies (since 2005) bull Member of American Chemistry Societies (since 2006) REFERENCES Available upon request

  • CoverMeng-fromLatex
  • MengLi_Final_NoCover_10012007
Page 5: MODIFICATION OF SILICON BY SELF …

v

Melissa for continuous sharing of lots of useful information and research resources with us

It is particularly valuable in an interdisciplinary lab Thanks to Min We worked closely on

the ALDSAM surface project during the last six months of my PhD research He helped

me to extend my research into the field of atomic layer deposition efficiently which was

great adventure and exploration I am grateful to Dr Sandrine Rivillon Amy who helped

me to become better chemical experimentalist in the lab and to Dr Jean-Francois Veyan

who provided me with lots of help to setup and maintain lab instruments from vacuum

chamber to air compressor Thanks to Nancy Pamula who made all administrative issues

during my stay at LSM very easy Thanks to my colleagues and friends in LSM and

Physics departments who made my life at Rutgers more colorful and enjoyable

I feel a deep sense of gratitude for my father and mother who formed part of my vision

and taught me the good things that really matter in life When I was a child my father

taught me all kinds of material which I could not learn enough from the school from

calligraphy music instrument and nature science The happy memory of my father still

provides a persistent inspiration for my journey in my life I have also my deepest gratitude

to my mother She has shouldered all the heavy burden of taking good care of two children

when my father passed away Without her great caring and strong endless support and love

it was impossible for me to get a high quality education and achieve my goals in life I

dedicate this work to both of them to honor their love patience and support during these

years I am very grateful to my wife Julia for her love and patience during the period of

study and to my sister Xin for helping my mother and the family

Last I would like to express my sincere gratitude to Dr Yan Xin who offers me the

precious opportunity to learn traditional advanced Chinese qigong - Yan Xin Qigong

Learning Yan Xin Qigong has accompanied me during my whole academic period since

1994 soon after I entered the Nanjing University at China It has maken my life much

healthier and more energetic and helped to handle any challenge on my way to seek a

higher goal of life and its true meaning

vi

Table of Contents

ABSTRACT OF THE DISSERTATION ii Acknowledgements iv Table of Contents vi List of Figures ix Chapter 1 Introduction to Silicon Surface Modification Using Self-Assembled

Monolayers 1 11 Introduction 1 12 Chemical Modification of Silicon Dioxide 4 13 Chemical Modification of Silicon (111) Surfaces 5 14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition 7 15 Summary 8 Bibliography 10

Chapter 2 Experimental Detail of Silicon Surface Modifications and Characterization

Technique ndash FTIR Spectroscopy 14 21 Introduction 14 22 Experimental 14

221 Materials 14 222 Sample Preparations 15

23 Instrumentation FTIR Spectroscopy 16 231 Molecular Excitations and Vibrations 16 232 Fourier Transform Infrared Absorbance Spectroscopy 17

24 Instrumentation Atomic Layer Deposition Set-up 23 Bibliography 25

Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study of Surface Bonding and

Interfacial Structure of alkyltrichlorosilane-based SAMs on Silicon Dioxide 26 31 Introduction 26 32 Experimental 28

321 Materials 28 322 Sample Preparations 29 323 Characterization 30

33 Results 30 331 TCS SAM on SiO2 30 332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2 39 333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2 (Chain Length Effects on Head group cross-linking Structures) 45

34 Discussion 51 35 Conclusion 58 Bibliography 59

vii

Chapter 4 Amino-Terminated Monolayer on H- Terminated Crystalline Silicon (111) By an Self Catalytic Reaction 62 41 Introduction 62 42 Experimental 63 43 Results 65

441 Amine-catalyze Oxidization of H-Si backbond and attachment of APTES 88 442 Limited Interfacial Oxidization 89 443 Stability of APTES layer and Binding Capability 89

45 Conclusion 91 Bibliography 92

Chapter 5 Alkylation of H-Terminated Silicon (111) and Thermal Stability of SAMs with

Different Functionalities 95 51 Introduction 95 52 Experimental 96

521 Materials 96 522 Preparation of Self Assembled Monolayers 96

53 Results 98 531 Preparation of Octadecylated Si (111) Surface 98 532 Preparation of COOH-Terminated Monolayer on Si(111) Surface via a Si-C bond 102 533 Chemical Stability Resistance of Organic Monolayer via a Si-C bond to HFNH4F 104 534 Thermal Stability of Octadecylated Si(111) 105 535 Thermal Stability of COOH-Terminated-Si (111) 109

54 Discussion 113 541 Alkyl Packing on the H-Si (111) surface (Theory and Model) 113 542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111) 113 543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)115

54 Conclusion 119 Bibliography 120

Chapter 6 Selective Atomic Layer Deposition (ALD) of Metal Oxide on SAMs with

Different Functional Groups 122 61 Introduction 122 62 Experimental 125

621 Materials 125 622 Preparation of Self Assembled Monolayers 125 623 Characterization of the Self-Assembled Monolayers 128 624 Atomic Layer Deposition Chamber Set Up UHV apparatus 128

63 Results 129 631 Preparation and Characterization of COOH- terminated SAMSi(111) (same as Chapter 5) 129 632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111) 129 633 ALD Growth of TMA on COOH-terminatedSi (111) 134

viii

634 ALD TMA on ndashOH terminated SAMSi (111) 142 635 ALD TMA on ndashNH2 terminated SAMSi(111) 148 636 ALD TMA on ndashCH3 Terminated SAMSi(111) 155 637 ALD Growth of TMA on SiO2 157

64 Discussion 160 641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM 160 642 Mechanism for ALD growth on ndashCH3 SAM 161 643 Initial Reaction Mechanism for ALD growth on ndashOH SAM 165 644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM 167 645 Comparing Initial Reaction of TMA on SAMs with different terminal group 169 646 Comparing the Nucleation and Al2O3 films grown on the different substrate 170

65 Conclusion 174 Bibliography 175

Chapter 7 Summary 178

71 General Conclusions 178 Bibliography 181

CURRICULUM VITAE 182

ix

List of Figures

Figure 11 A Diagram of Field Effect Transistor 3 Figure 21 Inside layout of FT-IR Spectrometer (Nicloet) 18 Figure 22 Interferogram of silicon sample 19 Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission Geometry 21 Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated Si(111) sample

22 Figure 25 FTIR Spectra of water Vapor and CO2 gas 23 Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission FTIR

spectroscopy set up24 Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with

Different Thickness 32 Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 33 Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon Mode of Oxidized

Silicon Substrate35 Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1 35 Figure 35 FTIR Spectra of Polymerized TCS film on SiO2 36 Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area of H-SiO3 and Peak

at 1200-1200 cm-138 Figure 37 Toluene Effect on Oxidize Silicon Substrate 40 Figure 38 Different TCS configuration on the Surface and polymerized film 40 Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode)43 Figure 310 Deconvolution of Si-O-Si absorption band of TCS films 44 Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2 46 Figure 312 A reaction between Monochlorine silane and SiO2 substrate46 Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes

with different chain length47 Figure 314 Sis-O-Si in different configuration Different group attached to Si 48 Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different Substrate 50 Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 52 Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

54 Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface 57 Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane62 Figure 42 p-maleimidophenyl isocyanate (PMPI)65 Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES 66 Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect 69 Figure 45 FTIR Spectra of Time Dependence Experiments70 Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and

without UV (284nm) Illumination72 Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time74 Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water

75 Figure 49 PMPI 24 hours on APTESH-Si(111) 77 Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c

Toluene only79 Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine81 Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or without water

83 Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I) 86

x

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II) 87 Figure 415 Possible Mechanism of APTES reacts with surface H-SiO388 Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)97 Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene 100 Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains 101 Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid103 Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution 106 Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure

N2 gas from 100 to 400 degC 107 Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated

Si(111) sample108 Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c111 Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111)112 Figure 510 Possible Reaction during Annealing 118 Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen

environment118 Figure 61 SAM Assisted Atomic Layer Deposition of Metal 124 Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM 128 Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to TMA for various time

130 Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900 cm-1 131 Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl solution treatment

133 Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111) 135 Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)138 Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on COOH-SAM H-Si(111)

post-annealed in ultra pure N2 gas from 300 to 600 degC 139 Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with COOH-SAM and

following 10 cycle TMAD2O growth and 600 degC annealing 140 Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM 144 Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)147 Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) 150 Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

151 Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method

(Method II) are verified by reacting with PMPI 152 Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) 154 Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111) 156 Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post

annealed from 300 to 600c 159 Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA

Growth on -CH3 SAM164 Figure 619 -COOH SAM terminated Si(111) 167 Figure 620 Possible absorbed TMA on ndashOH terminated Surface 168 Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM168 Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different

terminal groups171 Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group172 Figure 624 Comparison of ALD TMA on the surface with different termination group 173

1

1 Chapter 1 Introduction to Silicon Surface Modification

Using Self-Assembled Monolayers

11 Introduction

Silicon chips have been the backbone of modern electronic technology and computing for

several decades As the size of devices on silicon wafers scales down to sub-nanometer the

surface characteristics become dominant and play an increasingly crucial role in device

operation As the ratio of surface area-to-volume increases in smaller objects precise

control of interface properties is required in the many fields not only in microelectronics

but in other applications including sensors MEMSNEMS molecular electronics and

biologically active surfaces The conventional SiO2Si passivation widely used in

microelectronics solely is not able to fully meet the need of developments It becomes very

exciting field to integrate molecular scales devices solid state inorganic structure with

biological active interface

The conventional ldquotop-downrdquo fabrication technologies become more limited at such a

small scale and prohibitively expensive with impractically low throughput Alternatively

self-assembly an inspiration from the nature could provide a very promising solution to

building nanostructures from the ldquobottom uprdquo Self-Assembled Monolayers (SAMs) of

organic molecule are highly ordered two-dimensional structures on the surface and forms

spontaneously They have been widely used as surface modifiers for practical applications

and basic research since they offer a means of altering and controlling the chemical nature

of surfaces They also have a great potential to satisfy many requirements for a new

nanofabrication technology Self-assembly for instance could make it possible to produce

devices with nanometer precision (even in three dimensions) with parallel processing using

simple wet-chemistry or gas-phase technique for cost-effective manufacturing

SAMs have been widely used in the many areas [1] and particular useful in the

nanotechnology and biotechnology including wetting adhesion friction chemical

2

sensing nano-scale lithography surface patterning[2 3] molecular lubrication[4] and

corrosion prevention [5]

There are different SAM systems have been investigated The most common

adsorbatesubstrate combinations are sulfur-containing molecule on gold (forming Au-S

bonds) organosilanes such as alkylchlorosilanes and alkylalkoxysilanes on oxide surface

(forming Si-O-Si bonds) alkenes on hydrogen terminated silicon surface(forming Si-C

bonds) alcohols on H-terminated silicon surface (forming Si-O-C bonds) Although thiol

Au constitutes the most studied model system metal substrates are less useful or versatile

for fabricating electronics than semiconductor materials In this study we therefore focus

on self-assembly on silicon substrates a system with beneficial properties for future

applications such as fabrication of organic-inorganic hybrid devices biochips (protein

array and DNA array) and biosensor We consider attachment of alkoxysilane molecules

on oxidized silicon (SiO2) as an important model of SAMS on glass We then consider the

interaction of two important classes of molecules on H-terminated silicon surfaces first

amino-terminated SAMS (with ethoxy termination) such as APTES and chlorosilanes such

as octodecylchlorosilanes

Consideration of these systems is important for electronics Let us consider for instance a

conventional field effect transistor with a SiO2 gate oxide (Figure 11) It has been shown

that a dense packed organic monolayer alkyl chain with only a few nanometer thickness is

a very efficient insulating barrier [6] It has a high tunneling barrier (45 eV) for charge

carriers that reduces the tunneling current density at a negligible level (10ndash8 Acm2 at 4

MVcm) [7 8] In comparison the SiO2 insulating layer within equivalent nanometer

thickness range (lt3nm) has leakage current as large as 10-1-10-3 Acm2 [9] SAM-dielectric

organic transistors have been successfully demonstrated on silicon [7 10-12] and on

substrate of metal aluminum terminated with hydroxyl groups [13] The advantage of using

SAMs is not only that is reduces the leakage current but also that it allows an organic thin

film transistor to operate at low voltage which is intrinsic requirement for the device made

of organic semiconductor material [10] Furthermore the tailorable SAM surface can be

modified with methyl- carboxylic amine and hydroxyl group terminations with different

surface energy which can be used to control the carrier density in a device such as organic

3

field-effect transistors [14] SAMs are also widely used as gate dielectrics in fabricating

novel nanoeletronic devices such as carbon nanotube field effect transistors [15]

Figure 11 A Diagram of Field Effect Transistor

Determination of the electrical properties of the organic monolayers and their

interfaces is a key issue for both molecular and silicon nanoelectronics For this purpose

the presence of the 10ndash15 nm thick SiOx layer in the alkylsiloxane SAMs formed from

n-alkyltrichlorosilane on oxidized silicon surfaces precludes the study of a true

siliconorganicndashmonolayer interface The direct formation SAMs on H-Si by

hydrosilylation with alkenes allows direct measurement of SAM-Si interface [16 17] The

interface trap density obtained in the case of the silicon1-octadecene interface was more

than an order of magnitude lower than that obtained in the case of the alkyl chain

monolayer on the oxidized silicon surface [17]

The interaction of biomolecule such as DNA proteins or cells with semiconductors

such as silicon is of great interest for applications such as electronic biosensing To

achieve this silicon need to be properly functionalized by using SAMs with desired end

groups SAMs can be used to either enhance or inhibit adsorption of biomolecules The

SAMs can be also used to control the electronic properties of the surface For example a

single nanowire modified with amine-terminated SAMs is used to detect the pH change in

the solutions [18] It is also shown the direct electrical detection of DNA synthesis can be

done by applying SAMs[19]

4

12 Chemical Modification of Silicon Dioxide

Because silicon dioxide has been widely used in the microelectronics industry for decades

as a method to passivate silicon surface control surface electronic and chemical properties

and pattern surface the first interest of this work focuses on the modification of SiO2 with

SAMs The study of SAMSiO2 has grown rapidly since Sagiv and his co-workerrsquos

pioneered working on SAMSiO2[20] In general understanding silane-based SAMs are

believed to be bonded to SiO2 substrate through Si-O-Si bond and thus can be integrated

into current SiO2-based micronano electromechanical systems (MEMSNEMS) SAMs

also play important roles in fabricating novel hybrid organic-inorganic devices For

instance formation of the SAMs onto the SiO2 gate insulators is known to be a very

effective method to increase the field-effect mobility of organic thin film field

transistors[21]

In spite of wide applications of alkylsiloxane SAMs on SiO2 the actual mechanism of

monolayer formation on SiO2 remains a subject of debate Many studies have been carried

out to investigate the underlying mechanism but the results are often conflicting

In general picture the silane molecule first must be hydrolyzed to form reactive silanol

groups and further they condense with surface hydroxyl groups [22] to form Sis-O-Si bond

or with other hydrolyzed silane molecule to form Si-O-Si cross-linking Unlike SAMs of

alkanethiols and fatty acids in which the ultimate film structure is determined by

substrate-molecule and van der Waals chain-chain interactions the reactive head-groups in

organosilanes introduce potential lateral film growth mechanisms at substrates Formation

of head group cross-linking is very sensitive to environment such as water content

temperature [23] [24] The competition between head group cross-linking and surface

bond formation leads to poor reproducibility and inconsistent results

It is also argued that cross-linking and thin adsorbed water layer are keys to form high

quality SAMs but the bond between the chains and substrate is not necessary [25] [26]

The earlier IR study suggests few Sis-O-Si forms if there is any[27] In addition to the

existing debate on the formation of interface Sis-O-Si bond the growth dynamics of SAMs

whether it is uniform growth or island growth is also under the debate Overall results

suggest that it depends on water content [28 29] and self-assembly process strongly favors

5

island-type growth with increasing water content However the island-growth is still found

in the condition of absence of traces of water in solution [30]

Amine-terminated surface is very useful in the biological applications The important

applications of APTES-modified surface include promotion of adhesion and

immobilization of membrane and biological molecule physically or chemically Aminated

surface is also used for directing growth of the nanoparticles and nanorodes [31] [32] on

the surface One of widely used method is using aminosilane (APTES) on SiO2 substrate

However compared to the other alkylsilaneSiO2 the ndashNH2 functional group introduces

more complexity into already complicated SilaneSiO2 system The interaction between

NH2 group and surface hydroxyl groups or silanol groups makes it even more difficult to

form ordered layers NH2 group acts like build-in catalyst for the reaction of alkoxy [33]

[34] and chlorosilane [35 36] It can efficiently catalyze the formation of Si-O-Si bond and

exaggerate the self-polymerization Even worse APTES-modified SiO2 is found to be

unstable in the aqueous solution and can be easily removed from the surface This problem

greatly hinders its applications in the biological application where most of reactions are

conducted in the water-soluble solution

13 Chemical Modification of Silicon (111) Surfaces

Hydrogen passivated silicon has been the starting point for many important applications in

which the oxide is not desirable due to its insulting barriers and high density of electronic

defects at the interface H-terminated crystalline silicon (111) is particularly interesting

because of its unique characteristics H-Si(111) surface is atomic flat over large area (over

hundred Angstroms) and has very well defined surface structure with three of the Si atom

tetrahedral bond tied up with bulk silicon and one Si which is normal to surface terminated

with hydrogen atom It is least sterically hindered surface available on Si crystal that can be

easily prepared by wet chemical etching in aqueous hydrofluoride and ammonium fluoride

solutions[37] H-Si(111) is stable and can be easily manipulated in the air or organic

solvent without using expensive vacuum system Finally the reactivity of H-Si toward

organic materials and the well understood interface makes it great candidate for potential

molecular-semiconductor devices or chemical biosensor

6

Even though H-terminated silicon (111) is relatively stable in pure O2 or pure water

environments an oxide layer quickly forms upon exposure to ambient environment

Consequently the oxidization reduces its electrical properties and compromises the device

performance One promising technique to overcome this oxidization problem is to form

molecular monolayers with stable surface Si-C bond

Covalently attached organic monolayers on oxide-free silicon surface get increasing

attention because of their structural order stability technique usefulness and

controllability It can be easily prepared by a reaction between 1-alkene and a hydrogen

terminated silicon surface thermally [38-40] photo-chemically[41] by employing

transition metal catalysis[42] or by Lewis acid catalyzed reactions[43 44] It also has been

accomplished using alkyl Grinard and alkyl lithium reagents in a two-step process [45-47]

The structure of monolayers formed on silicon by hydrosilylation of 1-alkenes and

1-alkynes has been studied by FTIR contact angle experiments X-ray analysis [39 48

49] impedance spectroscopy[50 51] and a variety of theoretical techniques including

molecular mechanics[52] molecular dynamics[53] and density functional computations

[52 54-57]

Two mechanisms have been proposed for hydrosilylation of H-terminated silicon

Linford suggested radical chain mechanism [38 39] A surface silyl radical is formed from

UV or thermal activation attacks the unsaturated bonds (C=C) of 1-alkenen to form Si-C

bond with formation of a new radical center on the carbon atom The carbon centered

radical of chain abstracts nearestndashneighbor H atom forming a new silyl radical and

initiating the free-radical chains reaction An alternative mechanism involving formation

of electron-hole pairs has been proposed by Buriak et al They investigated hydrosilylation

promoted with white light and suggested an unbound exciton produced by light absorption

that leads to a surface-localized supra-band gap positive charge This surface charge can

then interact with alkenes and form a silylated β-carbocation upon Si-C bond formation

This carbocation can then abstract a hydride from an adjacent Si-H bond yielding the

neutral organic termination [58]

A great interest is on how alkyl chains pack on the Si(111) surface In a simple steric

model of alkylated surface the inter-nuclear distance between adjacent atop sites on the

Si(111) crystal face is 38 Aring and a methyl group with a van der Waals diameter 25 Aring is

7

small enough to replace every H atom and link to every Si atom reaching 100 coverage

However alkyl chain with chain length longer than two carbons has van der Waals

diameter 45- 50 Aring implying that it cannot fit to every Si site because of steric restriction

A theoretical model study on alkyl packing has shown the maximum coverage for long

n-alkyl chains on Si(111) is 50-55 [52]

This work concerns with thermal hydro-silylation of H-Si(111) with a linear

1-alkene1-undecenic acid and its derivatives

14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition

SAM modified silicon substrate can be integrated into other nanoelectronics applications

because it can effectively control the surface properties One application is the application

of deposition of ultra-thin inorganic material (high-k) on the top of SAM modified surface

Atomic Layer deposition (ALD) is a thin film growth technique based on a sequential

self-limited surface reaction It has recently received considerable attention as a method for

depositing high quality thin films of insulators semiconductors and metals with

sub-nanometer control of growth process The surface-reaction-controlled binary

deposition mechanism ensures high conformal growth with precise control of the film

thickness and uniformity over large area

The ALD growth and film quality are sensitive to the starting surface The nucleation

and the interface formation are greatly affected by the surface functional group and

reactivity with ALD precursors Usually hydroxyl group -OH on SiO2 is mostly used By

controlling the surface functional group ALD process can be manipulated for

area-selective ALD growth[59 60] a controllable nucleation

Scaling down integrated circuit require to replace the SiO2 gate dielectric (dietetic ~ 34)

in metal-oxide-semiconductor field effect transistor (MOSFET) with high-k dielectrics in

order to reduce the required effective oxide thickness (EOT) without considerable leakage

Among the stable oxide Al2O3 (k~7) and HfO2 (k~25) are very promising candidates with

high dielectric constant so that have been studies intensively on different substrate such as

8

SiO2 porous silicon and H- terminated crystal silicon The popular combination includes

trimethylaluminum (TMA) and water for Al2O3 and TEMAH and water for HfO2 growth

To fully take advantage of using high-k material ALD deposition requires to use

oxide-free H terminated silicon as starting surface to obtain atomically sharp Sihigh-k

interface The existences of SiO2 will significantly compromise the benefits of using

high-k dielectrics by reducing the effective gate stack capacitance

The usage of HSi as a substrate for high-k material such as HfO2 and Al2O3 deposition

although very promising has been found to have the following problems 1) low reactivity

HSi has remarkable resistance to oxidization however it also causes the poor nucleation

characteristics of many ALD-grown high-k films resulting in nonlinear growth kinetics

and formation of discontinuous and electrically leaking gate stacks 2) interfacial SiO2

formation It is found that interfacial SiO2 is unavoidably formed during ALD growth as a

side-reaction [61] [62] The method to increase nucleation and promote linear growth by

using more reactive precursor such as replacing water with Ozone has been found increase

interfacial SiO2 formation more So to have a surface with enough reactivity toward ALD

precursor while remaining resistance to unwanted oxidizations is important to solve the

obstacle mentioned above

In this thesis we use self-assembled monolayers formed from hydrosylation of

H-Si(111) as starting substrate and use Al2O3 as an example to demonstrate SAM assisted

ALD deposition of high-k dialectics on oxide-free surface The Alkyl-SAM covalently

bond to Si substrate through Si-C bond is extremely stable and will not be oxidized in the

ambient environment up to months or under the current ALD growth condition Meanwhile

the tailorable head groups of SAM provide a neat way to study and control (either promote

or inhibit) nucleation of ALD process We research into high-k precursor adsorption and

initial ALD growth on SAM with different chemical functional groups (-OH -COOH

-NH2 -CH3) using in-situ infrared spectroscopy In addition our study also provides

deeper understanding on ALD on polymers surface

15 Summary

9

The work presented here addresses several important issuers existing in current two most

popular silicon substrate-based self-assembled monolayers systems alkylsilaneSiO2 and

alkenesH-Si(111) by using powerful FTIR spectroscopic technique We first discuss the

chemical modification of SiO2 via Si-O-Si bond through formation of siloxane monolayers

and chemical modification of oxide-free crystal Si surface by Si-C bond through one-step

thermal alkylation process using alkenes

In silaneSiO2 system particular emphasis is placed on identifying IR spectral

signature at the SAMSiO2 interface This is first time that the spectral changes of SiO2

substrate is observed after SAM modification implying Sis-O-Si formation between silane

and substrate which is described in Chapter 3 In Chapter 4 we discuss a specific example

of silane-based new method to prepare stable -NH2 terminated surface on H-Si(111)

surface without required ndashOH surface groups The new methods different from either

conventional SilaneSiO2 system or alkeneH-Si(111) system overcomes some

disadvantages in current widely used methods

Chapter 5 discusses functionalization of H-Si(111) using 1-alkene (-CH3) and

1-undecylnic acid (-COOH) and its thermal stability by using is-situ FTIR technique In

Chapter 6 we first discuss the preparation of Si-C SAM with other two types of chemical

functional groups (-OH -NH2) and atomic layer deposition of high-k metal oxide (Al2O3)

on different functional surface is described and compared in the second part of Chapter 6

Finally a general conclusion of current understanding of the mechanism of

SilaneSAM and atomic layer deposition of metal oxide on alkylated Si(111) with different

functional groups is summarized in Chapter 7

10

Bibliography

1 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev

1996 96(4) p 1533-1554 2 Finnie KR R Haasch and RG Nuzzo Formation and Patterning of

Self-Assembled Monolayers Derived from Long-Chain Organosilicon Amphiphiles and Their Use as Templates in Materials Microfabrication Langmuir 2000 16(17) p 6968-6976

3 Zheng J et al Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon Langmuir 2000 16(10) p 4409-4412

4 V V Tsukruk Molecular Lubricants and Glues for Micro- and Nanodevices Advanced Materials 2001 13(2) p 95-108

5 Jennings GK et al Effect of Chain Length on the Protection of Copper by n-Alkanethiols Langmuir 1998 14(21) p 6130-6139

6 Boulas C et al Suppression of Charge Carrier Tunneling through Organic Self-Assembled Monolayers Physical Review Letters 1996 76(25) p 4797 LP - 4800

7 Collet J et al Low-voltage 30 nm channel length organic transistors with a self-assembled monolayer as gate insulating films Applied Physics Letters 2000 76(14) p 1941-1943

8 Vuillaume D et al Organic insulating films of nanometer thicknesses Applied Physics Letters 1996 69(11) p 1646-1648

9 Lo S-H et al Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFETs Electron Device Letters IEEE 1997 18(5) p 209-211

10 Halik M et al Low-voltage organic transistors with an amorphous molecular gate dielectric 2004 431(7011) p 963-966

11 Park YD et al Low-voltage polymer thin-film transistors with a self-assembled monolayer as the gate dielectric Applied Physics Letters 2005 87(24) p 243509

12 Yoon MH A Facchetti and TJ Marks sigma-pi molecular dielectric multilayers for low-voltage organic thin-film transistors Proceedings of the National Academy of Sciences of the United States of America 2005 102(13) p 4678-4682

13 Klauk H et al Ultralow-power organic complementary circuits 2007 445(7129) p 745-748

14 Kobayashi S et al Control of carrier density by self-assembled monolayers in organic field-effect transistors 2004 3(5) p 317-322

15 Weitz RT et al High-performance carbon nanotube field effect transistors with a thin gate dielectric based on a self-assembled monolayer Nano Letters 2007 7(1) p 22-27

16 Miramond C and D Vuillaume 1-octadecene monolayers on Si(111) hydrogen-terminated surfaces Effect of substrate doping Journal of Applied Physics 2004 96(3) p 1529-1536

11

17 Kar S C Miramond and D Vuillaume Properties of electronic traps at silicon1-octadecene interfaces Applied Physics Letters 2001 78(9) p 1288-1290

18 Cui Y et al Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species

101126science1062711 Science 2001 293(5533) p 1289-1292 19 Pourmand N et al Direct electrical detection of DNA synthesis 101073pnas0601184103 PNAS 2006 103(17) p 6466-6470 20 Netzer L and J Sagiv A new approach to construction of artificial monolayer

assemblies J Am Chem Soc 1983 105(3) p 674-676 21 Lin YY et al Stacked pentacene layer organic thin-film transistors with

improved characteristics Ieee Electron Device Letters 1997 18(12) p 606-608 22 Zhuravlev LT Concentration of hydroxyl groups on the surface of amorphous

silicas Langmuir 1987 3(3) p 316-318 23 Parikh AN et al Correlation of Molecular-Organization and Substrate

Wettability in the Self-Assembly of N-Alkylsiloxane Monolayers Journal of Physical Chemistry 1995 99(24) p 9996-10008

24 Glaser A et al Investigation of the role of the interplay between water and temperature on the growth of alkylsiloxane submonolayers on silicon Langmuir 2004 20(13) p 5599-5604

25 Finklea HO et al Formation of an organized monolayer by solution adsorption of octadecyltrichlorosilane on gold electrochemical properties and structural characterization Langmuir 1986 2(2) p 239-244

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

28 Vallant T et al Formation of self-assembled octadecylsiloxane monolayers on mica and silicon surfaces studied by atomic force microscopy and infrared spectroscopy Journal of Physical Chemistry B 1998 102(37) p 7190-7197

29 Vallant T et al Investigation of the formation and structure of self-assembled alkylsiloxane monolayers on silicon using in situ attenuated total reflection infrared spectroscopy Langmuir 1999 15(16) p 5339-5346

30 Wang MJ et al Self-assembled silane monolayers Fabrication with nanoscale uniformity Langmuir 2005 21(5) p 1848-1857

31 Taub N O Krichevski and G Markovich Growth of Gold Nanorods on Surfaces J Phys Chem B 2003 107(42) p 11579-11582

32 Mieszawska AJ GW Slawinski and FP Zamborini Directing the growth of highly aligned gold nanorods through a surface chemical amidation reaction Journal of the American Chemical Society 2006 128(17) p 5622-5623

33 Blitz JP RSS Murthy and DE Leyden Ammonia-catalyzed silylation reactions of Cab-O-Sil with methoxymethylsilanes J Am Chem Soc 1987 109(23) p 7141-7145

12

34 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

35 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

36 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

37 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

38 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

39 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

40 Sieval AB et al An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces Langmuir 1999 15(23) p 8288-8291

41 Terry J et al Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift scanned-energy photoelectron diffraction Applied Physics Letters 1997 71(8) p 1056-1058

42 Holland JM et al Metal Mediated Reactions on Porous Silicon Surfaces Journal of Solid State Chemistry 147 p 251-258

43 Buriak JM and MJ Allen Lewis Acid Mediated Functionalization of Porous Silicon with Substituted Alkenes and Alkynes J Am Chem Soc 1998 120(6) p 1339-1340

44 Ohyama H et al Photoluminescence of porous silicon surfaces stabilized through Lewis acid mediated hydrosilylation Journal of Luminescence 80 p 29-35

45 Bansal A et al Alkylation of Si Surfaces Using a Two-Step HalogenationGrignard Route J Am Chem Soc 1996 118(30) p 7225-7226

46 Bansal A et al Spectroscopic Studies of the Modification of Crystalline Si(111) Surfaces with Covalently-Attached Alkyl Chains Using a ChlorinationAlkylation Method J Phys Chem B 2001 105(42) p 10266-10277

47 Webb LJ and NS Lewis Comparison of the Electrical Properties and Chemical Stability of Crystalline Silicon(111) Surfaces Alkylated Using Grignard Reagents or Olefins with Lewis Acid Catalysts J Phys Chem B 2003 107(23) p 5404-5412

48 Fidelis A F Ozanam and J-N Chazalviel Fully methylated atomically flat (111) silicon surface Surface Science 2000 444(1-3) p L7-L10

49 MP Stewart EGR TW Geders MJ Allen H Cheul Choi JM Buriak Three Methods for Stabilization and Functionalization of Porous Silicon Surfaces via Hydrosilylation and Electrografting Reactions physica status solidi (a) 2000 182(1) p 109-115

50 Allongue P et al Organic monolayers on Si(111) by electrochemical method Electrochimica Acta 1998 43(19-20) p 2791-2798

51 Yu H-Z et al Molecularly Tunable Organic Capacitors at SiliconAqueous Electrolyte Interfaces1 J Phys Chem B 2000 104(47) p 11157-11161

13

52 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

53 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

54 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

55 Kruse P et al Patterning of Vinylferrocene on H-Si(100) via Self-Directed Growth of Molecular Lines and STM-Induced Decomposition Nano Lett 2002 2(8) p 807-810

56 Kang JK and CB Musgrave A quantum chemical study of the self-directed growth mechanism of styrene and propylene molecular nanowires on the silicon (100) 2 x 1 surface The Journal of Chemical Physics 2002 116(22) p 9907-9913

57 Pei Y J Ma and Y Jiang Formation Mechanisms and Packing Structures of Alkoxyl and Alkyl Monolayers on Si(111) Theoretical Studies with Quantum Chemistry and Molecular Simulation Models Langmuir 2003 19(18) p 7652-7661

58 Buriak JM and MP Stewart Exciton-Mediated Hydrosilylation on Photoluminescent Nanocrystalline Silicon J Am Chem Soc 2001 123 p 7821-7830

59 Chen R et al Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Applied Physics Letters 2005 86(19)

60 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

61 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

62 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

14

2 Chapter 2 Experimental Detail of Silicon Surface

Modifications and Characterization Technique ndash FTIR

Spectroscopy

21 Introduction

Two classes of methods are applied to silicon surface modification based on starting silicon

surface For silicon dioxide surface organicsilane-based silanization was applied For

hydrogen terminated silicon (111) surface a thermal alkylation method adapted from

Chidsay et al was used[1 2]

22 Experimental

221 Materials

Float-Zone grown Si(100) from Silicon Valley Microelectronic (San jose CA) with thin

oxide ( ~ 60 Aring) is used for silicon oxide based silanization The native oxide was etched

away by diluted HF solution and re-oxidized in hot piranha solution It provides a dense

and uniform thin oxide layer with thickness 15-20 Aring

Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was

used for preparing hydrogen terminated surface The silicon wafer was doped with p to a

resistivity gt 30 Ω cm and polished on both sides to a thickness of 450 μm Si(111) samples

are cut to a size of 15 x 38 cm in order to fit into homemade sample holder for ALD

chamber

All solvents used in silanization and alkylation reaction were anhydrous (for detailed

information see the specific chapters respectively) stored under nitrogen-purged glove box

and used as received from Sigma-Aldrich Corp All chemicals were used as received

except where noted The deionized water with resistivity of gt182 MΩ cm obtained from a

Barnstead Nanopure system (Dubuque IA) was used at all time

15

222 Sample Preparations

2221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in a

hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yeild high quality oxide with high density of surface

hydroxyl group In addition the thickness of oxide can be appropriately controlled by

varying the time of dipping in the piranha solution

2222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into either N2 purged FTIR spectrometer

chamber for taking reference spectra or N2 purged glove box where all further chemical

functionalizations were conducted

16

2223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used for surface modification It is well

known that silanization process is very sensitive to many parameters such as water content

humidity glassware history resulting in the lack of reproducibility The experiments

reported in this work has been carried in N2 purged glove box with extreme care However

some parameters are still hardly possible to be controlled For example the mount of thin

water layer physically absorbed on the hydroxyl surface depends on the environmental

humidity In our experimental condition there was no chance to remove them or control

them The freshly cleaned silicon oxide sample was immediately introduced into the N2

glove-box after taking reference spectra The 01 (vv) silane solution (OTS BTCS TCS

APTES) is freshly prepared by pipetting 20 μL neat silane liquid into 20 mL anhydrous

toluene The sample was sitting inside the 01 APTES solution for a desired time and

rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried in

N2 (g) flow and transformed into FTIR chamber for measurement

2224 Thermal Alkaylation of H-Si(111) Surface

Neat alkene (ocatadecene (C18) (98) ) was pre-treated with 3Aring molecular sieve for

overnight and then N2 (g) bubbling for at least 1 hour right before the reaction It is very

important to have N2 bubbling for sufficient time period in order to get high quality SAM

without oxidizing the silicon surface After transferring the ocatadecene liquid into the

three-necked flask loading H-Si(111) sample and assembling the condenser tube in the N2

glove box the whole set was sealed and moved out from N2 glove box to a regular chmical

hood The octadecene was then continuously purged with N2 (g) for additional 30 min

before heating it up to reaction temperature of 200 degC in a silicon oil bath

23 Instrumentation FTIR Spectroscopy

231 Molecular Excitations and Vibrations

Not all molecular vibrations absorb infrared radiation It depends on the dipole moment of

the bond The electric field of incoming infrared beam alternately stretches and compresses

a polar bond If this alternate stretching and compressing of the bond occurs at the natural

17

frequency of vibration of bond energy may be absorbed This kind of vibration of bond

with dipole moments generally results in IR absorption (IR active) When the bond has

symmetric distribution and zero dipole moment the external electric field does not interact

with it As a consequence no IR absorption can be observed (IR inactive) However this

bond could still possibly be seen in IR spectrum whenever its symmetric becomes

non-symmetric for the part of time because of the effect from environments

232 Fourier Transform Infrared Absorbance Spectroscopy

Infrared Spectroscopy is powerful tool used for material analysis for over seventy years It

meansures the frequencies of infrared light absorbed by a compound Unlike conventional

dispersive IR where each wavelength of radiation is scanned individually and requires a

considerable amount of time an FTIR spectrum contains all frequencies scanned at the

same time (all included in the interferogram) The infrared spectrum represents a

fingerprint of a sample with absorption peaks which corresponds to the frequencies of

vibrations between the bonds of the atoms that make up the material Furthermore FTIR

offers high signal-to-noise ratio and can be used to detect even monolayer molecule on the

surface

In the surface science FTIR technique is particular useful tool for investigating the

surface-bound species and interface bonding of a chemically modified surface It provides

a non-destructive method to probe the sample surface and interface High sensitivity allows

FTIR to probe monolayer at the interface In addition with modern software algorithm it

makes FTIR a great tool for quantitative analysis

2321 Overview Figure 21 shows the overview layout inside a FTIR spectrometer (Nicolet) A

polychromatic infrared beam is emitted from source and then focused into the plane of an

adjustable aperture by an elliptical mirror The light hits a beam splitter and half of light

passes through directly to a fixed mirror and half of it reflected on a fast-moving mirror

Two beams are reflected back from mirrors and recombined at splitter forming

interferogram The encoded interferogram passes through the sample and finally reaches

the detector (Liquid nitrogen cooled MCT-A MCT-B or room temperature DTGS

18

detector) A helium-neon monochromatic laser beam is used as reference to calculate

position of moving mirror to make sure interferometer measures at exactly the same data

point

Figure 21 Inside layout of FT-IR Spectrometer (Nicloet)

2322 Interferogram The interferometer produces a unique type of signal which has all of the infrared

frequencies ldquoencodedrdquo into it The signal can be measured very quickly usually on the

order of one second or so Interferometers employ a beamsplitter which takes the incoming

infrared beam and divides it into two optical beams One beam reflects off from a flat

mirror which is fixed in place The other beam reflects off of a flat mirror which is on a

mechanism that allows this mirror to move a very short distance (typically a few

millimeters) away from the beam splitter The two beams reflect off from their respective

mirrors and are recombined when they get back at the beam splitter Because one path that

one beam travels is a fixed length and the other is constantly changing as its mirror moves

the signal which exits the interferometer is the result of these two beams ldquointerferingrdquo with

19

each other The resulting signal is an interferogram which has the unique property that

every data point (a function of the moving mirror position) which makes up the signal to

have information about every infrared frequency which comes from the source This means

that as the interferogram is measured all frequencies are being measured simultaneously

Thus the use of the interferometer results in extremely fast measurements[3] The

ldquoencodedrdquo beam passes through the sample and reaches the detector with the information

of sample A typical interferogram detected by the detector is shown in the Figure 22

υυδπυλδπυδ dBBI )2cos()()2cos()()( intint

infin

infinminus

infin

infinminus

== (Eq 1)

1000 2000 3000 4000

-8

-6

-4

-2

0

2

4

Volts

DataPoints

-002

000

002

3000

Zoom In

1000

Figure 22 Interferogram of silicon sample

2323 Fourier Transformation The signal received by detectors is still an interferogram in space domain (Figure 22) It

needs to be converted to the frequency domain by applying Fourier transformation ((Eq 2)

After employing the FT transformation to interferogram we obtain a single beam spectrum

20

as shown in Figure 24 (top two) Ideally when applying FT to interferogram it integrates

with the retardation value from negative infinite to infinite But this is physically

impossible because moving mirror travel only finite distance The setting limit on the

integration equally truncates the interferogram mathematically and the truncation results in

a finite line shape with side lobes after Fourier Transformation To eliminate the side lobes

an apodization functions is applied [4] There are different apodization functions that can

be used In this work all spectra are corrected using the Happ-Genzel apodization

algorithm

δυπδυ dIB )2cos()()( intinfin

infinminus

= (Eq 2)

2324 Experimental Set up and Absorbance Spectroscopy Processing In the conventional FTIR geometry a multiple internal reflection (MIR) geometry is often

used to increase the absorption signal ([5 6]) because the low number of total absorbers on

a crystalline Si surface (~ 1015 cm-2 monolayer -1) produce weak or undetectable signal

However this technique is in trade of increased signal with decreased detectable spectral

range so because of long path length multi-phonon absorption renders the silicon

substrate opaque at lt 1500 cm-1 Recent advance in FTIR technology have enabled the use

of transmission mode geometry to measure the low frequency infrared absorption mode of

surface specifies and its sensitivity allows measuring even a monolayer on the surface For

example on an atomically flat hydrogen terminated Si(111) surface the Si-H bond of

monolayer hydrogen on the top of surface is clearly represented by two very sharp peaks

Si-H stretching mode at 2083 cm-1 and its bending mode at 620 cm-1 [7-9] as shown in

Figure 24

In this work Nicolet Nexus 6700 Nexus 670 or Magna 760 were used in the

experiments All Infrared absorption spectra were collected in transmission absorbance

geometry as shown in Figure23 For maximum transmission signal the incident angle 74ordm

the Brewster angle for silicon is used At the Brewster angle p-polarized component (its

electric field of the light wave lies in the same plane as the incident ray and the surface

21

normal) completely transmits through the sample without reflection The sample is also

measured at 10ordm a nearly normal incident angle The incident-angle-dependence can

identify the orientation of the surface species and interface bonding The bonds with dipole

perpendicular on the surface will not be seen in the normal incidence

DetectorIR beam

interferometerθ

Sample

Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission

Geometry

Spectra are usually recorded for a surface before treatment as a reference and after

each surface modification The absorbance spectrum can be calculated with the following

equation from the single beam spectra of reference and sample (Eq 3) All positive

features in absorbance spectrum correspond to the newly formed bonds or adding materials

to the reference and all negative features correspond to the removal of material or breaking

bond of the reference sample In this way we are able to detect tiny changes of the amount

of monolayer on the surface and the interface with the removal of interference from

background(bulk silicon etc) An absorbance spectrum processed from two single beam

spectra are shown in Figure 24C

010log

IIA minus= (Eq 3)

All spectra are recorded with a resolution of 4 cm-1 with 1000 scans per loop of total 5

loops and the last three loops are averaged in order to improve the signal-to-noise ratio

(SNR) which is proportional to square root of a number of scans

In most cases absorbance spectra directly processed from single beam spectra

includes spectrum of water vapor and carbon dioxide and must be subtracted carefully A

22

Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated

Si(111) sample

This demonstrate that how we obtains the absorbance spectrum of freshly etched H-Si(111) by processing two single beam spectra recorded immediately before and after HF etching

23

water and CO2 spectrum used for spectral subtraction are generated with the same detector

under the similar experimental condition Usually we subtract two single beam spectra

from first two consecutive loops obtained for the same sample As the chamber is

constantly purged with N2 assuming sample itself is stable the spectra changes between

two loops are solely caused by the removal of water vapor and CO2 inside chamber by

purge A typical water and CO2 correction spectra are shown in Figure 25 The water and

CO2 subtraction should be processed separately All the spectra processing work

mentioned above and others such as base line correction and integration of peak area are

done using Ominc Software from Thermo Nicolet Inc

Figure 25 FTIR Spectra of water Vapor and CO2 gas

24 Instrumentation Atomic Layer Deposition Set-up

A home-built Atomic Layer Deposition reactor system with in-situ Infrared spectroscopy

is used for this study [10 11] The schematic drawing of ALD system is shown in Figure

26 The pressure in the ALD chamber is normally maintained at ~3 Torr for the deposition

and the silicon substrate is kept at 100 degC during Al2O3 deposition and at 60 degC during

24

FTIR measurements The sample temperatures are measured using a thermocouple clipped

to the substrate The H-terminated silicon samples are immediately loaded in nitrogen

(oxygen impurity lt 10-6 ppm) purged reactor chamber for Al2O3 deposition Growth is

performed by using alternative pulses of 5 sec TMA (carried with ultra-pure N2 gas) and 5

sec D2O mixture in a home-built ALD reactor connected to an FTIR spectrometer (Nicolet

Nexus 670 with external MCTB detector) for in-situ surface analysis The reactant sources

are introduced into the chamber through stain steel pipe by bubbling the sources (TMA

bubbler ot D2O bubbler) at room temperature The partial pressure of TMA (Shipley

OptoGrade) and D2O (Aldrich 999) are 1 mbar and ~10 mbar respectively The IR beam

is incidented at 70deg (~Brewster angle) and the IR transmitted KBr windows are protected

from contamination by closing gate valves during precursor exposure The shutters are

only opened to take IR measurements between depositions cycles after the chamber is fully

purged To prevent cross reaction between residual metal and D2O precursors the ALD

chamber is purged and pumped for 5 min with ultra-pure N2 gas after each precursor

exposure Post-deposition annealing is performed in-situ under N2 gas purge

Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission

FTIR spectroscopy set up

25

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Nicolet Introduction to Fourier Transform Infrared Spectrometry 2001 Thermo Nicolet Publication

4 Griffiths PR De Haseth James A Fourier transform infrared spectrometry 1986 New York Wiley

5 Boukherroub R et al Insights into the formation mechanisms of Si-OR monolayers from the thermal reactions of alcohols and aldehydes with Si(111)-H Langmuir 2000 16(19) p 7429-7434

6 Ozanam F A Djebri and JN Chazalviel The hydrogenated silicon surface in organic electrolytes probed through in situ ir spectroscopy in the ATR geometry Electrochimica Acta 1996 41(5) p 687-692

7 Rivillon S et al Chlorination of hydrogen-terminated silicon(111) surfaces Journal of Vacuum Science amp Technology A 2005 23(4) p 1100-1106

8 Rivillon S et al Gas phase chlorination of hydrogen-passivated silicon surfaces Applied Physics Letters 2004 85(13) p 2583-2585

9 Watanabe S and Y Sugita Anisotropic Dynamic Polarization of Surface Vibrations Associated with H on Stepped Si(111) Chemical Physics Letters 1995 244(1-2) p 105-110

10 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

11 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

26

3 Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study

of Surface Bonding and Interfacial Structure of

alkyltrichlorosilane-based SAMs on Silicon Dioxide

31 Introduction

Organosilane monolayers on hydroxylated oxidized silicon surfaces self-assembled

systems are one of the most studied SAMs system However there is considerable debate

on the mechanism of how SAMs forms on SiO2 surface and contradictory results are

keeping seen in different references Among them one of debated central questions is if

silane molecule is covalently anchored to the surface or instead cross-linked absorbed to

thin water layer of the surface only

The only reported spectroscopic evidence about interfacial covalent bond formed

between silane and surface is at ~1060 cm-1 (attributed to asymmetric stretching mode of

Sisubstrate-O-Si) reported by Tripp in his early work in 1995[1] In his work Tripp reported

that few if any Sisubstrate-O-Si surface bonds are formed However to our knowledge the

detailed information on the structure of SAMs on the interface especially when it forms

long range ordering on the interface is not clear and lacks spectroscopic evidence In this

work we study the direct evidence of the species covalently formed on the surface and

systematically investigate the interfacial structure of silane-based SAMs on SiO2 surface

using FTIR in transmission geometry

The key to understand surface bonding and mechanism of SAMs formation is spectral

region between 1000-1300 cm-1 which contains Sisubstrate -O-Si Si-O-Si Si-O-C modes

The overlapping multiple modes make it a very complicated band structure It may also

contain the contribution from bulk silicon Using absorbance FTIR spectra in the

transmission allows us overcome this problem and solely acquire information from the

interface

27

The advanced technology gives us enough sensitivity to detect the spectral region

below 1400 cm-1 in the transmission geometry which normally is inaccessible in

conventional multiple reflection geometry

Another topic covered in the chapter is how the chain length affects the interfacial

structure (head group cross-linking) and the packing of chains in the final self-assembled

monolayer Stevens[2] pointed out that the cross-linking must be prevented in order to get

fully covered and densely packed monolayer due to the steric interaction between

hydrocarbon chains Fontaine et al study the effect of head group cross-linking on the chain

packing in a Langmuir system without substrate influence using X-ray diffraction They

found that hexagonal structure at maximum packing density typical of long chain

amphiphiles is preserved during the polymerization process But the extent of the order is

a decreasing function of the number of cross-links [3] Using silane molecule with

different head groups which has different hydrolysis rate Fancis et al found that the faster

the cross-linking forms the less dense the film packs There is a competition between

packing of chains under van der Waals and cross-linking formation of head group When

the cross-linking process is slower the better (denser) chains packing will be achieved[4]

In this Study we use n-alkyltrichlorosilane with different chain length including

trichlorosilane (TCS) Butyltrichlorosilane (BTCS C4) Dodecyltrichlorosilane (DTS

C12) and octadecyltrichlorosilane (OTS C18) TCS is the simplest silane molecule with

only one hydrogen attached to Si atom which is used as a probe molecule to study

spectroscopic feature of interfacial bond

The use of trichlorosilane instead of alkoxysilane is based on two reasons chlorosilane

is much more reactive and can be quickly hydrolyzed which allows us solely focus on the

chain length effect and head group cross-linking Second alkoxy- head groups have larger

size than a chlorine atom It will put some additional steric restriction on the packing of

SAMs if they are not completely hydrolyzed This is very likely as the hydrolysis takes

place slowly In addition the previous studies have shown that alcohol products from

hydrolysis of alkoxysilane may react with the surface further thus introducing more

complexity on the surface As for the product HCl from chlorosilane there is no observed

significant evidence of reaction with surface [5 6]

28

Self-Assembling of alkyl chains is usually driven by the van der Waals (VDW)

attraction that favors formation of compact arrays of molecules with maximum contact

between chains and by the interaction between head-group and surface With increasing

length of alkyl chains van Der Waals attractive interaction between chains increases which

actually helps improve the pack density of chains and ordering of SAM[7] In general a

crystal structure will try to find a balance between packing as closely as possible so as to

maximize the van der Waals energy and packing as loosely as possible so as to maximize

molecular motion

The reproducibility problem still existed in forming siloxane SAMs on SiO2 substrate

since the monolayer formation is very sensitive to the reaction condition such as water

content and temperature The major intrinsic source of the reproducibility problem is the

coupling of polymerization (cross-linking) and surface anchoring (Sisubstrate-O-Si) Even

small difference in the water content for example humidity in the environment may lead

to significant difference in the monolayers quality and morphology This is one of the

reasons for a to considerable debate on how siloxane SAMs form on SiO2 [8]

32 Experimental

321 Materials

Float-Zone grown n-Si(100) from Silicon Valley Microelectronic (San jose CA) was

used The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both

sides to the thickness of 450 μm

Following chemicals were used as received except where noted (3-aminopropyl)

triethoxysilane (APTES) (98) trichlorislane (HSiCl3 TCS 99) Butyltrichlorosilane

(n-C4H9SiCl3 BTS99) Dodecyltrichlorosilane (n-C12H25SiCl3 DTS97) and

n-octadecyltrichlorosilane (n-C18H37SiCl3OTS gt90) Butyl (chloro)dimethylsilane

anhydrous toluene (998) anhydrous acetonitrile(998) (all from Sigma-Aldrich Corp)

29

322 Sample Preparations

3221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yield high quality oxide with high density of surface

hydroxyl group

3222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide layer

and produce an atomic flat H- terminated Si(111) surface During the etching step the

wafer was occasionally agitated to remove the bubbles formed on the surface After NH4F

etching sample is rinsed thoroughly with DI water and dried under a stream of N2 (g) The

sample was then immediately removed into N2 purged FTIR spectrometer chamber for

taking reference spectra or into a N2 purged glove box where all further chemical

functionalization were conducted

3223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used to engineer SiO2 surface It is well

known that silanization processes are very sensitive to many environment parameters such

30

as water content humidity glassware history etc where it usually lacks reproducibility

The experiment reported in this work had been carried in N2 purged glove box with

extreme care However some parameters can still hardly be controlled For example the

amount of thin water layer physically absorbed on the hydroxyl terminated SiO2 surface

depends on the environmental humidity In our experimental condition there is no way to

remove them or control them The freshly wet-cleaned silicon dioxide sample was

immediately introduced into the N2 glove-box after taking reference spectra The 01 (vv)

silane solution is freshly prepared by pipetting 20μL neat silane liquid into 20mL

anhydrous toluene Toluene is chosen as a solvent since it is known to dissolve an optimal

quantity of water (ie ~015 mgmL) necessary for the formation of well-packed silane

SAMs [9] The sample was then sitting inside the 01 APTES solution for a desired time

and rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried

in N2 (g) flow and transformed into FT-IR chamber for measurement

323 Characterization

Infrared absorption spectra of functionalized Si surfaces are recorded between 650cm-1

and 4000cm-1 on a Nicolet FT-IR spectrometer equipped with liquid nitrogen cooled down

MCT-A detector in transmission geometry The data acquisition is performed with an

incident IR beam at 74deg off normal (Brewster angle for silicon) and 10deg off normal

(This angle is chosen to minimize the possible reflection interferences observed at normal

incidence) A 4 cm-1- resolution is used and total 5 loops with 1000 scansloop are acquired

for each spectrum The deconvolution analysis of FTIR spectral was done using the ldquofit

multiple peak Gaussian functionrdquo and ldquoNonlinear Curve fitrdquo of ORIGIN 75 software

33 Results

331 TCS SAM on SiO2

Figure 31 shows FTIR spectra of TCS layer formed on the different oxidized silicon

substrates with different thickness of silicon dioxide The peak at 2253 cm-1 is attributed to

the stretching mode of H-SiO3 and corresponding bending mode is at 890 cm-1[10 11] The

31

band at 1000-1200 cm-1 belongs to the Si-O-Si asymmetric stretching mode typical for a

siloxane network or chains The band consists of several overlapping peaks that correspond

to Si-O-Si in different configurations The band between 800-900 cm-1 consists of at least

two peaks 830 cm-1 for stretching mode of Si-O-Si and 890 cm-1 for deformation mode of

H-SiO3 [12-14] The negative peak at 975 cm-1 is attributed to the surface Si-OH[15] The

disappearance of this mode accompanied with positive modes at 1000-1200 cm-1 directly

indicate the removal surface hydroxyl group by reacting with TCS silane

The position of peak that appeared at the range 1200-1260 cm-1 is found to be

substrate-dependent 1256 cm-1 for TCS on thick oxidized silicon 1236 cm-1 for thin

oxidized silicon substrate but it is absent for TCS film formed on H-Si(100) (Figure 31)

To quantify this dependence on substrate the thickness of SiO2 thin film is monitored by

checking the peak position of longitude optical phonon (LO) mode of asymmetric

stretching mode of Si-O-Si

As shown in the Figure 32 both LO and TO phonon mode of SiO2 blue-shifts with

increasing thickness of SiO2 film Although TO mode is believed to be more reliable than

LO mode to reflect the change of interface [16 17] LO mode is used here instead of TO

because the spectroscopic change caused by the peak shifting of TO mode locates within

the range of 1050-1065 cm-1 overlapping with the multiple vibration modes of Si-O-Si

from siloxane and is very difficult to be resolved LO mode usually between 1200 and

1260 cm-1 can be more easily differentiated from other bands of TCS and still can provide

qualitative information about SAM SiO2 interface

In Figure 33 the peak position of peak at 1200-1260 cm-1 after TCS deposition is

plotted against the peak position of LO mode of SiO2 substrate All other experiment

conditions are the same The position of this peak is linearly correlated with the peak

position of the LO phonon mode of SiO2 substrate below 1240 cm-1 spectral range This

phenomena is similar to the earlier study by Queeney on thermal SiO2Si interface[18] For

thermal thin silicon oxide the position of LO mode is positively correlated with the

thickness of thin SiO2 film within the range of 1215-1240 cm-1 When SiO2 film becomes

thicker this thickness-dependence becomes negligible the position of LO mode reaches

the plateau at ~1255 cm-1 and becomes less sensitive to the change of SiO2 thickness In our

experiment the average deviation of this peak from the original position of LO mode of

32

Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with Different Thickness FTIR spectra of TCS layer deposited on a thick SiO2 (LO1254 cm-1) b thin SiO2 (LO1225 cm-1) c oxidize free H-terminated Si(100) surface The thickness of oxidized silicon layer is monitored by the position of LO phone mode of SiO2 shown on the Figure 2 The peak at 880 cm-1 is assigned to deformation mode of H-SiO3 and 830 cm-1 is due to Si-O-Si symmetric stretching mode

33

Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 The FTIR Spectra are obtained for SiO2 substrate with different SiO2 thickness used for TCS functionalization Both Spectra are referenced to the oxide free H- terminated Si(100) surface

34

substrate is 12 cm-1 as shown in Figure 33 Keeping in mind this peak is not a real peak

but a differential peak due to the peak shifting of LO As shown in a schematic drawing in

Figure 34 the actual peak shift is less than this number This thickness-dependence

strongly suggests that TCS modification of SiO2 is equivalent to added SiO2 layer to SiO2

substrate The Si-O from TCS is coupling with Si-O-Si network in the SiO2 substrate TCS

molecule must covalently form Si-O-Si bond with substrate Si atom in order to have such

strong coupling of asymmetric stretching mode of Si-O-Si between added siloxane layer

and silicon oxide substrate Based on the size of TCS molecule it is theoretically possible

to match it to Si-O-Si network of silicon oxide of substrate This is very clear evidence for

interfacial structure formation between siloxane and SiO2 substrate

In order to further confirm that the peak within 1200-1260 cm-1 is related to interfacial

structure not contributed from the siloxane layer itself the spectra of polymerized TCS

thick film (poly-TCS) made from mixing TCS with excess water are acquired As shown

in the Figure 35 the intensity of all spectroscopic features related with polymerized-TCS

layers increase proportionally various Si-O mode including polymeric (Si-O-Si)x

stretching modes at 1000-1200 cm-1 and corresponding bending mode at 830 cm-1 the

stretching mode of H-SiO3 at 2250 cm-1 and bending mode at 871 cm-1 However the peak

within 1200-1260 cm-1 is not seen in the spectra of poly-TCS Although we cannot tell if it

exists and hides in the broad band of 1000-1200 cm-1 we could at least make the

conclusion that this peak is not contributed from the polymerized layer but from interfacial

structure The detailed analysis of spectral region 1000-1200 cm-1 will be conducted in the

following session

35

Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon

Mode of Oxidized Silicon Substrate

The X-axis is position of LO phonon mode of fresh cleaned SiO2 substrate Y-axis is position of peak at 12xx cm-1 observed in the absorbance spectra of TCSSiO2 referencing relative to the starting cleaned SiO2 surface

Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1

This drawing shows as effective SiO2-like layer is added to the initial SiO2 film by depositing TCS on SiO2 the peak of LO phonon mode is shifted to the higher frequency with increasing intensity(red) As a result a differential peak (green) depending on the

12 cm -1

36

peak position of LO phonon mode of initial SiO2 substrate is appeared at even higher frequency when spectra are referenced to the initial SiO2 surface

1000 1500 2000 2500 3000 3500

TCS 18h

973

~005

Abso

rban

ce

Wavenumber(cm-1)

10-3

b

interface

10851170

2256881

10-2

a

10701157

υH-SiO3

~058 poly-TCS2250

871

Figure 35 FTIR Spectra of Polymerized TCS film on SiO2

FTIR Spectra of a polymerized TCS film formed by mixing TCS with water and then quickly deposited on an oxidized silicon surface b TCS thin layer deposited from 01 TCS in anhydrous toluene The spectra are displayed at different scale shown by scale bars in the figure Both spectra are referenced relative to the initial clean SiO2 surface The peak of stretching mode of H-SiO3 is shifted from 2256 cm-1 for the TCS monolayer to 2250 cm-1 for polymerized TCS and the corresponding H-Si bending mode is shift from 881 to 871 cm-1 The peak at ~1230 cm-1 does not proportionally increase with increase of thickness of film indicating it is interface features

37

Since the stretching mode of H-SiO3 of TCS at 2253 cm-1 does not overlap with other

bands it is sensitive to bond environment such as the electro-negativity of the other

substituents attached to Si atom So we can use it as a probe to estimate the total amount of

absorbed TCS Figure 36a shows the correlation of integrated area of stretching H-SiO3

and integrated area of region 1000-1200 cm-1 contributed from various modes of Si-O-Si

Figure 36b shows the correlation between integrated area of stretching H-SiO3 and

integrated area of peak at 1200-1260 cm-1 A perfect linear relationship (with R=0994)

between integrated area of H-SiO3 and Si-O-Si suggests that both of them are proportional

to the amount of siloxane attached on the surface On the contrary for the integrated area of

peak at 1200-1260cm-1 it is not correlated with the amount of siloxane absorbed on the

surface and remains unchanged with average value at ~0015cm-1 when integrated area of

H-SiO3 is less than 006 cm-1 This suggests that this peak is contributed from the interface

The saturation also suggests that the number of interfacial Sis-O-Si bonds might reach its

maximum at this particular experiment condition

Is this peak at 1200-1260 cm-1 caused by the oxidization of substrate in the solvent or is

it really caused by the attachment of TCS siloxane To answer this question the effect of

solvent on the substrate is checked Figure 37 shows the spectral change of clean oxidized

silicon after the exposure to anhydrous toluene for a significantly long period of time(3

days) The tiny peak at the position of initial LO phonon mode (~1213 cm-1) of underlying

SiO2 substrate indicates that the surface oxidization caused by solvent has negligible effect

on the formation of observed peak at 1200-1260 cm-1

In summary we have identified that the peak at 1200-1260 cm-1 is a spectral feature

related to interfacial covalent Si-O-Si bond formed between TCS siloxane and SiO2

substrate It is a direct evidence that TCS forms desnly covalent bond with the SiO2 by

strong coupling with Si-O-Si network of SiO2 substrate

38

002 004 006 008 01000

02

04

06

08

10

12

Inte

grat

ed A

rea

of S

iOS

i Reg

ion

Integrated Area of υ(H-SiO3)

002 004 006 008 0100005

0010

0015

0020

0025

0030

Inte

grat

ed A

rea

of B

and

at 1

200-

1260

cm

-1

Intergrated Area υ(H-SiO3)

Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area

of H-SiO3 and Peak at 1200-1200 cm-1

39

332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2

Figure 35 shows FTIR spectra of TCS SAM and poly-TCS film We are particularly

interested in the multiple modes of Si-O-Si overlapped at 1000-1200 cm-1 and H-SiO3

mode at ~2250 cm-1 Both regions reveal important information for characterizing structure

of SAM or thick polymerized film Besides the difference discussed in the last session

about peak at 1200-1260 cm-1 a noticeable peak shift is found for both peaks of Si-O-Si

and H-SiO3 Both are blue-shifted to higher frequency for the TCS-SAM

Our approach is to use the knowledge from analyzing modes of the poly-TCS film to

help interpret the spectra of SAMs with alkyl chain on SiO2 In the spectra of monolayer

the interfacial structure becomes dominated and the difference comparing to thick

polymeric film will reflect the characteristics of interfacial structure

H-SiO3 Mode

H-SiO3 mode is centered at 2250 cm-1 for poly-TCS and blue-shiftes to 2256 cm-1 for

TCS-SAM It is well known that both stretching and bending mode of H-SiOx is sensitive

to environment of back bond Si bonding For example depending on the number of oxygen

atom to which Si atom bonded the peak position of H-Si stretching mode at 2260 cm-1 for

H-SiCl3 and it shifts to lower wavenumber at 2250 cm-1 with substitution of all Cl with O

atom[19] The different peak position of H-SiOx mode can be also explained as different

configuration of TCS on substrate and in the polymerized film In the poly-TCS TCS bond

to other silane molecule through Si-O-Si bridge forming a thick layer while on the surface

at least one or two Si atom of a TCS molecule may bond to surface silicon atom and is more

restrained The schematic drawing is shown in the Figure 38

40

Figure 37 Toluene Effect on Oxidize Silicon Substrate

The FTIR spectra of clean oxidize silicon after exposure to a TCS for 20 hours b Anhydrous Toluene for 3 days The changes in the region of 1200-1260 cm-1 induced by surface oxidization are negligible compared to the spectroscopic feature of TCSSiO2 in the same region

Si OH

H

O

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

OSi

SiOH

H OH

I II

OHSi

H

SiOH

H

OSi

H

O

O

SiO

HO O

SiO

H

On Surface Poly-TCS

Figure 38 Different TCS configuration on the Surface and polymerized film

41

Si-O-Si Mode

Because the Si-O-Si asymmetric stretching band at 1000-1200cm-1 includes multiple

contributions from Si-O-Si band at different configuration it is extremely difficult to

characterize it First we analyze the band of poly-TCS by deconvoluting it into four

Gaussian peaks centered at 1023 1063 1115 1178 cm-1as shown in Figure 39

The assignments are made partially based on Grill earlier work and references to it [20]

The 1023 cm-1 is attributed to asymmetric stretching of Si-O-Si with a small bond angle

that might be encountered in networked silicon suboxide (with non-oxygen atom H CH3

etc attached to Si) It may also include contribution from bound six-membered rings

contained in the poly-film [21 22] Depending on the density of poly-film a LO-TO

splitting may exist 1023cm-1 could be TO mode of asymmetric stretching of Si-O-Si with

small bond angle and corresponding LO mode that exists at ~1220cm-1 which may be

hidden in the broad peaks [23]The ~1060cm-1 is assigned to Si-O-Si in networking

structure and 1115 cm-1 can be assigned to Si-O-Si in cage structure with large Si-O-Si

bond angle of approximately 150deg or larger [20] [12 14 24 25] It should be noted here

first before we determine the assignment to 1178cm-1 Since Grill uses 30deg incidence angle

when he recorded IR spectra it is near normal incidence and the LO mode of Si-O-Si may

not be seen even if there is LO-TO splitting existing in amorphous poly-film[23 26]

Indeed in our further polarization study with setting incidence angle of IR beam at 10deg we

found that the higher frequency portion of band 1000-1300 cm-1 significantly reduced at

10deg incidences which makes us feel confident on assigning 1078 cm-1 to the LO mode of

asymmetric stretching mode of Si-O-Si in networked structure with small Si-O-Si bond

angle The corresponding TO mode is seen at 1063 cm-1 as mentioned above Some other

possible contributions to band at 1200-1260 cm-1 may come from the LOTO mode of

Si-O-Si in a four-membered rings structure [23 26 27]

TCS-SAM

Figure 310 shows the deconvolution of 1000-1300 cm-1 for TCS-SAM formed on SiO2

Four Gaussian peaks centered at 1061 1121 1178 1232 cm-1 are used to fitting the peaks

Compared to poly-TCS 1023cm-1 is not seen and the new peak at 1221 cm-1 becomes very

apparent The imposition of all four sub-peaks gives two dominate peaks at 1085 and 1170

42

cm-1 which is higher than 10701157 for poly-TCS film The absence of 1023cm-1 peak

may suggest that networked sub-oxide with smaller Si-O-Si angle less than 144deg (indicated

by ~1028cm-1) does not appear in the SAM

As we discussed in the last section 1232 cm-1 is induced by increasing effective

thickness of SiO2 caused by the formation of dense interfacial Si-O-Sis bond between TCS

and substrate It should have corresponding TO mode at ~1060 cm-1 Here we assign

10611232 cm-1 to the Si-O-Si of SiO2 due to modification of SiO2 substrate by attaching

TCS molecule The Si-O-Si is tightly restrained to the substrate Si-O-Si through covalent

surface bonding (Sis-O-Si) and coupled with Si-O-Si network of substrate

Based on the general knowledge the intense peak at 1063 cm-1 is likely an imposition

of two peaks at the close position with different origin One is TO mode at 1232 cm-1 and

another one is TO mode at 1176 cm-1 10601178 cm-1 could be assigned to TO-LO mode

of networking Si-O-Si between two adjacent molecules It is previously suggested that not

every (or even only few) silane molecule is bonded to surface Currently we cannot

quantify the exact ratio of amount of interface Si-O-Si to Si-O-Si between molecules

however we believe that sufficient amount of Sis-O-Si is formed in order to generate

observered coupling with SiO2 substrate 1121cm-1 could be assigned to the Si-O-Si with a

large bond angle in an open structure (cage-like) They donrsquot have LO-TO splitting

possibly because of weak dipole coupling between nearby Si-O-Si bond in such

configuration

43

1000 1100 1200 1300

0000

0002

0004

0006

0008

0010

0012

0014

0016

0018

0020

0022

TO

1028

1063

1115

Abso

rban

ce

Wavenumber (cm-1)

poly-TCS 4 peak modes LO-TO Splitting

1178

2x10-3

LO

Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode) A deconvolution of the spectral region 1000-1300 cm-1 was performed by non-linear least squares fitting methods (in Originreg Software) by means of Gaussian functions By assuming all dominated band from the film itself and not from interface we find a best fit using 4 peaks Our deconvuliton is considered both Si-O-Si in different configurations (with different bond angle) and the possible LO-TO splitting of some of Si-O-Si modes LO-TO splitting depends on the degree of long-rang interactions in the film

44

1000 1100 1200 1300

00000

00005

00010

00015

00020

1230

1178

1065

SAM-TCS 4 peak ModeAb

sorb

ance

Wavenumber (cm-1)

1125

Figure 310 Deconvolution of Si-O-Si absorption band of TCS films

The peak at 1121 cm-1 is attributed to Si-O-Si with large angle in a cage structure 1061 cm-1 and 1178 cm-1 are assigned to the stretching mode of smaller angle Si-O-Si in a networking structure 1232 cm-1 is induced by shifts of LO mode of underneath SiO2 because of increasing effective thickness of SiO2 caused by the formation of interfacial Si-O-Si bond

45

333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2

(Chain Length Effects on Head group cross-linking Structures)

TCS as the simplest trichlorosilane provides a good model to characterize the

structure of interfacial bonding and siloxane layers Because of only hydrogen atom

attached to Si there is essentially no steric restriction for TCS head group cross-linking In

this section we will further check how alkyl chain length affects the head group

cross-linking

First of all we have checked the attachment of monochlorosilane to SiO2 As shown in

Figure 311 a strong sharp peak at 1099 cm-1 is directly assigned to Sis-O-Si bond between

silane molecule and substrate Other positive peaks at 1261 and 2964 cm-1 are related to

alkyl chains and are assigned to CH3 rocking mode and its stretching modes The negative

peak at ~980 cm-1 is previously assigned to stretching mode of surface hydroxyl group

Sis-OH[15 28] It is consistent with that silanol group of hydrolyzed silane that is

condensed with surface hydroxyl group forming Sis-O-Si Another negative peak at 1230

cm-1 is associated with modification of SiO2 substrate by the attachment of silane When

silane molecule covalently bonds to surface Si atom it disturbs the longitude optical mode

of Si-O-Si network of substrate SiO2 which is sensitive to long range interaction These

negative features also strongly suggest covalent attachment of silane molecule to surface

(as shown in Figure 312)

Figure 313 shows the comparison of TCS BTS (C4) DTS (C12) and OTS (C18) SAM

formed on SiO2 substrate As a comparison a spectrum of monochlorosilane from Figure

311 is relisted on the bottom

46

1000 1500 2000 2600 2800 3000 3200

0001

0002

0003

ρCH3 1261

1236

υSi-OH 980

Si CH3CH3 Cl

CH3

Abso

rban

ce

Wavenumber (cm-1)

2964υCH

1099υSis-O-Si

2x10-4

Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2

FTIR Spectra were recorded after wet-chemistry cleaned SiO2 exposed to monochlorosilane solution for 20 hours A strong sharp peak at 1099 is assigned to Sis-O-Si bond between silane and substrate[29] Other postive peaks at 1261 and 2964 cm-1 are related to alkyl chains and are assigned to CH3 rocking mode and CH stretching mode The negative peak at 980 cm-1 is assigned to Si-O stretching of the surface isolatedgeminal groups [30] and 1236 cm-1 is assigned to LO mode of SiO2 substrate

OH

SiO

OH

SiO

OH

SiO

OH

SiO

OHSi

O

OH

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

SiCH3CH3

CH3

OH

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

O

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

ClH2O

condensation

HClH2O

Figure 312 A reaction between Monochlorine silane and SiO2 substrate

Formation of Sis-O-Si should be a dominated reaction The cross-linking between molecules is impossible Binding of silane molecule to substrate will perturb the original Si-O-Si network in substrate

47

1000 1500 2000 2500 3000

0042

1467υCH3

υCHυH-SiO3

1099υSi-OH

BTMS (monochorosilane)

OTS C18

DTS C12

BTS C4

Ab

sorb

ance

Wavenumber (cm-1)

TCS

υSis-O-Si

973 interface SiO2

10-3

0026

Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes with different chain length

FTIR spectra of SiO2 exposed to TCS solution for 18 hours and BTS (C4) solution for 48 hours DTS (C12) 48 hours and OTS (C18) 3 days were compared (longer time to allow saturation) In addition a spectrum of clean SiO2 exposed to Butyl (chloro) dimethylsilane (BCDMS) is listed on the bottom as a further comparison BCDMS have only one reactive chlorine group and cannot form cross-linking with each other The band peaked at 1100 cm-1 attributed to Sis-O-Si surface linkage provides insightful information for deconvoluting the complicate region at 1000-1200 cm-1 for trichlorosilane

48

Si-O-Si Region (1000-1200cm-1)

As what we expect TCS has highest integrated peak area of 1000-1200 cm-1 It

suggests TCS form denser Si-O-Si cross-linking BTS and DTS show lower integrated

peak area at the same spectral range suggesting less dense Si-O-Si cross-linking forms

Although for monochlorosilane (BCDMS) the band peaked at ~1100 cm-1 is

straightforwardly assigned to Si-O-Si surface linkage[28] we cannot simply assume the

same mode of Si-O-Si of TCS since BTS will be also in the same position as BCDMS at

1100 cm-1 On the contrary a shift is expected The difficulty here is that the exact position

of this mode varies depending on the bond environment The Si-O-Si-R will be different

from Si-O-Si-O- (see Figure 314 below) This may explain different value for Si-O-Si

seen in the different references For example in the earlier study by Tripp et al they found

asymmetric stretching mode of Si-O-Si at 1060 cm-1 [31] for a monochlorotrimethylsilane

which is 1080 cm-1 for trichlorosilane on silica [19]

Figure 314 Sis-O-Si in different configuration Different group attached to Si

Si

O

Si

CH3

CH3 CH3Si

O

Si

CH3

CH3Si

O

Si

CH3

OH O

A B

49

For OTS-SAM it has higher integrated area of 1000-1200 cm-1 and with intense peak

at 2250 cm-1 which is assigned to H-SiO3 stretching mode For the origin of H-SiO3 it will

be discussed later With presence of H-SiO3 mixed in the SAM it may reduce the steric

interaction and decrease the alkyl chain packing density while the density of Si-O-Si

cross-linking increases

The saturated integrated area of CH2 stretching mode at 2800-3000 cm-1 is 004 for

BTS 006 for DTS 090 for OTS (Niharika Unpublished data) The factors affecting this

value include chain length packing density and orientation

There is negative peak at ~973 cm-1 in the spectra of BTS and DTS SAM indicating

removal of surface Si-OH group Both have not shown spectral features at ~1230cm-1 as

seen in the TCS-SAM suggesting BTS and DTS donrsquot form dense interfacial Si-O-Si

linkage on the oxidize surface as TCS does This is expected because the steric interaction

may prevent BTS or DTS from forming dense cross-linking OTS-SAMSiO2 has shown

similar negative feature at ~973 cm-1 but positive feature around ~ 1230 cm-1 a similar

feature as TCS As we discussed in the previous session it is the evidence that OTS forms

dense long-ranged cross-linked Si-O-Si and is coupled with Si-O-Si of SiO2 substrate

which is equivalently increases the thickness of SiO2 by adding a layer of Si-O to the SiO2

substrate At the same time the stretching mode of CH2 group of alkyl chains is found at

2921 cm-1 suggesting formation of well ordered SAM This ldquoabnormalrdquo behavior of OTS

compared to DTS BTS will be discussed later

We have further polarization study for OTSndashSAM Figure 316 shows Si-O-Si spectral

region (1000-1300 cm-1) of OTS-SAM formed on SiO2 surface with deconvolution into

four components centered at 1061 1108 1150 1195 cm-1 The spectra were recorded at

both 74deg and 10deg incidence angle in transmission geometry The intensity of modes at 1150

and 1195 cm-1 are significantly reduced when the spectra are taken at 10deg incident

angle(near normal) suggesting both may attribute to the LO mode of asymmetric

stretching of Si-O-Si 10611195 cm-1 might be assigned to TOLO mode of Si-O-Si in

4-ring configuration 1108 and 1150 cm-1 could be assigned to TOLO mode of Si-O-Si in

a configuration with larger Si-O-Si bond angle Polarization dependence shown above

suggests the existing of LO component (a similar dependence seen in the case of infrared

spectra of SiO2)

50

1000 1500 2000 2500 3000-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005Si-C SAM (Alkene HSI111) vs SiO SAM (OTSSiO2)

~002

~05

1467ρCH3

υSiO

2083

2256υH-SiO3

υSiH~0084

~0093

Abs

orba

nce

Wavenumber (cm-1)

Si-CHSi(111)

OTSSiO2

υCH28502918

10-3

Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different

Substrate

FTIR Spectra were recorded for H-Si(111) sample after thermally alkylated with octadecyldecene (C18 Si-C SAM) The spectra was referenced relative to freshly etched H-Si(111) surface Si-O SAM was formed by immersion SiO2 sample into the OTS solution for 3 days at 4 degC The spectra were referenced relative to clean SiO2 surface Since both have same length of carbon chains (C18) we could use the Si-C SAM which is better defined as reference to predicate the packing status of OTS SAM (The tilting angle may be different and may lead to different integrated area even when both have same number density of alkyl chains)

51

Comparing to TCS-SAM Si-O-Si modes of OTS-SAM appear at higher wavenumber

(blue-shifted) This might be explained because the chain-chain VDW interactions of OTS

have impact on the head group cross-linking Si-O-Si Such cross-linking will introduce

topological constraint on the head structure resulting in shift to higher frequency

34 Discussion

The region 1000-1200 cm-1 of infrared spectra of siloxane SAMs on SiO2 provides very

useful information on SAMSiO2 interface for both inner structure of SAMs and thick

siloxane poly-film However interpreting this region of amorphous film is extremely

difficult because this region includes contribution from multiple modes of Si-O-Si whose

actual peak position is very sensitive to local bond environment and varies with different

Si-O-Si bond anglesUnlike thermal grown SiO2 the Si-O-Si may exist in more

complicated configurations for SAMs or poly-film with different bond angle and

attachments of different groups (H Cl CH3 etc) to Si atom In addition each Si-O-Si

asymmetric stretching mode may have TO-LO splitting and shows two modes instead of

one in the spectra The TO-LO splitting appears when near-by Si-O-Si can form strong

coupling This is also environmently-dependent And in an amorphous film (like siloxane)

the environment can vary a lot depending on preparation conditions

FTIR spectra of TCS-SAMSiO2 clearly show that substrate-dependent positive peak

appears at ~1200-1260 cm-1 It is assigned to LO mode of coupled Si-O-Si between

siloxane and SiO2 substrate The shift of LO mode to higher wave number with increasing

intensity strongly suggests the added siloxane layer be well cross-linked and coupled with

substrate Si-O-Si This is equally like when TCS-SAM modifies the SiO2 substrate by

adding SiO2ndashlike layer on top of it In order to have strong couple with Si-O-Si in substrate

a dense Si-O-Si covalent linkage is needed This is evidence that TCS is covalently

anchored to SiO2 substrate through Sis-O-Si by ondensation with surface Sis-OH group

Based on this fact it is unlikely that TCS is only physically absorbed on the surface

52

1000 1100 1200 1300

TO1 TO2LO2

10o

Abso

rban

ce

Wavenumber (cm-1)

LO1

υasym Si-O-Si

OTS

OTSSiO2 11-02-2006

10-3

74o

Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 Four Gaussians component with maximum at around 1061 1108 1150 1195 cm-1 are used to fit the spectra The mode at 1150 and 1195 cm-1 are significant reduced in the spectra taken at 10 incident angle(near normal) suggesting both are most likely LO mode of asymmetric stretching of Si-O-Si 10611195 might be assigned to TOLO mode of Si-O-Si in 4-ring configuration 1108 and 1150 might be assigned to TOLO mode of Si-O-Si in different less tensioned configuration Comparing to TCS-SAM Si-O-Si modes in OTS-SAM appear at higher frequency (blue-shifted) This might be attributed to the chain-chain VDW interactions of OTS that have impact on the head group (more strained in OTS) cross-linking Si-O-Si

53

The blue-shift (with increasing intensity) or red-shift (with decreasing intensity) of the

LO phonon mode of SiO2 depends on how siloxane bond to substrate at the interface In

case of monochlorosilane no cross-linking is formed The Si-O-Si network of SiO2 is not

able to couple with single Sis-O-Si linkage Instead the attachment actually disrupts the

vibration of Si-O-Si in the very first layer of SiO2 substrate (ie reduced SiO2 thickness)

As a consequence a negative peak appears at the lower wavenumber relative to the original

position of LO mode The packing density of cross-linking of Si-O-Si may also determine

the appearance of LO mode In trichlorosilane with alkyl chain like BTS DTS even

though they can form cross-linking we didnrsquot observe the positive peak at the position of

LO mode as we did for TCS-SAM Instead we observe how a negative peak at the position

with lower wavenumber relative to the LO mode of SiO2 substrates as well

This can be explained because the steric interaction prevents them from forming dense

coupled Si-O-Si This is consisted with the fact that the integrated peak area of 1000-1200

cm-1 (Si-O-Si region) is significantly less than TCS-SAM In addition the alkyl chain

attached to Si-O-Si (ie R-Si-O-Si) may decouple surface Si atom from Si-O-Si network

vibration And the spray of alkyl chain on the surface also reduces the surface attachment

of silane molecule

There is long-time debate whether silane bond is substrated or just cross-linked and

physically absorbed on the top of a thin water layer And there is only few reports on the

evidence of formation of interfacial covalent bond Sis-O-Si In earlier work by Tripp[19]

he assigned 1080 cm-1 to covalent bond Sis-O-Si by investigating TCS on silica The peak

frequency was also previously suggested at 1060 or 1100 cm-1[1] The variance of the peak

position may be due to the different bond environments of Sis-O-Si In the Tripprsquos work

however he found only few Sis-O-Si forms

The appearance of either positive or negative peaks at range of 1200-1270 cm-1

strongly suggests that silane is indeed covalently bonded to SiO2 substrate and forms

Sis-O-Si The Sis-O-Si could either help to couple (positive peak) or decouple (negative

peak) with the original LO mode of substrate depending on the packing density

54

HH H

HH

H

OO

SiO

SiO

SiO

SiO

SiO

SiO

Si

OH O OH

Si OO

Si

O

OSi

OHOH

Si OHSi OSi

OOH

Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

Si-O-Si inside the SAM and poly-siloxane film

Besides the mode at 1200-1260 cm-1 that we discussed above we further characterize

the film structure by analyzing 1000-1200 cm-1 region which is dominated by the

asymmetric stretching mode of Si-O-Si at various configurations We start our analysis

from poly-siloxane as it has higher reproducibility

The peak position of Si-O-Si asymmetric stretching mode depends on the Si-O-Si

bonding angle The peak red-shifts with reduction of Si-O-Si bond angle and blue-shifts

with increasing of Si-O-Si bond angle[32 33] In fully relaxed stoichiometric thermal

silicon oxidizes grown at temperature gt1000 degC the bond angle is reported to be ~144deg

with IR spectral absorbance at around 1080 cm-1 However in low temperature

stoichiometric silicon oxidizes this band is observed to decrease from 1080 to 1060 cm-1 as

the Si-O-Si bond angle increases A decrease in the frequency of Si-O-Si asymmetric

stretching has also been observed for the silicon atoms having one or more non-oxygen

neighbors [32 34]

FTIR spectra demonstrate that TCS poly-film has overall red-shifted peak at

1000-1200 cm-1 regions compared to that of TCS-SAM This is expected inside the

poly-TCS film the spectra are dominated by the Si-O-Si networking of the thick film and

is less constrained than that of Si-O-Si formed at the interface of SAMSiO2 with covelant

bond to substarte Si As a consequence the mode of Si-O-Si occurs at the lower

wavenumber (red-shift) inside poly-TCS compared to Si-O-Si network at the interface of

SAMSiO2 The similar red-shift trend is found for other types of poly-film system

55

compared to SAMs forming on the surface For example APTESSiO2 TOLO is

10371137 cm-1 for poly-APTES film and 10351178 cm-1 for APTES-SAMSiO2

The blue-shift of LO mode for SAMs compared to poly-film (thicker film) is

apparently different from what is observed in the case of thermal silicon oxide In a thermal

thick oxide it is found that the LO mode is red-shift with decreasing thickness of SiO2 [18]

This is because that suboxide and stoichiometric at the SiO2Si interface become

dominated with decreasing thickness of SiO2 layer and the Si-O-Si in the suboxide

structure (Si bond to some non-oxygen atom instead of O) is at the lower frequency [35]

For siloxane layers when the thickness of film reduces from thick poly-film to

monolayer the interfacial structure becomes dominated which constrained Si-O-Si and

Sis-O-Si more than the loosely networked Si-O-Si in poly-film with existence of multiple

configuration[20] Inside the poly-siloxane if the Si-O-Si bond angle is open to higher

degree in a more cage-like structure this peak will shift to higher frequency sometime as

high as 1180 cm-1 In a more network-like structure the Si-O-Si bond angle reduces to lt

144 degree and the asymmetric Si-O peak position may shift down to lower frequency at

1060 cm-1 or lower[32 36] Because both configuration might co-exist inside

poly-siloxane it maybe used to explain the split to two peaks at 1030 and 1180 cm-1 for

polymeric (Si-O-Si)x [1]

We have also compared the alkyl chainrsquos effect on poly-siloxane structure FTIR shows

LOTO of poly-OTS (C18) (10951180 cm-1) appear at much higher frequency than

poly-TCS (10701157 cm-1) but with nearly the same LO-TO separation This difference

is consistent with our analysis above The steric interaction between alkyl chains may put

extra strain on the head group cross-linked Si-O-Si and cause band blue-shifted compared

to TCS which lacks constraints

The deconvolution of Si-O-Si asymmetric stretching modes at 1000-1200 cm-1 shows

that all the following modes exists Si-O-Si in network cage suboxide configurations as

suggested by Grill[20] Our polarization study further suggests that LO-TO splitting exists

for some of Si-O-Si configuration The LO-TO splitting has been previously used to

interpret complicated 1000-1200 cm-1 region in amorphous organosilicon polymers[23 26]

and amorphous SiO2 structure [37] [38] [39] [40] although there is still controversal

concern about the existence of TO-LO splitted in disordered material

56

For thermal SiO2 the currently accepted modle about a-SiO2 is a continuous random

network in which the dihedral angle of bridging oxygen is a random variable The

asymmetric stretching mode of O in the Si-O-Si bond gives two predominate features the

transverse optical phonon (TO) at 1060 cm-1 and longitudinal optical model (LO) near

1254 cm-1 It is found that both modes will shift with the change of thin SiO2 film thickness

and it has been explained as that either compressive stress (interfacial strain) [41] or

subtioichiometry [18 35] or both [42] may influence the shift of TO and LO peaks LO-TO

splitting is considered as a consequence of long-range Columbic interactions for a given

oscillators and being sensitive to any kind of internal network structural changes

Mechanism of Formation of trichlorossilaneSiO2

Comparing to TCS SAMs form from trichlorosilane with alkyl chains (except OTS

C18) shows less Si-O-Si bond and a negative (instead of positive) peak at the range of

1200-1260 cm-1 suggesting formation of disordered and less dense siloxane film with

covalent bonding to SiO2 substrate Based on the above mentioned analysis there are

possibly two different mechanisms for formation of alkyltrichlorosilane on SiO2 surface

depending on chain length

For alkyltrichlorosilane with short chain like BTS and DTS because of the relatively

very reactive Cl- head group the Si-O-Si cross-linking quickly forms at room temperature

and as a consequence a disordered SAM forms on the SiO2 It is previously suggested that

it is more difficult to achieve ordered SAMs with shorter alkyl chain [43] and in order to

get an ordered SAM a reaction temperature must be lower than its critical temperature[44]

The silane with shorter alkyl chain has lower critical temperature (for example 0 degC for a

chain having 10 carbon and 38degC for 22 carbon chain [44 45]) This is a result of

competition between cross-linking and van der Waals attraction force At the lower

temperature cross-linking (chemical reaction) is significantly suppressed and VDW force

becomes dominant and helps to form more ordered SAMs Indeed anything that can

increase formation of cross-linking will result in a loss of coherence of the lateral order

For example a decrease in the lateral order with increasing pH is reported where it is

known that higher pH accelerates the creation of cross-linking Si-O-Si [3]

57

Stevens [2] suggested that the fully covered dense monolayer cannot be realized if the

head group is cross-linked because of the steric interaction between hydrocarbon chains

and limited Si-O-Si distance (le 32 Aring) The van der Waals diameter for C is 35Aring and for H

bonded to C is 25Aring and the minimum distance between hydrocarbon chains is 48 Aring

corresponding to minimum van der Waalsrsquo potential

The calculated distance between alkyl chains with cross-linking head group is 043nm

To minimize the system free energy the system can choose two of following possibilities

1) head groups are cross-linked with chains splaying apart implying that cross-linking

prevents the formation of full coverage layer In this scenario the disordered film is formed

(Figure 318a) 2) disordering of the chains by introduction of gauche defects to maximize

the entropy 3) Maintaining the formation of cross-linking while satisfying the steric

constraints through chain compression or selective cleavage of Si-C of long chains as

shown in the Figure 318b Energy gained from formation of siloxane bond is 8eV and the

elastic energy required to approach two chains from 048nm to 043nm is estimated as

80meV[3] The reason that we didnrsquot observe H-SiO3 in the case of BTS and DTS is

probably because the Si-C cleavage is chain length-dependent It may take place only when

the chain length is long enough and the chain-chain interaction is strong enough to meet the

requirement for cleavage of Si-C with formation of Si-H In addition the chlorine may also

play a role in promoting cleavage of Si-C bond A further investigation is needed to verify

this mechanism in the future work

OSi

OOSi

OSi

OSi

OSi

CH3 CH3

HH

CH3

OH

Si

OHSi

OH

Si

OSi

Si

CH3

OSi

O

Si

CH3

Si

OH

Si

lt 32 Aring

(a) BTS or DTS (b) OTS

Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface

In the case a attachment of disordered SAMs disrupt the Si-O-Si vibration of substrate In case b long range ordered SAMs couple with Si-O-Si substrate

58

35 Conclusion

The spectra change of LO phonon mode of SiO2 substrate provides information on

interfacial bond and structure between SAMs and surface It is first time that the spectral

signature is given for a SiO2 substrate change induced by the attachment of

alkyltrichlorosilane This is unique spectral signature only related to SAMSSiO2 interface

Comparison of FTIR spectra and other trichlorosilane with different length of alkyl

chain has shown that trichlorosilane also directly attaches to oxidized substrate instead of

the thin water layer on the top This attachment affects the SiO2 substrate LO phonon mode

It either increases LO by coupling with Si-O-Si of SiO2 or decreases LO by disrupting

Si-O-Si vibration on substrate depending on ordering and packing the density of SAMs

The formation of OTS (C18) SAM on SiO2 has unique behavior An unexpected

H-SiO3 species are observed and a higher cross-linking and chain packing is achieved A

possible mechanism for OTS SAM formed on SiO2 may involve chlorine-catalyzed

cleavage of Si-C with formation of Si-H under condition of compression of chains The

cleaved silane can play a role of spacer to reduce steric interaction and help to form denser

cross-linking and packing of alkyl chain

59

Bibliography

1 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

2 Stevens MJ Thoughts on the Structure of alkylsilane monolayers Langmuir 1999 15(8) p 2773-2778

3 Fontaine P M Goldmann and F Rondelez Influence of headgroup cross-linking on chain packing in Langmuir monolayers of n-alkyltrialkoxysilanes Langmuir 1999 15(4) p 1348-1352

4 Francis R G Louche and RS Duran Effect of close packing of octadecyltriethoxysilane molecules on monolayer morphology at the airwater interface Thin Solid Films 2006 513(1-2) p 347-355

5 Hair ML and W Hertl Reactions of chlorosilanes with silica surfaces J Phys Chem 1969 73(7) p 2372-2378

6 Hair ML and CP Tripp Alkylchlorosilane Reactions at the Silica Surface Colloids and Surfaces a-Physicochemical and Engineering Aspects 1995 105(1) p 95-103

7 Bain CD et al Formation of monolayer films by the spontaneous assembly of organic thiols from solution onto gold J Am Chem Soc 1989 111(1) p 321-335

8 Onclin S BJ Ravoo and DN Reinhoudt Engineering silicon oxide surfaces using self-assembled monolayers Angewandte Chemie-International Edition 2005 44(39) p 6282-6304

9 McGovern ME KMR Kallury and M Thompson Role of Solvent on the Silanization of Glass with Octadecyltrichlorosilane Langmuir 1994 10(10) p 3607-3614

10 Lin SY Variation of Si-H and Si-D bond-bending modes in different local bonding environments in amorphous silicon dioxide Materials Chemistry and Physics 1999 58(2) p 156-161

11 Marrone M et al A Fourier transform infrared (FTIR) study of the reaction of triethoxysilane (TES) and bis 3-triethoxysilylpropyl tetrasulfane (TESPT) with the surface of amorphous silica Journal of Physical Chemistry B 2004 108(11) p 3563-3572

12 Marcolli C and G Calzaferri Vibrational structure of monosubstituted octahydrosilasesquioxanes Journal of Physical Chemistry B 1997 101(25) p 4925-4933

13 Loboda MJ CM Grove and RF Schneider Properties of a-SiOx H thin films deposited from hydrogen silsesquioxane resins Journal of the Electrochemical Society 1998 145(8) p 2861-2866

60

14 Bornhauser P and G Calzaferri Ring-opening vibrations of spherosiloxanes Journal of Physical Chemistry 1996 100(6) p 2035-2044

15 Morrow BA IA Cody and LSM Lee Infrared studies of reactions on oxide surfaces IV Structure of chemisorbed ammonia on silica J Phys Chem 1975 79(22) p 2405-2408

16 Queeney KT et al Silicon oxidation and ultra-thin oxide formation on silicon studied by infrared absorption spectroscopy Physica Status Solidi a-Applied Research 1999 175(1) p 77-88

17 Queeney KT et al In-situ FTIR studies of reactions at the siliconliquid interface Wet chemical etching of ultrathin SiO2 on Si(100) Journal of Physical Chemistry B 2001 105(18) p 3903-3907

18 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

19 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

20 Grill A and DA Neumayer Structure of low dielectric constant to extreme low dielectric constant SiCOH films Fourier transform infrared spectroscopy characterization Journal of Applied Physics 2003 94(10) p 6697-6707

21 Lewis HGP TB Casserly and KK Gleason Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane Journal of The Electrochemical Society 2001 148(12) p F212-F220

22 Pryce Lewis HG DJ Edell and KK Gleason Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials Chem Mater 2000 12(11) p 3488-3494

23 Trasferetti BC CU Davanzo and MA BicadeMoraes LO-TO Splittings in Plasma-Deposited Siloxane Films J Phys Chem B 2003 107(39) p 10699-10708

24 Bornhauser P and G Calzaferri Normal coordinate analysis of H8Si8O12 Spectrochimica Acta Part A Molecular Spectroscopy 1990 46(7) p 1045-1056

25 Long-Hua Lee W-CC Wei-Chih Liu Structural control of oligomeric methyl silsesquioxane precursors and their thin-film properties Journal of Polymer Science Part A Polymer Chemistry 2002 40(10) p 1560-1571

26 Trasferetti BC et al Infrared Studies on Films of Carbosilazane and Siloxazane Networks Chem Mater 2005 17(18) p 4685-4692

27 Alexandra Fidalgo LMI Chemical Tailoring of Porous Silica Xerogels Local Structure by Vibrational Spectroscopy Chemistry - A European Journal 2004 10(2) p 392-398

28 Tripp CP and ML Hair Reaction of alkylchlorosilanes with silica at the solidgas and solidliquid interface Langmuir 1992 8(8) p 1961-1967

29 Awazu K Oscillator strength of the infrared absorption band near 1080 cm-1 in SiO2 films Journal of Non-Crystalline Solids 1999 260(3) p 242-244

30 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

61

31 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

32 G Lucovsky MJM JK Srivastava and EAIrene LOW-TEMPERATURE GROWTH OF SILICON DIOXIDE FILMS - A STUDY OF CHEMICAL BONDING BY ELLIPSOMETRY AND INFRARED-SPECTROSCOPY J Vac Sci Technol B 1987 5 p 530

33 Hirose K et al Structural transition layer at SiO_2Si interfaces Physical Review B 1999 59(8) p 5617 LP - 5621

34 PGPai SSC Y Takagiand G Lucovsky INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION J Vac Sci Technol A 1986 4 p 689

35 Queeney KT et al Infrared spectroscopic analysis of an ordered SiSiO2 interface Applied Physics Letters 2004 84(4) p 493-495

36 Kim YH et al Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films Journal of Applied Physics 2001 90(7) p 3367-3370

37 Kirk CT Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica Physical Review B 1988 38(2) p 1255 LP - 1273

38 Kamitsos EI AP Patsis and G Kordas Infrared-reflectance spectra of heat-treated sol-gel-derived silica Physical Review B 1993 48(17) p 12499 LP - 12505

39 Sarnthein J A Pasquarello and R Car Origin of the High-Frequency Doublet in the Vibrational Spectrum of Vitreous SiO2

101126science27553081925 Science 1997 275(5308) p 1925-1927 40 Pasquarello A and R Car Dynamical Charge Tensors and Infrared Spectrum of

Amorphous SiO_2 Physical Review Letters 1997 79(9) p 1766 LP - 1769 41 Miyazaki S et al Structure and electronic states of ultrathin SiO2 thermally

grown on Si(100) and Si(111) surfaces Applied Surface Science Proceedings of the Eighth International Conference on Solid Films and Surfaces 1997

113-114 p 585-589 42 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2

interface Physical Review B 2003 67(24) p 245305 43 Aswal DK et al Self assembled monolayers on silicon for molecular electronics

Analytica Chimica Acta Molecular Electronics and Analytical Chemistry 2006 568(1-2) p 84-108 44 Brzoska JB N Shahidzadeh and F Rondelez Evidence of a transition

temperature for the optimum deposition of grafted monolayer coatings 1992 360(6406) p 719-721

45 Wasserman SR YT Tao and GM Whitesides Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 1989 5(4) p 1074-1087

62

4 Chapter 4 Amino-Terminated Monolayer on H-

Terminated Crystalline Silicon (111) By an Self

Catalytic Reaction

41 Introduction Traditionally amino-terminated alkoxysilane-based self-assembly system is formed on

hydroxylated surfaces through the formation of a Si-O-Si surface bond between the silanol

group of the alkoxysilane chain and the surface hydroxyl group (Figure 41) In reality the

formation structure and morphology of the siloxane layer on semiconductor surfaces are

extremely sensitive to traces of water in the solvent which leads to self-polymerization

irregular cluster structures and poor structural reproducibility It is very hard to control the

amount of water that physically absorbed on the surface Moreover for widely used

aminosilane the optimized routes are still not available for preparing amine functional

surface with short chains[1 2] partially because aminosilane could interact with

hydroxyl-terminated SiO2Si through multiple reactions including ionic interactions

hydrogen bonds and covalent bond Si-O-Si that lead to more complex conformation and

disorder

SiOEtEtO

EtO

H2N

SiOHHO

HO

H2N

CH3OH

3 H2O+ +

(Hydrolysis)

SiOHHO

HO

H2N

SiOHHO

HO

H2N

+Si

HOHO

H2N

SiOHHO

O

H2N

(Condensation)

Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane

(APTES) SAM Formation

63

For hydrogen-terminated silicon surface in spite of its atomically well-defined surface

structure[3] is not suitable for direct application of silane agents because of the lack of

hydroxyl group or physical absorbed water which are commonly believed to be crucial for

the siloxane layer formation on the surface [4]

In this chapter we reported a new method to prepare ndashNH2 terminated Si (111) surface

by attaching (3-Aminopropyl) triethoxysilane (APTES) molecule to hydrogen terminated

surface through a simple one-step reaction By applying infrared spectroscopy technique

we have shown that APTES can readily react with H- terminated surface and attach to the

silicon surface forming stable layer We have found that the amine group of APTES is the

key for the reaction to take place Also the structure of the interfacial surface of SAMSi

especially the formation of interfacial SiO2 is investigated A possible mechanism of that

is proposed and discussed

42 Experimental

421 Materials Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both sides to

a thickness of 450 μm Following chemicals were used as received except where noted

(3-aminopropyl) triethoxysilane (APTES) (98) triethoxysilane (TES 95)

heterobifunctional crosslinker p-maleimidophenyl isocyanate (PMPI) anhydrous

toluene(998) anhydrous acetonitrile(998)(all from Sigma-Aldrich Corp)

422 Preparation of H-terminated Si(111) N-doped FZ silicon (Si (111)) wafers are cut into 1cm x 2cm pieces cleaned with acetone

and methanol The standard cleaning procedures (RCA) are then applied to the Si surface

de-ionized water (DI water 182M cm)H2O2NH4O (411) DI waterH2O2HCl  

(411) Both solutions are heated at 80degC for 10min with thorough rinsing with DI water

after each step The RCA cleaning removes organic and metallic contamination The

atomic flat hydrogen terminated Si(111) surface (H-Si(111)) is prepared by etching the

64

Si(111) surface in dilute HF (~10) for 30s followed by etching in ammonium fluoride

(49) for 2min 30s at room temperature [3] The prepared H- terminated silicon surface is

atomically flat and hydrophobic

423 Formation of Aminosiloxane SAM on H-terminated Si(111) (3-aminopropyl) triethoxysilane (APTES) monolayer is formed by immersing freshly

prepared H-Si (111) surface into 01 (vv) APTES in anhydrous toluene (998 both

from Aldrich) for various time in a nitrogen gas (N2) purged-glove box After the reaction

the sample is rinsed in anhydrous toluene three times and then sonicated in anhydrous

toluene for 5min Finally the functionalized sample is blown dry in N2 stream Sample is

transferred to the infrared spectrometer under ambient environment and spectra are

collected under a dry air-purge

As a control experiment other solutions are prepared One consist of HSi (111) in

solution in anhydrous toluene and the other one is 01 (vv) triethoxylsilane (TES) agent

in anhydrous toluene TES has three ethoxy groups similar to APTES But instead of having

an aminopropyl chain the Si atom is bonded to a unique hydrogen atom All experiment

procedures were the same as the above descriptions for APTES

424 Stability of Aminosilane SAM APTES modified Si (111) samples were immersed in DI water for various period of

time from 30min to 46 hours Spectra were collected after each exposure time

425 Chemical Reactivity of Amino- SAM on Si(111)

P-maleimidophenyl isocyanate (PMPI structure shown in Figure 42)solution is freshly

prepared right before the reaction with APTESSi(111) by dissolving xx mg PMPI power

in 20 ml anhydrous acetonitrile Acetonitrile was used as the solvent due to its better

suitability than NN-dimethyl formamide dimethyl sulfoxide or aqueous buffers in similar

applications [5] APTESSi (111) sample is transferred into the PMPI solution in a N2

purged glove box Reaction was carried out for 24 hours at room temperature in the sealed

test tube Sample is then rinsed with anhydrous acetonitrile and dried in a stream of N2 flow

65

All procedure is operated in a N2 purged glove box

N OO

NCO

Figure 42 p-maleimidophenyl isocyanate (PMPI)

426 Infrared Spectroscopy Characterization

Infrared absorption spectra (IRAS) of functionalized Si surfaces are recorded between

650cm-1 and 4000cm-1 on a Nicolet FT-IR spectroscometer equipped with liquid nitrogen

cooled down MCT-B detector and using a transmission set up The infrared beam is

incidented at 74 degree off normal corresponding to the Brewster angle for silicon and 10

degree off normal to minimize the possible reflection interferences A 4cm-1 (data spacing

1912cm-1) resolution has been chosen with 1000 scans

43 Results 431 Formation of APTES SAM on Hydrogen Terminated Si(111) Figure 43 shows a typical infrared spectrum of freshly deposited APTES on

hydrogen-terminated Si (111) The spectra are recorded after a 20 hoursrsquo reaction time

followed by rinsing and sonication in anhydrous toluene Figure 43 a is recorded at 74deg

incident angle off normal while Figure 43 b is recorded at 10deg After exposure to APTES

solution the sharp feature of Si-H stretching mode at 20837 cm-1 is significantly reduced

broadened and red-shifted to 2076 cm-1(Figure 43a) The total integrated area is only ~

23 of the initial fresh-etched Si-H mode (~0014) As shown in the

66

Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES

FTIR spectra of freshly-etched H-Si (111) samples exposure to the APTES in anhydrous Toluene for 20h (nov1) at room temperature in a nitrogen purged glove box Spectra were recorded for sample at a) 74 degree (Brewster angle for silicon) off normal and b) 10 degree off the surface normal with MCTA detector Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si (111) while range 1900-2400cm-1 is referenced to clean oxidized surface The spectra in the small up-left panel are compared of Si-H stretch mode between spectra a and c fresh-etched H-Si (111) surface as prepared Polarization experiments on H-Si (111) (a and b) demonstrated that Si-H bond is normal to the surface The free primary amine NH2 bending mode at 1600cm ndash1 also shows some polarization dependence indicating ndashNH2 perpendicular to the surface

67

inserted left-up panel in the Figure 43 the sharp H-Si stretching mode at 20837 cm-1

(Figure 43c) reveals a monohydride-terminated atomically flat surface[3] The

broadening and shift of this band indicates a change in the neighboring chemical

environment of surface H-Si bond A similar shift of the Si-H band to lower frequency due

to hydrogen-bonding interaction with nearby NH2 or Si-NH3 has been previously reported

by Queeney et al [6] Along with the decrease of the Si-H peak in the intensity a weak

broad bump appears around 2240 cm-1This mode has previously been assigned to the

H-SiO3 from Si-Si back bond oxidization[7] revealing that oxidation takes place during the

reaction

With reducing Si-H mode several intense and broad peaks appears in the 1000-1300

cm-1 range contributing from multiple vibration modes such as Si-O Si-O-C modes and in

the region of 2800-3000 cm-1 attributed to C-H stretching modes Since the CH2

asymmetric stretching mode is at 2933 cm-1 greater than reported ~2920 cm-1 for a

crystalline ordered film [8] it indicates that the formed APTES film is disordered A small

shoulder at 2973 cm-1 from CH3 asymmetric stretching mode indicates the existence of

partially hydrolyzed APTES We then expect that the ethoxy group (Si-O-C2H5) will also

contribute in other modes for instance Si-O-C stretching mode at 1107cm-1

The NH2 bending mode at 1604 cm-1 indicates that the amine groups are extended away

from the surface and are free from hydrogen-bonding interaction with the surface hydroxyl

groups A weak broad peak at ~3300 cm-1 is assigned to the corresponding stretching

modes of primary amine group The broad bands peaked at 11381050 cm-1 are assigned to

the various Si-O modes formed by the APTES condensation They are also overlapped

with other bands such as Si-O-C modes from ethoxy group of only partially hydrolyzed

APTES

Figure 44 displays the spectrum for fresh H-Si (111) exposed only to the anhydrous

toluene under the same experimental condition The spectrum from Figure 43a is shown

here as a comparison No absorbance features related to toluene are actually observed after

20 hr Moreover the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a

~95 of total integrated area of initial fresh H-Si(111) surface These evidence indicates

that hydrogen terminated Si(111) is stable in the anhydrous toluene An additional

experiment has shown that H-Si (111) surface is stable in anhydrous toluene even after 72

68

hours (data not shown) Thus we exclude the possibility that solvent alone will contribute

to the spectra features we observed

The stage of the reaction of H-Si (111) with APTES is examined by measuring surface

changes after various time period of immersing time in the APTES solution Figure 45

shows the spectra for fresh H-Si (111) after being exposed to APTES solution for a) 30

minutes b) 2 hours and c) 20 hours respectively Comparing spectra taken after 30 minutes

and 2 hours both 1000-1300 cm-1 region and C-H stretching mode regions increase with

increasing exposure time while the equivSi-H mode decreases and broadens with the exposure

time accompanied with the increase of a new peak at ~2240 cm-1 from oxidization species

A shoulder of CHx at 2973 cm-1 corresponding to CH3 stretching mode is seen in all of

spectra and slightly decreases in the intensity with prolonged exposure time This indicates

gradual loss of ethoxy group of APTES A series of experiments where the exposure time

is varied from 5 minutes to seven days is performed We present in Figure 413 the

integrated area of Si-H (~2083 cm-1) and H-SiOx (~2240 cm-1) as a function of reaction

time and in Figure 414 the integrated area of three regions oxide region (1000-1300 cm-1)

CHx stretching modes (2800-3000 cm-1) and -NH2 bending mode (~1604 cm-1) As shown

in Figure 413 with the increasing exposure time the integrated area of Si-H decreased

and H-SiOx increased initially and then decreased This trend is similar to what is observed

in the initial oxidization of H-Si(111) in air[9] The fact that (70plusmn8 ) of Si-H remains

after 20 hours exposure to APTES solution suggests that the majority of the surface is still

covered by the H-Si The CHx stretching modes and -NH2 bending modes have the same

time dependence initial increase and then decrease The decrease of intensity of CHx may

be due to gradual removal of ethoxy group from partially attached APTES molecule The

integrated area of 1000-1300 cm-1 region increases initially and reaches a plateau after 20

hours This suggests that the film growth is self-terminated and polymerizations are

limited

69

Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to a 01 (vv) APTES in anhydrous toluene for 20 hours b neat anhydrous toluene for 20 hours Both reactions were performed at room temperature in a nitrogen purged glove box Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si(111) and range 1900-2400cm-1 is referred to a clean oxidized surface

Table 1 Peak Assignments for APTES Layer Formed on the H-Si(111)

Peak Position (cm-1) Assignment

2973 CH3 asymmetric stretching 2933 CH2 asymmetric stretching 2878 CH3 symmetric stretching 2250 H-SiO3 stretching 2076 Si-H stretching 1640 H2 O scissoring 1604 Free NH2 bending

1138 1050 Si-O-Si stretching 887 H-SiO3 bending 740 CH3 rocking

70

Figure 45 FTIR Spectra of Time Dependence Experiments

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to 01

(vv) APTES in anhydrous toluene for various time length a 30 minutes b 2 hours c 20

hours All reactions were performed at room temperature in a nitrogen glove box All

spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to

freshly-etched H-Si(111) and range 1900-2400cm-1 are referenced to clean oxidized

surface For clarity absorbance values within region 1900-2400 cm-1 were multiplied by

the scaling factor as shown

71

432 UV experiment UV irradiation has been found to promote the hydrosilylation of alkene on the

H-terminated silicon surface by forming Si-C bond through a free radical chain reaction

[10 11] To investigate the mechanism of reaction further we irradiate the APTESH-Si

(111) solution for 30min with a 284nm UV light The spectra for APTES reaction with and

without UV are shown in Figure 46 and the integrated area values for these featuring

bands are shown in the Table 2

Figure 46 shows that both spectra are dominated by strong and broad peak

900-1300cm-1 with maximum at 1085 cm-1 and 1130cm-1 which are assigned to Si-O-Si

asymmetric stretch This region may also include contributions from Si-O-C stretch mode

at 11001085 due to non-hydrolyzed ethoxy groups (-OCH2CH3) in APTES The H-Si

stretch band at 2081cm-1 is reduced slightly broadened and a small shoulder appeared at

lower frequency ~2070cm-1 Comparing its integrated area with the freshly prepared H-Si

(111) there is ~30 less indicating a loss of H-Si bond The peaks at 2922cm-1 and 2973

cm-1 are assigned to asymmetric stretch mode of CH2 and CH3 respectively The

comparison of the integrated area value as shown differs from relative intensity of 1085 to

1133 cm-1 due to the slightly varied environment of Si-O In summary no apparent

difference is found between both spectra indicating that there is no direct relation between

UV excitation and the attachment of APTES

Table 2 Comparison of integrated area of major bands

Int Peak Area (CHx)

(2800-3000 cm-1)

Int Peak Area (H-Si)

(~2080 cm-1)

Int Peak Area

(1000-1300 cm-1)

30min wo UV 0015plusmn00015 00095plusmn00016 0162plusmn0016

30minw UV (284nm) 0014plusmn00016 00101plusmn00017 0178plusmn0018

72

Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and without UV (284nm) Illumination

Hydrogen terminated n-Si (111) samples were immersed in the 01 (vv) APTES in anhydrous toluene at room temperature for 30min a without UV illumination b 284nm UV illumination is applied during the reaction All spectra within 650-1700cm-1 and 2700-3800cm-1 have been referenced to freshly prepared H-Si(111) surface while spectra within range 1900-2300cm-1 are referenced to initial clean oxidize surface

73

433 APTESH-Si(111) Stability in the water

One important application of amine terminated self-assembled monolayer is to enhance the

attachment of bimolecule such as DNA proteins to the surface The stability of NH2 -SAM

in the biocompatible aqueous environment is crucial since most of these attachmentsrsquo

chemistry is carried in an aqueous environment Here we study the effect of water only We

leave APTES-functionalized H-Si (111) samples (annealed or fresh) in the dionized water

for various times at ambient environment and compare it to the results from conventional

APTESSiO2 surface Figure 47 shows FTIR spectra of changes of APTESSi (111) and

APTESSiO2 after being in the water for various times Figure 48 quantifies the changes of

the APTES films on both surfaces by plotting the integrated area of CHx at 2800-3000 cm-1

against the time in the water For APTES SAM formed on H-Si (111) the largest loss

~30 decrease of the integrated area of CHx at 2800-3000 cm-1 is observed within the first

30 min It then slightly increases with increasing time in the water Finally after 20 hours

it decreases again but quite slowly Nearly ~70 film still remains on the surface even

after 46 hours exposure to aqueous water indicating the film is reasonably stable in the

water

In contrast APTES film formed on SiO2 is quickly removed from the surface once exposed

to water which is consistent with other authorsrsquo previous works [1 12] As shown in Figure

47b the film continues degrades indicated by the reduced stretching modes of C-H in the

intensity with increasing time in the water Meanwhile the increase of negative bands at

1062 and 1232 cm-1 assigned to the TO and LO phonon mode of underneath SiO2 substrate

respectively suggests a continuous etching of substrate After 46 hours at least ~70 film

indicated by the integrated area of CHx (Figure 48) is removed from the surface The

remaining ~30 possibly including contributions from background level

hydrogen-carbon absorption on the solidliquid interface is not necessary only because of

the attached APTES molecules

74

Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time

FTIR spectra are recorded for a APTES SAM formed on H-Si(111) b APTES film formed on regular silicon dioxide surface after immersing in water for various time from 30 minutes to 46 hours at the room temperature

75

Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water The quick dropping in the integrated area of CHx indicates large amount of APS molecules that are quickly removed from the surface upon exposure to aqueous water while APTESH-Si (111) has significantly higher stability than APTESSiO2

76

434 Chemical Reactivity of APTESSi(111)

The heterobifunctional cross-linker p-maleimidophenyl isocyanate (PMPI) is used to

verify the chemical reactivity of APTESSi (111) surface It is known that PMPI reacts

with ndashNH2 group either through its isocynanate group to form urea linkage or through its

NHS- group to form amide bond (Scheme 1) As shown in the Figure 49 after

APTES-modified Si (111) reacted with PMPI multiple PMPI bands appeared among

1350-1800 cm-1 The strongest peak at 1722 cm-1 along with weak peak at 1781 cm-1 are

attributed maleimide asymmetric and symmetric C=O stretching respectively The 1668

and 1550 cm-1 are assigned to amide I and II of urea linkage [13] Peak at 1515 cm-1 is

assigned to the aromatic C-C stretching The integrated area from 1363 to 1430 cm-1

assigned to maleimide symmetric C-N-C centered at ~1396 cm-1 is previously used to

quantify the amount of PMPI attached to the APTES surface [14 15] This particular peak

is chosen to integrate predominantly on criteria of minimal overlap with other spectral

features For instance this region can avoid overlapping with bending mode of ndashNH2

(1500-1600 cm-1)

N OO

NCO

SiO

HO

H2N

SiOHOO

H2N

Si Si

N OO

NH

C O

SiO

HO

NH

SiOHOO

H2N

Si Si

(PMPI)

Scheme 1 The isocyanate group of PMPI forms a urea link (-N-CO-N-) with APTES amine group

77

Figure 49 PMPI 24 hours on APTESH-Si(111)

FTIR spectra of APTES functionlizated H-Si (111) were obtained a before b after exposure to PMPI solution APTES spectra are referenced relative to clean H-Si (111) surface while PMPI spectra are referenced relatively to amine-terminated surface prepared from APTES right before reaction with PMPI

78

435 Control Experiment

In order to further understand the reaction mechanism the spectra from the control

experiments are acquired as shown in Figure 410 where fresh H-Si(111) is exposed to the

similar alkoxysilane molecule propyltrimethoxysilane (TMPS) without amine- end group

(b) and anhydrous toluene only (c) under the similar experimental condition

SiOCH3H3CO

H3CO

CH3

Scheme 2 Trimethoxypropylsilane (TMPS)

No absorbance features related to toluene are actually observed after 20 hr Moreover

the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a ~95 of total

integrated area of initial fresh H-Si (111) surface This evidence indicates that hydrogen

terminated Si (111) is stable in the anhydrous toluene An additional experiment has shown

that H-Si (111) surface is stable in anhydrous toluene even after 72 hours (data not shown)

Thus we exclude the possibility that solvent alone can contribute to the spectroscopic

features of APTESH-Si (111) we observed

For alkoxysilane without amine (Figure 410b) the surface H-Si lost lt10 of initial

fresh etched H-Si (111) This loss of H-Si is slightly greater than the loss (~5) when it is

in neat anhydrous toluene but less than the loss (~30) when it is exposed to APTES It

maybe due to the reaction of H-Si (111) with alcohol produced from hydrolysis of silane

molecule It has been shown previously that H-Si (111) can readily react with methanol and

ethanol even under room temperature and dark environment[16] The FWHM of peak of

remained H-Si stretching mode is ~7plusmn07 cm-1 and 4plusmn05 cm-1 for APTES and TMPS

respectively The fresh etched H-Si (111) has FWHM at 44plusmn007 These results indicate a

significant change of chemical environment of surface H-Si bond that occurs after

exposure to APTES The results from control experiments suggest that amine group ndashNH2

in APTES plays a crucial role in the reaction of APTES with H-Si(111)

79

Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c Toluene only FTIR spectra were obtained for freshly-etched H-Si(111) surface exposed to a 01 APTES in anhydrous toluene b 01 TMPS in anhydrous toluene c neat anhydrous toluene only for 20 hours All spectra are reference relative to freshly-etched H-Si(111) surface

80

436 Reaction of H-Si(111) Surface with Propylamine In this section the reaction between ndashNH2 and H-Si (111) was checked in the toluene with

or without presence of water (wet or dry condition) A short organic molecule propylamine

with ndashNH2 as an end group is chosen The FTIR spectra after H-Si(111) is exposed to 02

propylamine for two hours are shown in the Figure 411A Figure 411B shows the zoom-in

region of H-Si and H-SiO3 stretching vibration mode at 2000-2300 of the same spectra in

Figure 411A referred relatively to an oxidized surface

In the anhydrous environment (Figure 411A-b) ~25 loss of integrated area of H-Si

stretching mode at 2083 cm-1 is associated with an appearance of new peaks at 2262 876

cm-1 attributed to H-SiO3 stretching and bending modes respectively The broad peak

centered at ~1130 cm-1 is assigned to Si-O modes This evidence suggests that local

back-bond oxidization of H-terminated Si (111) surface occurs Further quantifying

amount oxide on the surface is done by comparing the integrated area of LO phone mode

within this region to the LO mode of chemical oxidized (SC-1SC-2) SiO2 which has been

shown previously to produce an oxide layer ~10Aring in thickness[17] The total integrated

area of 900-1300 is ~016 less than ~50 peak area obtained on chemical oxidized

surface

There is no discernable peak at 3400 cm-1 and 1640 cm-1 the characteristic peaks of surface

hydroxyl group and absorbed water suggesting the absence of surface hydroxyl Si-OH

group and absorbed water In addition the sharpness of stretching mode of H-Si (Figure

411B) indicates that major surface region is unaffected by immersing in propylamine

solution and remains H- passivated where dipole coupling between neighboring Si-H

groups on a terrace remains[18-20]

The peak at 730 cm-1 could be assigned to ndashNHndash wagging vibration from formation of

surface Si-N-C bond between propylamine and Si-H The corresponding stretching mode

of NH at ~3400 cm-1 is too weak to be discernable in the spectra [21] The appearance of

various stretching modes of -CH2 and -CH3 at 2800-3000 cm-1 including 295029222964

cm-1 with total integrated area ~ 00131 and bending modes at ~ 1450 cm-1 indicates the

attachment of propylamine on surface The amine dissociative adsorption on silicon

1 As a compassion the integrated area of CH (2800-3000) is ~ 002 for H-Si(111) in APTES for 20 hours and less than 0006 for H-Si(111) in TMPS for 20 hours

81

Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine

In the top panel (A) FTIR spectra of fresh prepared H-Si(111) surface after exposure to 02 propylamine in a) regular toluene b) anhydrous toluene Both are referenced against to fresh H-Si (111) As a comparison the spectra of chemically oxidized SiO2 surface after exposure to 02 propylamine in regular toluene is given (c) For clarity the spectra b and the spectra b and c is displayed on 4 timesrsquo smaller scale than a In the bottom panel (B) same spectra shown in the top panel are referenced to clean oxidized silicon surface

82

surface with Si-N bond formation was previously studied in the UHV condition in gas

phase([22-24]) Under our experiment condition (wet chemistry environment and room

temperature) this reaction may be expected but should be significantly different from

mechanism under UHV condition The spectra show that formation of Si-N competes or

co-exists with the oxidization of Si-H catalyzed by trace amount of water and amine group

When excess water co-existed with propylamine (wet condition) H-Si surface is

oxidized much faster than in the anhydrous case As shown in the Figure 411 the

integrated area of Si-O-Si stretching modes at 1000-1200 cm-1 is nearly 10 times higher

than the case where H-Si (111) is exposed to propylamine in anhydrous environment for

the same time period Both H-SiO3 (2256 880 cm-1) and surface hydroxyl (related

vibration modes SiO-H stretching mode at ~ 3400 cm-1 Si-OH stretching mode at 950

cm-1) species are observed In addition the vibration mode related with hydrogen bonded

water is observed the stretching mode of Si-OH at ~3400 cm-1 and scissoring mode of

water at 1640 cm-1 However the surface hydrogen is not completely replaced by ndashOH

group as there is ~ 40 H-Si remained on the surface while another ~30 existed in the

form of H-SiO3 with corresponding stretching mode at 2256 cm-1 (Figure 411B)

Considering the integrated area of stretching Si-O modes at 1000-1200 cm-1 it is nearly

five times higher than SiO2 prepared from RCA chemical oxidization It suggests that

continuing oxidization may happen in an anisotropic manner The oxidization of substrate

is co-existed with other surface species including surface silicon-hydrogen bond with

insertion of oxygen into back-bonds (H-SiO3) and untouched Si-H bond The broadness

(FWHM-) and peak position (~2073 cm-1) of stretching mode of H-Si indicates a

disruption of the dipole coupling between neighboring Si-H groups on a terrace [18-20]

As a schematic drawing shown in the Figure 412 the numbers 1-4 indicate the surface

species presents on the surface In the dry condition only 1 and 2 are observed and

dominated by specie 1 (untouched H-Si) In the wet condition all three species are

observed And anisotropy oxidization happens toward underneath deeper layers The

species 4 is attributed to reaction ndashNH2 with H-Si forming Si-N linkage Both species 2

and 3 readily react with APTES

It has been found that H-Si (111) is stable in pure water up to several days Apparently

propylamine accelerates the oxidization of H-Si where H2O is a source of oxidization

83

Furthermore the direct reaction between propylamine and H-Si (111) may exist although it

is not dominated and must process at a very slow rate

CH3

NH2

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HOSi

O

OHSi

O

HSi

Si

HSi

O

HSi

O

HSi

Si

HSi

Si

HNSi

Si

CH3

H2O

3 2 1 4

Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or

without water

44 Discussion The FTIR spectra demonstrate that APTES can form stable siloxane layer on the H-

terminated Si (111) in an anhydrous toluene at room temperature without using any

accelerators or pre-hydrolysis step Amine- group of APTES is found to be crucial for the

reaction to take place An insertion of oxygen in the Si back-bond forming H-SiO3 is

observed The amount of attached APTES (CHx stretching modes and NH2 bending modes)

is correlated with the degree of loss of Si-H stretching mode and H-SiO3 stretching mode

As the reaction time in APTES solution increases the initial Si-H stretching mode

decreases in intensity while an H-SiO3 stretching mode appears simultaneously and

gradually increases indicating that oxidation occurs After a couple of hours the H-SiO3

stretching mode decreases while mode corresponding to the absorption of APTES on H-Si

(111) is now present The saturation of the Si surface is observed after 20 hours when no

more active sites are accessible to APTES due to the steric restriction

It has been long-term established that alkylsiloxane self-assembled monolayer is used

to engineer silicon oxide surface [4 25] The general accepted mechanisms although still

under considerable debates involves hydrolysis of alkoxy- groups and condensation with

active surface hydroxyl group or incorporate into a two dimensional cross-linked network

of Si-O-Si bonds in the physical absorbed thin water layer [26 27][more references]

However to our best knowledge there is no report about direct formation of alkoxylsilane

84

on oxide-free and hydrophobic H-terminated single-crystal silicon surface which are

normally alkylated through reaction with alkene forming Si-C linkage thermally or

photochemically [10 11] or it is found recently that organotrichlorosilane could possibly

form on non-oxide surface at room temperature due to the hydrosilylation of

chloride-terminated silicon surface generated by ion exchange between Si-H and chloride

ions in anhydrous toluene [28]

A possible two-step reaction mechanism has been proposed previously for

amine-catalyzed silanization of alkoxylsilane on hydrogen-terminated porous silicon

surface with water[29] the first step is an amine-catalyzed hydrolysis of H-Si to form

hydroxyl-terminated surface at the second step the surface hydroxyl groups further react

with the alkoxy (Si-O-R) or silanol (Si-OH) groups of APTES molecule under amine

catalysis The second step of amine-catalyzed siloxane condensation has been previously

reported For example a significant fraction of adsorbed aminosilane attaches covalently

to the surface silanol group in an anhydrous environment at room temperature under

catalysis of amine motifs [30-32]

This mechanism cannot be fully applied to the results we observed under the anhydrous

experiment condition First the absence (or minimized content) of surrounding water in

anhydrous toluene makes the surface hydrolysis less likely to occur It lacks evidence of

Si-OH in present FTIR data We only see Si-OH under the wet condition accompanied by

the formation of a significant large amount of silicon oxide Second this mechanism

doesnrsquot provide detailed information on the initial stage of oxidization of H- terminated

silicon surface In other words it is questionable whether surface Si-OH forms with or

without oxidization of underneath silicon

The studies of water reaction on H-Si(100) under ultrahigh vacuum have shown that

oxidation of Si-Si bonds occurs both at room temperature and elevated temperatures but

without breaking Si-H bond probably because of the bond energy of Si-H 14eV higher

than bulk Si-Si[9] The surface hydroxyl could form only after Si-O-Si formation[33] A

study of the reaction of O2 with H-Si(111) has found that no oxygen is initially inserted into

the Si-H bond to form Si-OH instead various H-SiOx species are formed[34]

From our time-dependence data in Figure 413 and Figure 414 it has clearly shown

that initially H-Si is slowly decreased while H-SiO3 appears simultaneously This indicates

85

at the first step that an insertion of oxygen into H-Si bandbonds occurs Both H-Si and

H-SiO3 modes are still observed after 7 daysrsquo exposure to APTES The vibration modes

related with absorbed silane molecules like CHx and NH2 are barely observed at the first

two hours but start increasing and then decreasing with exposure of the time Based on

these evidence we propose the following mechanism the Si(111) surface initially

H-terminated evolves into H-SiO3 due to the insertion of oxygen (possibly coming from

traces amount of water in the solvent) into the back-bond of equivSi-H as depicted in Figure

415 This step may be also the result of a catalyst process involving the amine group The

hydrogen bonding formed between water and amine group significantly weakens the O-H

bond and thus making the oxygen atom more nucleophilic and more ready to attack the

surface Si atoms either in Si-H or Si-Si with formation of surface hydroxyl Si-OH (I) or

H-SiO3 (II) respectively Our data suggest that the latter (pathway-II) is dominated over

pathway-I under anhydrous environment Silane molecule from the solution can then

readily react with the H-SiO3 group The presence of the oxygen atoms in the back-bond

polarizes the Si-H bond and weakens it making it more reactive to the APTES molecule

After 20 hours the plateau observed in the 1000-1300 cm-1 region indicates that the

saturation has been reached and the APTES layer acts as a barrier to block any further

attachment on the surface active sites H-SiO3 For extensively longer exposure time after

several days in APTES solution the APTES is removed and surface oxidization continues

corresponding to observed decrease of H-Si and CHxNH2 in the intensity Nearly constant

H-SiO3 could be explained as a result of equilibrium between H-Si oxidization and its

further converting to HO-SiO3

In this mechanism surface hydroxyl groups do not form and cannot be accounted for

the attachment of the silane molecules Instead the formation of H-SiO3 is a key in the

surface attachments of APS on HSi (111) As shown in Figure 415 because of the

inserted oxygen atoms that weaken the Si-H bond H-SiO3 has higher reactivity than Si-H

resulting reaction of H-SiO3 with alkoxy-group of APTES under catalysis of NH2 group

86

Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid triangle represents the peak area of Si-H stretch mode at ~ 2100 cm-1 and open circle represents the peak area of H-SiO3 at ~2250cm-1 X-axis (time) is plotted in logarithm scale

87

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid square in the upper panel represents the peak area within range of 1000-1300 cm-1 contributed from several overlapped bands like Si-O Si-O-C In the lower panel the open circle represents the peak area of NH2 bending ~ 1600 cm-1 and solid triangle represents peak area of CHx (X=2 or 3) stretching modes at 2800~ 3000 cm-1 For some data points the error bar was too small and hardly distinguished from the data points X-axis (time) was plotted in logarithm scale

88

Si

SiSi

H

SiSi

SiSi

H

Si

SiSi

OH

SiSi

SiSi

H

NH2EtO

HH

H2

δ+

δminus

Si

OO

H

OSi

OO

H

Si

OH3CH2C

R

O

CH2CH3δminus

δ+

δ+

δminus Si

O

R

O

CH2CH3

Si

OOO

Si

OO

H

I

II

Figure 415 Possible Mechanism of APTES reacts with surface H-SiO3

441 Amine-catalyze Oxidization of H-Si backbond and attachment of

APTES

In the present study we found that amine group APTES is crucial for the reaction For

the alkoxysilane without the presence of amine the reaction with H-Si (111) can only

proceed if there is any at a very slow rate considering ~90 H-Si remains at terrace

structure even after 20 hours Therefore the formation of H-SiO3 is most likely proceeds

under the catalysis of amine group

There are already extensive reports on that amine group catalyze direct condensation of

alkoxy- [30 35] or chlorine- [36] group to surface hydroxyl group The proposed

mechanism of the catalytic activation is that the interaction between nitrogen and hydrogen

attached to oxygen make oxygen more polar[36] The Si-OH surface mode is known to

have strong interactions with Lewis bases such as propylamine and pyridine The hydrogen

bonding due to this interaction substantially weakens the SiO-H bond and increases the

nucleophilicity of the oxygen atom (δminus) which will attack the electron deficient Si atom

(δ+) of the Si-Cl [37] or Si-O-R to form Si-O-Si linkage

89

We examined the reaction of H-Si (111) with propylamine in anhydrous toluene and

regular toluene The increasing amount of water in the solution did significantly accelerate

the oxidization of silicon substrate and formation of surface Si-OH Without the presence

of water both reactions are suppressed and H-SiO3 species was clearly observed and most

of surface H-Si remains This experiment also confirms that the existence of alkoxy group

is important for the reaction to keep going Under anhydrous environment amine group or

alkoxy group alone cannot fully account for the loss of H-Si we observed

442 Limited Interfacial Oxidization

The earlier study of the initial stage of oxidization of hydrogen-terminated silicon in

the water or in the atmosphere has proposed a two-stage oxidization with different

oxidization rates [38] [39] The Si-Si back-bond instead of Si-H is first attacked by water

molecule to form H-SiOx but the oxidization is a slow process (Induction Period) As

Si-OH coverage increases formation of Si-O-Si bridges can be formed through adjacent

Si-OH while more rapid oxidization is observed in a second stage [38] [39] In this

oxidization mechanism the initial oxidization depends strongly on the surface

concentration of ndashOH groups In the case of APTES silanization APTES could quickly

react with H-SiO3 once it is formed under amine catalyzing in the step 2 and then may

suppress any possible further oxidization The attachment of APTES might limit the

oxidization only to the first or second layer The utilization of a N2-purged glove box also

helps to minimize the oxidization Indeed the fact that there are still ~20 of H-Si and

H-SiO3 remaining after extended exposure time suggests that surface is still covered with

hydrogen existing in the form of either H-Si(Si) or H-SiO3 instead of Si-OH The less

oxidization and partially H- passivation may contribute to the observed higher stability of

APTESSi (111) in the water as well where OH- etching of SiO2 substrate or hydrolysis

Si-O-Si is considered as one of the sources of instability

443 Stability of APTES layer and Binding Capability

The stability of aminosilane is crucial for its various applications An ideal aminosilane

layer should be flat stable with a dense surface free amine functional group However the

90

optimized routes are still not available for preparing amine functional surface with short

chains[1] [2] Although APTESSiO2 system is widely used in many biomedical

applications like promoting biological adhesion (ref) it is found previously that it is not

stable at all SAM or multilayers formed on SiO2 from amino-alkoxysilane is easily

removed when the film is exposed to water or water salt solution [1]

The instability of APS on oxidized surface is explained as the existence of multiple

complicate interactions between function groups of amino-organosilane and SiO2 surface

with a thin physical absorbed water layer Thus the absorbed APTES layers are not only

observed through surface Si-O-Si covenant bonds but most likely also through ions

interaction (NH3+) hydrogen bonds (between NH2 and Si-OH) It is also been suggested

previously that the film most likely linked on the physically absorbed water layer on the

SiO2 surface instead of directly binding to the surface In addition the underneath SiO2

substrate could be etched away in the water environment when there is NH2 group around

as indicated by the increasing negative band from 1000-1300 cm-1 at Figure 47b This is

possibly because of the formation of OHminus anion (the surface ndashNH2 pKa is ~10 and ndashNH2 is

protonated under neutral environment (pH~7)

minus+ +minus⎯rarr⎯+minus OHNHROHNHR 322

By using H- terminated Si (111) surface and the method presented in this study the sources

of complicated various interactions mentioned above is minimized or does not exist at all

In addition physically absorbed water is not present on H-Si (111) surface due to the

hydrophobic nature of the surface As a consequence it minimizes the unwanted

polymerization and unwanted attachment of silane layer to the water layer with

significantly reduced stability Moreover the electrostatic interaction between amine and

surface could be minimized also due to lack of surface hydroxyl group Indeed the IR

spectra shown in Figure 43 indicate the existence of free amine groups (bending mode of

NH2 at 1604 for free amine and 1580 or less for hydrogen bonded ndashNH2)

Biological reactivity of surface with ndashNH2 functionality is further confirmed by

reaction with PMPI which forms covalent bond with surface amine group This is

confirmed by the FTIR spectra of PMPI absorbed on APTESSi (111) surface suggesting

91

the surface prepared using APTESH-Si (111) is well suitable for further biological

applications

45 Conclusion We have demonstrated that (3-aminopropyl) triethoxysilane (APTES) can form

self-assembled monlayer on the atomically flat H- terminated Si (111) surface It is first

time that we show the attachment of APTES molecule to silicon without involving oxide

substrate or appreciable oxidization of substrate APTES layer formed on the H-

terminated surface shows significantly higher stability than APTES layer formed on

SiO2Si (100) with free amine groups which are important for its further application The

prepared NH2- surface shows similar reactivity toward the further biological attachment

with other methods A possible mechanism is proposed for the reaction of APTES with

atomically flat H-Si (111) Amine- group has been demonstrated to be a key for the

reactions to take place The first involves the insertion of oxygen into the Si backbond

forming H-SiO3 under catalysis of amine group The following reaction of H-SiO3 with

APTES has been demonstrated to process very quickly The interfacial oxide silicon is

significantly limited and the surface remains partially hydrogen passivated which may

account for the higher stability of APTES layer formed on H-Si (111)

92

Bibliography

1 Wang AF et al In vitro stability study of organosilane self-assemble monolayers and multilayers Journal of Colloid and Interface Science 2005 291(2) p 438-447

2 Allen GC et al Macro- micro- and nano-investigations on 3-aminopropyltrimethoxysilane self-assembly-monolayers Thin Solid Films 2005 483(1-2) p 306-311

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

5 Xiao S-J et al Covalent Attachment of Cell-Adhesive (Arg-Gly-Asp)-Containing Peptides to Titanium Surfaces Langmuir 1998 14(19) p 5507-5516

6 Queeney KT YJ Chabal and K Raghavachari Role of interdimer interactions in NH3 dissociation on Si(100)-(2 x 1) Physical Review Letters 2001 86(6) p 1046-1049

7 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

8 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

9 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air 1994 76(4) p 2157-2163

10 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

11 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

12 BROKER B SILICON SURFACE FUNCTIONALIZATION FOR DNA ATTACHMENT in Department of Physics and Astronomy 2006 Rutgers University

Piscataway 13 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 14 Shen G MFG Anand and R Levicky X-ray photoelectron spectroscopy and

infrared spectroscopy study of maleimide-activated supports for immobilization of oligodeoxyribonucleotides Nucleic Acids Research 2004 32(20) p 5973-5980

15 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2 interface Physical Review B 2003 67(24) p 245305

16 Michalak DJ et al Infrared spectroscopic investigation of the reaction of hydrogen-terminated (111)-oriented silicon surfaces with liquid methanol Journal of Physical Chemistry B 2006 110(41) p 20426-20434

17 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

93

18 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

19 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

20 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

21 Socrates G Infrared Charateristics Group Frequencies 1997 22 Bitzer T T Alkunshalie and NV Richardson An HREELS investigation of the

adsorption of benzoic acid and aniline on Si(100)-2 x 1 Surface Science Vibrations at Surfaces 1996 368(1-3) p 202-207 23 Rummel RM and C Ziegler Room temperature adsorption of aniline (C6H5NH2)

on Si(100)(2x1) observed with scanning tunneling microscopy Surface Science 1998 418(1) p 303-313

24 Kugler T et al Chemically modified semiconductor surfaces 14-phenylenediamine on Si(100) Surface Science 1992 260(1-3) p 64-74

25 Schreiber F Structure and growth of self-assembling monolayers Progress in Surface Science 2000 65(5-8) p 151-256

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

28 Shirahata N et al Interfacial observation of an alkylsilane self-assembled monolayer on hydrogen-terminated Si Langmuir 2004 20(20) p 8942-8946

29 Xu DS et al Hydrolysis and silanization of the hydrosilicon surface of freshly prepared porous silicon by an amine catalytic reaction New Journal of Chemistry 2003 27(2) p 300-306

30 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

31 White LD and CP Tripp An infrared study of the amine-catalyzed reaction of methoxymethylsilanes with silica 2000 227(1) p 237-243

32 White LD and CP Tripp Reaction of (3-aminopropyl)dimethylethoxysilane with amine catalysts on silica surfaces Journal of Colloid and Interface Science 2000 232(2) p 400-407

33 Rivillon S RT Brewer and YJ Chabal Water reaction with chlorine-terminated silicon (111) and (100) surfaces Applied Physics Letters 2005 87(17)

34 Zhang L K Wesley and S Jiang Molecular Simulation Study of Alkyl Monolayers on Si(111) Langmuir 2001 17(20) p 6275-6281

35 Wu B G Mao and KYS Ng Stepwise adsorption of a long trichlorosilane and a short aminosilane Colloids and Surfaces A Physicochemical and Engineering Aspects 2000 162(1-3) p 203-213

94

36 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

37 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

38 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

39 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

95

5 Chapter 5 Alkylation of H-Terminated Silicon (111)

and Thermal Stability of SAMs with Different

Functionalities

51 Introduction The formation of well-defined and densely packed organic monolayers on semiconductor

surface has received increasing interests in the variety field from micronano-electronics

biosensor and biological attachments There are two main categories of methods for

forming organic layers on silicon One is silane-based SAMs via Si-O-Si bond forming

between silane on oxidized silicon and other is alkene-based SAMS via a Si-C on a H-

terminated silicon Other types of SAMs form via Si-N or Si-O-C are not dicussed here

The major drawbacks of silane-based SAMs (chloro- or alkoxy-) on oxide silicon are

the reproducibility in the synthesis and its stability The Si-O bond formed on the interface

of SAMSiO2 is susceptible to hydrolysis and is thermally liable The extensive studies

have shown that the preparation of trichlorosilane or trialkoxylsilane on silicon oxide is

very sensitive to the environment parameters such as water content humidity temperature

solution histories history of glassware resulting in the reproducibility problem under the

regular lab condition

The formation of well-defined and densely packed organic monolayers directly

attached to single crystalline silicon surface without interfacial silicon oxide layer has

received increasing interests since its invention A highly organized monolayer through

highly stable Si-C bonds can be prepared by the reaction of alkenes with H-terminated

silicon thermally photochemically or catalyticly Because of its ability to tailor the surface

for different applications SAMs with a variety of functionalities have been widely

investigated in their formation interfacial structure and decomposition In addition in the

field of farication of semiconductor and electronic devices many processes involve high

temperature anneals Therefore the thermal behavior of organic SAMs is important for

96

applications such as organicinorganic hydride device micro-nano- patterning selective

Atomic Layer Deposition (ALD)

In this chapter the formation structure and thermal stability of two types of organic

monolayers (-CH3 SAM and ndashCOOH SAM) thermally grafted on H-terminated Si (111)

are studied by infrared spectroscopy

52 Experimental

521 Materials

Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular seive 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional cross-linker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and with nitrogen bubbling for at least 1

hour in order to remove the dissolved water and oxygen before use

522 Preparation of Self Assembled Monolayers

5221 Preparation of H- Terminated Si (111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ-cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

97

123

45 6

78

91 10

23

45 6

78

911

N2 flow

cold water

Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)

peroxide at the ratio 31 at 95Cdeg for 25 minutes to remove the surface contamination

following by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into N2 purged FTIR spectrometer

chamber for taking reference spectra or into a N2 purged glove box where all further

chemical functionalizations were conducted

5222 Preparation of ndashCH3 Terminated Si (111) surface

Neat octadecene was pre-treated with 3Aring molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs without oxidizing the silicon surface

After transferring the octadecene liquid into the three-necked flask loading H-Si (111)

sample and assembling the condenser tube in the N2 glove box the whole set was sealed

and moved out from N2 glove box to a hood The octadecene was then continuously purged

with N2 (g) for additional 30 min before heating it up to reaction temperature at 200 degC in a

silicon oil bath

98

5223 Preparation of -COOH Terminated Si (111) surface

Neat 1-undecylnic acid was pre-deoxygenated for at least 1 hour while heated at 50 degC

(keep it from freezing) and then cooled to room temperature The freshly prepared silicon

H-Si (111) was then placed under N2 in a Schlenk three-necked flask containing a

previously deoxygenated neat undecylnic acid and allowed to react at 120 degC for 2 hours

The excess unreacted reagent was removed after the reaction and the sample was rinsed

with THF dichloromethane hot 10 acetic acid (at 80 degC) and DI water and then dried

under the stream of N2 (g)

53 Results

531 Preparation of Octadecylated Si (111) Surface

Figure 52 shows the typical transmission infrared spectra of freshly-octadecylated Si(111)

surface prepared by immersion of hydrogen-terminated Si(111) into the neat 1-octadecene

for 2 hours at 200 degC [1 2] The spectra acquired from two different incident angles of the

IR beam 74deg and 10deg off normal These spectra are referenced relatively to the

freshly-etched H-Si (111) surface so the negative peaks in the spectra represent features of

H-Si (111) surface removed during the alkylation reaction For the spectra collected at 74deg

off normal (Brewster angle) the negative sharp peak of Si-H stretching mode at 2083 cm-1

and Si-H bending mode at 628 cm-1 indicates removal of H atoms from surface The sharp

negative peak at 2083 cm-1 is not observed when probed with IR incident angle of 10deg off

normal while the Si-H bending mode appeared slightly more negative indicating that Si-H

bond is perpendicular to the Si(111) surface The broad low intensity peak at 2073 cm-1

shown in the spectra referenced against the oxidized surface (inserted in a small left panel)

indicates that the alkylation is not completed which is in agreement with at most ~ 50

surface Si-H which can be grafted due to the steric limitation for alkyl chain with more than

one carbon on Si (111) surface [3]

A rather low surface oxidization is observed as indicated by the weak broad peaks

centered at 1018 cm-1 with FWHM 83 plusmn 2 cm-1 in the region of 900-1100 cm-1 The

effective thickness of Si-O is less than 01 nm estimated by comparing the integrated area

99

of broad Si-O-Si phonon modes to the IR spectra of sample that has been oxidized using

standard RCA cleaning (SC-1 10min immersion in a solution of 114 30 H2O230

NH4OHDionized water at 80degC followed by a water rinse and a SC-2 clean 10min

immersion in a solution of 114 30 H2O2 39 HClDeionized water at 80degC) The RCA

clean has been shown previously to produce an oxidized layer of ~ 1 nm [4] After

alkylation the area of Si-O (~00233 centered at 1018 cm-1) corresponds to less than ~10

of regular oxide prepared from RCA method The lack of typical shape (LOTO) of

oxidized peaks at 1000-1200 cm-1 from RCA clean suggests that Si-O probably exists in

isolated Si-O form

The attachment of alkyl chain to the Si(111) surface is confirmed by the positive peaks

at 2800-3000 cm-1 29192850 cm-1 are assigned to the asymmetric and symmetric

stretching modes of CH2 group and 29662879 cm-1 are assigned to the asymmetric and

symmetric stretching modes of CH3 group respectively The peak at 1467 cm-1 is assigned

to the corresponding scissor mode of CH2 groups The υa (CH2) band is indicator of

packing of linear alkyl chains in the monolayers [5] the denser packing results in lower

wavenumber It is known that this band shifts from 2928 to 2920 cm-1 as the alkyl chains

going from disordered liquid phase to ordered solid phase[6] So the observed peak at

2919 cm-1 suggests the alkyl chains are ordered densely packed In fact as shown in the

Figure 53 the integrated area of stretching modes of hydrocarbon representing the

amount of alkyl chains on the surface is nearly linearly correlated with the wavenumber of

υa (CH2) band The denser packing indicated by the higher integrated area of stretching

modes of carbon-hydrogen corresponds to the lower wavenumber of peak of υa(CH2)

The weak band at ~ 678 cm-1 is assigned to the surface Si-C stretching mode The fact

that it disappeared from the spectra when IR beam incident angle is 10deg indicates that Si-C

bond is normal to the surface However it is worth to note that Si-C peak is quite difficult

to see since it is imposed with nearby strong silicon phonon mode at 610 cm-1 Si-H

bending mode at 626 cm-1 and CO2 at 667 cm-1 A careful spectra reprocess is needed in

order to remove other irrelatated bands

100

Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene

FTIR Spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-octadecene for 2 hours at 200 degC The spectra were measured at 74deg (top) and 10deg (bottom) off normal as indicated Both are referenced relative to the freshly-etched H-Si(111) surface

101

Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains

The packing density is represented by the integrated area of stretching modes of carbon-hydrogen It clearly shows that the denser packing of SAM leads to better ordered layer

102

532 Preparation of COOH-Terminated Monolayer on Si(111) Surface

via a Si-C bond

Figure 54 shows transmission infrared spectrum of COOH-terminated Si(111) through

Si-C bond on Si(111) surface prepared by immersion of hydrogen-terminated Si(111) into

the neat 1-undecylenic acid for 2 hours at 120 degC This is similar with the method applied

to porous silicon surface [7] The negative sharp peak of Si-H stretching mode at 20831

cm-1 and Si-H bending mode at 6267 cm-1 clearly indicates removal of H atoms from

surface

The strong peak appears at ~1718 cm-1 (FWHM 239 plusmn 03 cm-1) which is a

characteristics of a carboxylic acid is assigned to the stretching vibration mode of C=O of

a carboxylic acid The broad shoulder of this peak may contain weak stretching mode C=C

at 1634 cm-1 and stretching mode of C=O in an ester form Si-O-C(O)-R at ~1740 cm-1

Although both alkenes (C=C) and carboxyl group (-COOH) may react with H-Si(111) [8]

here we cannot completely exclude the possibility of reaction between COOH and surface

Si-H and exactly estimate the percentage of reaction through this pathway The intense

peak at 1718 cm-1 and the absence of Si-O-C at ~1100 cm-1 strongly indicate that most of

the surface Si-H was consumed by a hydrosilylation reaction with C=C rather than thermal

reaction with the hydroxyl group of acid function In addition the clear peaks at 1278 and

1413 cm-1 assigned to C-OH stretching and C-O-H bending also suggest that the acid end

group is left intact after the reaction This is similar with what reported that the dominant

hydrosilylation is observed in the reaction between undecylenic acid and porous silicon

[7]

The major bands between 2800-3100 cm-1 are directly assigned to the asymmetric and

symmetric methylene stretching υαs(CH2) at 2926 and υs(CH2) at 2854 cm-1 respectively

It is known that the asymmetric stretching mode of methylene an indicator of surface

monolayer packing order shifts from 2928 to 2919 cm-1 as the phase of monolayer shifts

from disordered liquid phase to ordered crystal phase [6] Therefore the above observed

value indicates that the COOH-terminated monolayers formed from undecylenic acid are

not as orderly and compact as long chains of CH3- terminated n-alkyl monolayers

103

Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid

Transmission infrared absorbance spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-Undecylenic Acid for 2hours at 200 Cdeg The spectrum was measured at 74deg off normal and is referenced relative to the freshly-etched H-Si(111) surface

104

Although there are other indirect methods for forming COOH- terminated monolayers ie

by hydrolysis of ester-terminated monolayers on silicon[9 10] these methods still can not

produce monolayer as orderly as n-alkyl monolayers because the hydrolysis of ester group

usually is not complete[11]

Finally the weak band at the 900-1200 cm-1 indicates a very low concentration of

Si-O-Si or Si-O-C the surface is essentially oxide free Compared to the reaction of

n-alkylation of Si(111) using 1-octadecene there is usually a certain small amount of oxide

(~10 equivalent of the chemical oxide formed by standard RCA cleaning with effective

thickness ~ 1 A) that is formed during the similar thermal reaction condition a common

problem in hydrosilylation [2] One explanation of this largely suppressed oxide formation

is that the residual water a cause of oxide formation during alkylation is trapped by

undecylenic acid [12] This chemical modification method is especially useful in the place

where oxide is unwanted Meanwhile the chemically reactive acid groupsrsquo termination

leaves the surface available for being further tailored to meet the requirements of different

applications

533 Chemical Stability Resistance of Organic Monolayer via a Si-C

bond to HFNH4F

Figure 55 shows the FTIR spectral changes of Octadecylated Si(111) after immersed

in the 10 HF solution for 30 second and 40 NH4F solution for 90 second (a typical

recipe used for removing silicon oxide and preparing atomic flat H-Si(111) surface) The

SAM has high resistance toward HFNH4F etching Not only the hydrocarbon has very tiny

loss but also the silicon oxide under SAM formed during alkylation is significantly

protected by organic layer This suggests that SAM is densely packed over the whole

surface and behaves like a very good barrier to prevent HF and NH4F from attacking the

sub-oxide of substrate This also indicates that the oxide must exit as local small patches

surrounded by alkyl chains otherwise the area without alkyl chains protection would be

etched away by HF

105

534 Thermal Stability of Octadecylated Si(111)

Figure 56 shows the typical FTIR data after octadecylated Si (111) annealed at stepped

increasing temperature from 100 to 400 degC In the Figure 56a all the spectra are

referenced against freshly SAM modified Si (111) surface As indicated by the stretching

modes of carbon hydrogen at 2800-3000 cm-1 the loss of alkyl chains starts at 150 degC This

is consistent with the results reported by Fauxheus [13] in the nitrogen environment and

Sung in the ultra vacuum environment [14] With the gradual loss of alkyl chains a peak

appears at 2080 cm-1 corresponding to the stretching mode of surface Si-H Its intensity

increases with increased annealing temperature until 350degC Further annealing to the

higher temperature 400 degC the intensity of Si-H stretching mode starts to decrease with

appearance of new peak at 2269 cm-1 which is assigned to stretching mode of H-Si with

the insertion of oxygen into the Si backbonds H-SiOx species At the same time the CH

stretching mode completely disappeared indicating complete desorption of grafted

alkyl-chains (for clarity the same spectra referenced to clean H-Si(111) are shown in the

Figure 57a) These results directly indicate that alkyl chains are desorbed as a whole with

breaking of surface Si-C bond and regeneration of Si-H in accordance with the desorption

mechanism based on results from UHV conditions[14] Consistent with appearance of

H-SiOx at higher annealing temperature above 400degC there is no oxidization of silicon

substrate observed below 400 degC which indicates that the alkyl chains or H-Si may protect

the surface from oxidization In contrast to Fauxheus et al we do clearly see the formation

of Si-H at 2080 cm-1 and do not observe the oxidization of Si or significant insertion of

oxygen into Si back-bond below 350 degC even in the normal N2 purged environments

Consider that the spectra were referenced against freshly-SAM grafted Si (111) surface

with 20-50 Si-H site untouched the positive peak at 2080 cm-1 indicates there is more

Si-H newly formed during the thermal decomposition of alkyl-chains on the surface than

on the starting freshly-alkylated Si (111) surface

In the Figure 56b the same data as Figure 56a is presented in the different manner

for each spectrum the reference spectrum is the one taken right after heating at the

preceding temperature We can clearly see the biggest loss that occurs during 250 - 300 degC

The amount of loss of CHx is proportional to the increasing intensity of Si-H band In

106

Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution

FTIR Spectra of Octadecylated SAM were obtained before and after exposure to HF (30s) and NH4F (90s) The top spectra are referenced against to Octadecylated SAM before HFNH4F treatment and bottom one are referenced relative to fresh H-Si(111)

107

Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure N2 gas from 100 to 400 degC Transmission infrared spectra were collected at 60 degC after each successive annealing with incident angle 70deg off normal The duration of heating at each temperature is 15min a) All spectra are referenced relative to fresh octadylated Si(111) surface at 60degC b) For each spectrum the reference spectrum is the one taken right after heating at the preceding temperature (differential spectra)

108

Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated Si(111) sample

a FTIR spectra of region of C-H stretching after annealing at different temperature b The dash line plots the integrated peak area of C-H stretching modes as a function of annealing temperature The points are normalized by the peak area measured prior to annealing

109

addition the sharper Si-H peak after heating from 300 to 350degC may suggest that Si-H

continues form and is less likely disturbed by the nearby environment due to removal of

more surrounding alkyl chains from the surface

The desorption behavior of octadecylated Si (111) is summarized in the Figure 57b

The dash line plots the integrated peak area normalized by the peak area of C-H stretching

modes prior to annealing as a function of annealing temperature In contrast to Sungrsquos work

at UHV condition we do not observe the alkenes probably due to the removal of alkenes

by continuous N2 purge flow during the annealing processes

535 Thermal Stability of COOH-Terminated-Si (111)

Figure 58 shows typical FTIR data after COOH-terminated Si (111) annealed at

stepped increasing temperature from 100 to 500degC In Figure 58a all the spectra are

referenced relative to freshly-grafted COOH- terminated Si (111) prior to annealing In

Figure 58b the same data as Figure 58a are presented in the differential manner ie for

each spectrum the reference spectrum is the one taken right after heating at the preceding

temperature

The peak of C=O stretching mode at 1714 cm-1 is very first mode to start decreasing in

intensity at 150 degC and a weak new peak appears at slightly higher frequency 1740 cm-1

This shift of C=O mode maybe due to the breaking of hydrogen bond between COOH-

groups upon annealing It further decreased after annealing at 200 degC simultaneously two

new peaks appeared at 1756 1823 cm-1 which can be possibility assigned to formation of

C(O)-O-C(O) between nearby COOH- groups although this reaction may not account for

all the observed loss of intensity of 1714 cm-1 A possible breaking of C=O may be also

undergoing The peaks at 1756 and 1823 cm-1 disappear after annealed at 350degC

At the 300degC the intensity of carbon-hydrogen stretching modes starts decrease and

the biggest loss occurs in the range of 400-450 degC There is no further change in intensity of

CH2 stretching mode from 450 to 500 degC indicating no further loss of alkyl chains

Comparing to the octadelyated Si(111) as we discussed earlier the COOH terminated

monolayers has higher thermal stability than n-alkylated Si(111) surface where alkyl

chains is completely desorbed from the surface at 400 degC (Note the difference of chains

110

length can not account for this higher thermal stability as the desorption donrsquot significantly

depend on alkyl chain length in the range from C6 to C18[13])

Accompanied with the loss of alkyl chains a new peak at 2072 cm-1 appears which is

assigned straightforwardly to Si-H stretching This strongly indicates that alkyl chains are

disassociated as a whole by cleavage of Si-C and regeneration of Si-H on the surface

Further annealing at 450 degC and higher temperature the intensity of Si-H stretching mode

decreases and a weak peak appears at 2270 cm-1 which is previously assigned to SiH with

insertion of oxygen into the Si-Si backbonds At the same time a broad weak band appears

in the region 900-1200 cm-1 representing the formation of SiO (with effective thickness 2

Ǻ or ~20 coverage in the form of islets of SiO) This evidence indicates that the surface is

only partially oxidized and part of surface is still covered with H-Si in the form of H-SiOx

after annealing at 500 degC

For clarity we also include the transmission infrared data recorded ex-situ using DTGS

detector with 74deg off normal incident angle transmission geometry As shown in Figure 59

the annealed COOH-SAMSi (111) is referenced against to clean H-Si (111) and

freshly-grafted COOH-SAM prior to heating respectively After hydrosilylation and

annealing there is a weak broad peak at 1664 cm-1 and 2269 cm-1 in consistent with in-situ

data And there is only less than ~5 carbon hydrogen remained on the surface As shown

in Figure 59c the negative peak of Si-H stretching mode at 2073 cm-1 has integrated area

~0007 cm-1 (~50 of integrated area of a full H-terminated Si(111) surface) implying that

there was at least ~50 of Si-H presenting on the freshly-grafted COOH-terminated

Si(111) before annealing which is in agreement with that the fact at most ~50 surface

Si-H of H-Si(111) can be substituted with alkyl chains due to the steric limit [3]

111

Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c

112

Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111) FTIR Spectra is recorded ex situ before and after COOH-terminatedSi(111) samples is annealed inside the chamber The spectra were measured at 74deg off normal using DTGS detector a freshly-grafted COOH-SAMSi(111) b annealed COOH-SAM Si(111) referenced relative to freshly-etched H-Si(111) c annealed COOH-SAM Si(111) referenced relative to freshly-grafted COOH-SAMSi(111)

113

54 Discussion

541 Alkyl Packing on the H-Si (111) surface (Theory and Model)

By comparing FTIR spectra of a freshly grafted n-alkylated Si (111) surface with spectum

of fresh H-Si (111) surface (both are referenced to SiO2 surafce) the area under the Si-H

stretching (2083cm-1) on surface revealed that 30 H-Si remains Because a slightly

oxidization is also observed during alkylation 70 is an upper limit of percentage of

hydrogen replaced by Si-C linkage In theory the maximum coverage is 50 due to the

steric interaction between fully extended chains The ordering of alkyl chains increases as

thee packing density increases The percentage of remained surface H-Si after alkylation is

~ 50 for ndashCOOH terminated surface where nearly no oxidization is discernable in the

infrared spectra This is well consistent with the theoretical calculation [15]

542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111)

We demonstrated that ndashCH3 terminated Alkyl C18 chain on silicon (111) is thermally

stable up to 150 degC Starting from 150 degC the chains start to desorb from the surface The

maximum loss of hydrocarbon occurs between 250 to 300 degC Accompanying with the loss

of hydrocarbon chains (indicated by the integrated area of CH stretching mode at

2800-3000 cm-1) a peak at 2076 cm-1 attributed to Si-H stretching mode appears

simultaneously and increases steadily in the intensity up to 350 degC Further annealing at

higher temperature above 350 degC results in loss of Si-H and reappearing of peak at 2260

cm-1 (H-SiO3) results in complete loss of hydrocarbon and appearance of a small broad

Si-O peak at ~1140 cm-1 This indicates the surface is not oxidized until annealing over 350

degC and the oxidization occurs in the way of insertion of oxygen into the Si-Si back-bond of

H-Si

A desorption mechanism has been proposed earlier for thermal decomposition of alkyl

Si(111) in the UHV environment [14] the alkyl chains are desorbed as a whole by cleavage

of surface Si-C bond accompanied by regeneration of the alkenes amd surface Si-H

114

31222322 )( )( CHCHCHCHHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (1)

Alternatively another competing pathway has also been proposed where Si-CH3 instead

of Si-H remains on the surface with cleavage of C-C bond However it was considered as a

minority mechanism [13]

322223322 )( )( CHCHCHCHCHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (2)

Our results obtained from annealing under N2 environment is consistent with the HREEL

data in UHV conditions[14] It directly supports that the alkyl chains are desorbed via

cleavage of Si-C bond with re-formation of surface Si-H The increase of Si-H peak

intensity cannot be explained by the removal of nearby alkyl chain in other mechanism (eg

pathway (2)) without cleavage of Si-C bond Because if pathway 2 is dominated remaining

Si-CH3 would still disrupt the dipole coupling of Si-H and we would not see the increasing

of Si-H mode Indeed the peak position of Si-H is observed at 2080 cm-1 with a shape of

sharp spike suggests that local formation of dipole coupling between neighboring Si-H

groups on a terrace It has been previously shown that Si-H stretching modes is red shifted

from 2083 cm-1 to 2073 cm-1 and broadened due to disruption of dipole coupling of Si-H on

a terrace [16-18] In this picture the amount of removed alkyl chains is proportional to the

re-formed Si-H The presence of Si-H suggests that desorption of the alkyl monolayer

occurs through βminushydride elimination

Annealing above 350 degC and after ~80 alkyl chains are removed Si-H surface starts

to be oxidized by insertion of oxygen into the Si-Si back-bond The source of oxygen may

be due to impurity oxidant in either purged N2 gas or water absorbed inside of chamber

The appearance of H-SiO3 is consistent with previous study of oxidization of hydrogen

terminated silicon with presence of oxidant For instance a study of reaction of O2 with

H-Si(111) found that no oxygen initially inserted into Si-H bond to form Si-OH instead

various H-SiOx species formed [19 20] Another study of water reaction on H-Si(100)

under ultrahigh vacuum has shown that oxidization of Si-Si bonds occurs at both room

115

temperature and elevated temperatures but without breaking Si-H bond likely due to

14eV stronger bond energy of Si-H than bulk Si-Si[21]

The slow oxidization of surface indicated by the small Si-O peaks at 1000-1300 cm-1

and H-SiO3 peak at 2260 cm-1 maybe attributed to the minimized oxidant impurity and

stability of H-Si surface They are not sufficient to drive fast oxidization at the annealing

temperature A previous study of initial stage of oxidization of hydrogen-terminated

silicon in the water or humidity air proposed two-stage oxidization with different

oxidization rate [22 23] The Si-Si back-bond instead of Si-H is first attacked by attracted

water molecule to form H-SiOx and the oxidization is slow (Induction Period) As Si-OH

coverage increasing it could join with adjacent Si-OH to form Si-O-Si bridge groups

These groups are argued to be responsible to more rapid oxidization at the second stage [22

23]

543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)

Comparing to n-alkyl Si(111) SAM COOH-SAMSi(111) shows higher thermal stability

The -CH2- stretching mode of alkyl chains of ndashCOOH SAM only has minor changes upon

annealing to 350 degC while at the same temperature ~80 alkyl chains is removed from

n-alkylated Si(111) surface The largest loss of -CH2- occurs between 400ndash450degC The

infrared spectra clearly show that a chemical transformation of carboxyl-termination prior

to the thermal desorption of hydrogen-carbon chains occurs At the lower temperature

between 200-250degC a decrease of integrated area of C=O mode and the simultaneous

appearance of two peaks at 1726 and 1823 cm-1 suggest a formation of anhydride from

condensation of two neighboring COOH groups (Figure 510)[24] With increasing

temperature to 300degC the largest loss of C=O occurs and the peaks at 17261823 cm-1

remain nearly unchanged instead of increasing This may be explained as anhydride group

became unstable at this temperature The fact that no spectroscopic feature related with

C=O (1400-1700 cm-1) is observed suggests the C=O is chemically transformed or

removed from surface

116

In the most recent independent study of Faucheux et al [25])2 they postulated that C-C

bond between the alkyl skeleton and the anhydride group will break first due to the C-C

bond polarization upon annealing at this temperature range 250-300 degC leaving a saturated

hydrocarbon chains bond to two surface silicon They then attributed the enhanced thermal

stability to the formation of this type of paired chain structure Although this two-end chain

mode seems reasonabe to explain observed enhanced stability there several issues with

this mechanism it lacks direct evidence that how C-C bond forms between two

neighboring chains In addition the steric interaction between chains was not considered

and it may prevent formation C-C bridge on the top of chains (C-C ~154 Aring)

Our results show a similar behavior except that the loss of majority of C=O occurs at

250-300 degC while they are observed at 200-250 degC We observed that anhydride formed at

250 degC is completely removed upon annealing to 350degC while they observed it at lower

temperature at 300 degC3

Anhydrides are formed by dehydration of carboxylic acid with eliminating water

between two carboxylic acid groups Anhydride is pretty reactive acid-derivative like acid

chlorides but less reactive than acid chlorides anhydride can undergo many of the same

kinds of reaction as acid chlorides In our experiment at 250degC ~25 C=O carboxyl

stopped accompaning with the formation of anhydride While at 300degC additional ~40

C=O was lost while there were no more anhydride forms accompanied with the loss of

hydrocarbon It could be either due to the instability of anhydride or there maybe exist

other mechanism accounted for the loss of C=O annealing at this temperature For instance

they could be attributed to removal of single non-paired carboxyl chain by cleavage of Si-C

bond This is consistent with what is found early in the case of n-alkylated Si(111)

annealing at 250-300 degC leads to removal of majority alkyl chains by cleavage of Si-C

R-COOH + R-COOH + heat --gt R-C(O)OC(O)-R + H2O

2 Our work is carried independently with their research At the time we have all data and prepared for a publication they published their results right before us 3 Note the temperature range difference between us may be attributed to the way to measure sample temperature

117

Furthermore we notice that the quantitative analysis of our experimental data in Figure

511 has a very similar pattern to the simulated result of desorption of two-end chains[25]

Similar to the thermal decomposition of n-alkyl chains on Si(111) a increase in Si-H

stretching mode accompanying with loss of -CH2- indicates that desorption of the alkyl

monolayer occurs through β-hydride elimination In contrast to thermal decomposition of

n-alkyl chains on Si(111) where the loss of H-Si and appearance of H-SiO3 is observed

upon annealing to 400 degC H-Si stretching mode decreases while H-SiO3 is barely observed

during the process of heating COOH-SAM The Si-H starts to decrease when annealing

temperature is as lower as 250 degC This might be due to the excessive oxidant existed on the

surface with hydrophilic ndashCOOH groups(eg release of pre- hydrogen bonded water upon

heating or H2O formed from transformation of carboxyl to anhydride) And the larger

amount of Si-O is observed in the range 1000-1300 cm-1 suggesting more silicon

oxidization presenting on the annealed COOH-SAM surface This higher degree of

oxidization and lack of intermediate product H-SiO3 probably is due to exist of oxidant

from decomposition products (H2O) of carboxyl group

Based on this evidence we propose the following mechanism as shown in Figure 510

At the lower temperature (150-250 degC) -COOH functional groups are undergoing

chemical transformation to anhydride at the place where two nearby ndashCOOH exist With

temperature increasing to 250-300 degC the single carboxyl alkyl chain that has no chance to

form anhydride will be removed from the surface with the removal of whole alkyl chains

through Si-C cleavage Further annealing at 350 degC leads to degradation of anhydride and

may form bridge C-C or C-O-C connecting two neighboring chains and release CO and

CO2 The paired chains have higher thermal stability can be desorbed from surface until

annealing to 400 degC and above

118

Si

OHO

Si

OOH

Si

OO

Si

O

CO2CO

O

SiSi

Figure 510 Possible Reaction during Annealing

Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen environment

Quantitative analysis of the change of integrated area stretching mode of CHx of carboxyl-C12 SAM and n-alkylated C18 SAM (Note here length of chains is not matter But for a more rigorous discussion a result from C12-SAM will be given later) Both are normalized to area of CH2 from fresh grafted SAM

119

54 Conclusion We demonstrated that organic self-assembled monolayers (with -CH3 and ndashCOOH

terminal groups) can be thermally grafted on the H-Si (111) via a Si-C bond There is much

less surface oxidation occurring during the formation of ndashCOOH SAM than that of ndashCH3

SAM possibly because trapping of trace of oxidant (ie H2O) by the -COOH terminal

group prevents it from attacking silicon substrate The ndashCH3 SAM shows crystal-like

ordered structure The ordering of alkyl chains of ndashCH3 SAM depends on the packing

density the higher packing density the better ordering is Grafted ndashCOOH SAM is found

less ordered than ndashCH3 SAM possibly due to the interaction between the ndashCOOH head

groups

The study of thermal stability of the organic layers by annealing samples under N2

atmospheres shows that both types of SAMs desorp from surface through cleavage of Si-C

bond (β-hydride elimination reaction) -CH3 SAM is thermally stable up to 200degC

Annealing to higher temperature results in desorption of alkyl chains from surface

accompanied with re-formation of Si-H Further annealing to higher temperature at 400degC

hydrocarbon is completely removed from surface confirmed by the FTIR spectra and final

surface is partially oxidized with insertion of oxygen into the Si-H back-bond

COOH-SAM shows enhanced thermal stability compared with o ndashCH3 SAM The

alkyl chains are thermally stable up to 350 degC and are completely desorped from surface

after annealing at 450 degC COOH functional group is found to play a role in enhancing

thermal stability of layers It is found that anhydride forms between -COOH groups of two

neighboring chains before the desorption of alkyl chains occurs The elimination of the

anhydride by anealing at 300-350degC may lead to formation of an end-paring of the grafted

chains with C-O-C or C-C bridging on the top of chains The end-pairing chains

correspond to the enhanced thermail stability

120

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

4 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

5 Porter MD et al Spontaneously organized molecular assemblies 4 Structural characterization of n-alkyl thiol monolayers on gold by optical ellipsometry infrared spectroscopy and electrochemistry J Am Chem Soc 1987 109(12) p 3559-3568

6 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

7 Boukherroub R et al Thermal hydrosilylation of undecylenic acid with porous silicon Journal of the Electrochemical Society 2002 149(2) p H59-H63

8 Asanuma H GP Lopinski and H-Z Yu Kinetic Control of the Photochemical Reactivity of Hydrogen-Terminated Silicon with Bifunctional Molecules Langmuir 2005 21(11) p 5013-5018

9 Sieval AB et al Highly stable Si-C linked functionalized monolayers on the silicon (100) surface Langmuir 1998 14(7) p 1759-1768

10 Boukherroub R et al New synthetic routes to alkyl monolayers on the Si(111) surface Langmuir 1999 15(11) p 3831-3835

11 Liu YJ NM Navasero and HZ Yu Structure and reactivity of mixed co-carboxyalkylalkyl monolayers on silicon ATR-FTIR spectroscopy and contact angle titration Langmuir 2004 20(10) p 4039-4050

12 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

13 Faucheux A et al Thermal decomposition of alkyl monolayers covalently grafted on (111) silicon Applied Physics Letters 2006 88(19)

14 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

15 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

16 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

121

17 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

18 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

19 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

20 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

21 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air Journal of Applied Physics 1994 76(4) p 2157-2163

22 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

23 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

24 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 25 Faucheux A et al Mechanisms of thermal decomposition of organic monolayers

grafted on (111) silicon Langmuir 2007 23(3) p 1326-1332

122

6 Chapter 6 Selective Atomic Layer Deposition (ALD) of

Metal Oxide on SAMs with Different Functional Groups

61 Introduction

Scaling down of integrated circuits requires the replacement of SiO2 gate dielectric in

the field effect transistor because leakage current exponentially increases with decreasing

SiO2 film thickness and results in device failure The high- and low- permittivity dielectrics

are been investigated as a substitutes of SiO2 for years The deposition of high quality

high-k film on the demanding geometry is essential for the applications Aomic layer

deposition (ALD) is the one which can meet these requirements

ALD is a powerful ultra-thin film deposition technique offering excellent large-area

uniformity and conformality It enables accurate control of film thickness composition

with demanding geometry at the atomic level The ALD process uses molecular precursors

such as metal complex for growth of metal-oxide film Each precursor behaves as a

self-limiting reaction at the surface and is deposited in monolayer per cycle The film

quality and growth rate of ALD process greatly depend on the initial surface chemical

condition A surface with proper chemical state is required for molecular precursor to

nucleate on the surface and to initiate a well-controlled linear growth

For this purpose a thin SiO2 surface terminated with hydroxyl group was used to as

starting surface for high-k film deposition which actually partially compromises the

advantage of using high-k by reducing the effective gate stack capacitance Recently ALD

of high-k has been found to be able to perform on oxide-free H-passivated silicon (HSi)

[1-4] However because silicon has high affinity toward oxygen interfacial SiO2 is easily

formed between the silicon and high-k layers during the ALD process[1 2] in spite that

H-passivated silicon shows high resistance to oxidization under normal lab condition in

123

ambient environment and even in pure O2 or H2O environment at temperature as high as

300degC ([2 5]) Furthermore H-terminated Si has relatively poor nucleation characteristics

comparing to hydroxyl terminated SiO2 surface resulting in non-linear film growth and

formation of discontinuous and electrically leaky gate stack To solve the problem of poor

nucleation H-terminated silicon surface a starting surface with reactive nucleation sites

and good oxygen barrier is under the demand

Semiconductor passivated by alkyl chains through Si-C bond has shown high stability

in the air or water The SAMs serve as diffusion barrier to protect surface from oxidization

and other chemical reaction The surface cannot be oxidized in the air for months In this

work we explore to use alkyl-SAM as alternative solution for the ALD issues addressed

above First SAMs could provide a well-defined interface surface and act as a barrier for

oxidant diffusion Second at the same time by using broad available organic chemistry

methods SAMs can be easily tailored with different chemical functional groups in order to

meet different applications It can enhance the nucleation process and promote a linear

growth dynamics on the top of SAMs with reactive terminal groups such as hydroxyl and

carboxyl group It can be also used as passivated inert layer with -CH3 termianl group to

block unwanted reactions

Besides the direct applications of SAMs in ALD process SAMs can be used as model

surface to study organic-inorganic interface Organic-inorganic interface plays increasing

important role in molecular electronics micro-interconnect technology such as

carbon-based low-k dielectrics and metallicinorganic diffusion barrier However

elucidation of fundamental interaction at the interface is very difficult and not well

understood because of nature of complexity and lack of precisions for the organic surface

(such as organic polymer surface having mixed terminal groups) High quality and

well-ordered organic SAMs [6] provide a known surface density of uniformly organized

functional groups at the interface and ability to tailor surface properties by varying terminal

functional group

In this study we apply ALD of Al2O3 on SAMs surface with different terminal groups

including OH- NH2- COOH- CH3- The controllable surface nucleation is studied

including both substrate-enhanced growth and substrate-inhibited growth The interaction

between functional groups and TMA precursor has been studied systematically in this

124

study Because the effect of substrate on the nucleation and growth is seen in the beginning

of the ALD growth our current study focuses on the very first 10 ALD cycles

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

SiH

SiSiH

SiSiH

SiSiH

SiSiH

SiSiH

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

ALD

High k Metal Oxide

hydrosylation

Figure 61 SAM Assisted Atomic Layer Deposition of Metal

Oxide on Silicon Surface

125

62 Experimental

621 Materials Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular sieve 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional crosslinker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and N2(g) bubbling for at least 1 hour in

order to remove the dissolved water and oxygen before use

622 Preparation of Self Assembled Monolayers

6221 Preparation of H- Terminated Si(111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95Cdeg for at least 25 minutes to remove the surface

contamination following by thoroughly DI-water rinsing The cleaned sample was then

placed in the 10 HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the

silicon oxide layer and produce an atomic flat H- terminated Si(111) surface During the

etching step the wafer was agitated occasionally to remove the bubbles formed on the

surface After NH4F etching sample is rinsed thoroughly with DI water and dried under a

stream of N2 (g) The sample was then immediately removed into N2 purged FTIR

126

spectrometer chamber for taking reference spectra or into a N2 purged glove box where all

further chemical functionalizations were conducted

6222 Preparation of ndashCH3 Terminated Si (111) Surface

Neat octadecene was pre-treated with 3Ǻ molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs with minimized oxidization of the

silicon surface After transferring the octadecene liquid into the three-necked flask loading

H-Si (111) sample and assembling the condenser tube in the N2 glove box the whole set

was sealed and moved out from N2 glove box to a hood The octadecene was then

continuously purged with N2 (g) for additional 30 min before heating it up to reaction

temperature at 200 degC using silicon oil bath

6223 Preparation of -COOH Terminated Si (111) Surface

Neat undecylenic acid was pre-deoxygenated for at least 1 hour while heating at 50 degC and

then cooled to room temperature The freshly prepared silicon H-Si (111) was then placed

under N2 in a Schlenk three-necked flask containing the previously deoxygenated neat

undecylenic acid and allowed to react at 120degC for 2 hours The excess nonreacted reagent

was removed after the reaction and sample was rinsed with THF dichloromethane hot

10 acetic acid and DI water and then dried under a stream of N2 (g)

6224 Preparation of ndashOH Terminated Si (111) Surface

-OH terminated SAM is reduced from a ndashCOOH SAM by immersing freshly prepared

ndashCOOH SAMSi(111) into the 01M LiAlH4 in anhydrous THF for 6 hours After pouring

out the excess reaction solution sample was rinsed with THF and dried under a stream of

N2 (g)

6225 Preparation of ndashNH2 Terminated Si(111) Surface

Two routes were developed to prepare ndashNH2 Terminated Si(111) surface Both are

derivatives of ndashCOOH SAM Method I first utilizes conversion of the acid functional

group to succinimidyl ester as following freshly prepared ndashCOOH SAM was activated by

127

immersing into NHSEDC solution (NHS 01M and EDC 04M in deionized water) and

allowed to react at room temperature for 1 hour to form Si-C10COONHS surface The

resulting surface was rinsed copiously with deionized water and then dried under a stream

of N2 (g) This reaction is pretty robust and the completion of conversion is confirmed by

FTIR spectroscopy Second the NHS-terminated surface was then reacted with

ammonium by immersing into 01M ammonium solution in dioxane for 2 hours with

formation of Si-C10-CONH2 a primary amide terminated surface After reaction the

sample was rinsed copiously with THF and then dried under N2 stream The last step was

involved reducing primary amide to primary amine by immersing ndashCONH2 SAM into

01M LiAlH4-THF solution for 6 hours followed by rinsing with THF 10 HCl aqueous

solution and deionized water then dried under a stream of N2

Method II is chlorination-based method The routine is shown in the Figure 62 In a

N2 purged glove box freshly prepared ndashCOOH SAM was convert to ndashCOCl by immersing

into 01M oxalyl chloride solution prepared by adding 100μL oxalyl chloride (2M in

CH2Cl2) into 20ml anhydrous CH2Cl2 then add one drop of anhydrous DMF for 3 hours

After reaction is completed the -COCl terminated sample rinsed copiously with anhydrous

CH2Cl2 and let it naturally dried in N2 glove box Then sample was transferred into a clean

test tube sealed in the N2 glove box and transferred to another glove box for further amine

modification or to FTIR chamber for IR measurement The operation process must be

careful to avoid exposure to air or moisture The freshly prepared ndashCOCl SAM sample was

placed in the Teflon beaker containing 5ml 05M NH3 dioxane solution and 2ml anhydrous

triethylamine The beaker was then covered with Para film and left for overnight in the N2

glove box After the reaction the excess solution was poured out and sample was rinsed

with deionized water and then dried in N2 flow

The chemical reactivity of -NH2 terminated SAM was confirmed by reacting it with

PMPI a common cross-linker used to covalently immobilizing DNA or protein on the

ndashNH2 terminated surface The freshly prepared -NH2 SAM was placed in the PMPI

solution prepared from dissolving 50 mg PMPI power in 20ml anhydrous acetonitrile for

24 hours Sample was rinsed with excess solvent acetonitrile and dried in a stream of N2

128

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

PCl5

NH3

LiAlH4

Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM

623 Characterization of the Self-Assembled Monolayers Transmission Fourier transform infrared spectrometry (FTIR) was carried out in both

ex-situ and in-situ For ex-situ setup a Nicolet Magna 860 spectrometer equipped with

rotating sample holder and DTGS detector was used The spectra were recoded at 4 cm-1

resolution 1000 scans for each loop of total 5 loops The spectrometer was constantly

purged with N2 (g) while acquiring data

624 Atomic Layer Deposition Chamber Set Up UHV apparatus More detailed information with schematic drawing of ALD chamber and in-situ IR setup is

described in the Chapter 2

129

63 Results 631 Preparation and Characterization of COOH- terminated

SAMSi(111) (same as Chapter 5)

632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111)

Figure 63 shows FTIR spectra of freshly-grafted COOH-SAMSi (111) after exposure to

TMA for various times and Figure 64 is zoomed in region of 1300-1900 cm-1 The spectra

are presented in the differential manner for each spectrum the reference is the one taken

after preceding exposure Upon the first 1s exposure to TMA at 100 degC the stretching

mode C=O of acid group at 1714 cm-1 completely disappears with appearance of two

strong bands centered at 1477 1581 cm-1 with a shoulder at 1610 cm-1 They can be

assigned to the stretching mode of C=O in acid salt structures [7] The peak at 1217 cm-1 is

assigned to symmetric deformation CH3 modes of Al-CH3 previously [2] [8-10] and it is

not Si-CH3 bonding The corresponding CH3 asymmetric mode at 1467 cm-1 is within the

strong peak of the stretching mode of C=O and cannot be distinguished from the spectra

The peak at 706 cm-1 is attributed to the CH3 rocking modes of Al-(CH3)3 [11 12] and small

positive features at 2800-3000 cm-1 is assigned to the C-H stretch of Al-(CH3)3

Further exposure to TMA the spectra have only slightly changes at the region around

1580 cm-1 indicating most reactions on the surface are completed after the first TMA

exposure It is possibly due to peak shift resulting from continuous change of environment

of C=O and forms more salt-like structures [7] There is no more change at 1217 cm-1

indicating no more Al-(CH3)3 absorbed or desorbed from the surface This self-terminating

growth is an important condition for ALD growth of TMA

In order to further investigate the surface bonding between Al-(CH3)3 and COOH-

group the 10s TMA exposed COOH-SAMSi (111) sample is removed from ALD

chamber and immersed into the hot HCl solution for 10 minutes Figure 65 shows the

FTIR spectra after 10s TMA exposure and HCL treatment Both are referenced relative to

fresh-grafted COOH- terminated Si (111) surface The features related to Al-CH3 706

1217 cm-1 did not appear due to the exposure of the sample to the atmosphere during the

sample unloading and

130

1000 1500 2000 2500 3000

δSiH

υCH3~706 υC=O

4th TMA 5s

3rd TMA 3s 2nd TMA 1s

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

TMA on COOH-SAM Saturation 10-18-2006

1200 Al-CH3

υCH2

1st TMA 1s

COOH-SAM

10-3

777

Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to

TMA for various time

Freshly-grafted COOH-SAMSi (111) were installed in the ALD chamber and exposed to TMA (gas phase) for various times FTIR Spectra are recorded in situ (except the bottom one) after each exposure with different exposure time using MCT-B detector The spectra are represented in a differential manner except the bottom one for each spectrum the reference is the one taken after preceding exposure The spectra on the bottom is recorded ex-situ at 74ordm incidence angle using DTGS detector and referenced against to freshly etched H-Si(111) surface

131

1500 2000

-0002

-0001

0000

0001

1200

C

OO

C

OO

Abs

orba

nce

Wavenumber (cm-1)

4th TMA 5s

3rd TMA 3s

2nd TMA 1s

1st TMA 1s

5x10-4

1477 1577

υC=O

Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900

cm-1

132

transportation resulting in quick hydrolysis of Al-CH3 to Al-OH Several bands appear at

723 870 and 1056 cm-1 They are assigned to Al-O related compounds due to hydrolysis of

Al-CH3 The two strong bands centered at 1477 and 1558 cm-1 correspond to stretching

mode of C=O in the form of stable acid salt or -C (O) OAl The small broad peak centered

at ~870 cm-1 is assigned to C-O-Al After hot HCl solution treatment as shown in the

Figure 65c both bands at 1400-1600 cm-1 and 870 cm-1 completely disappeared and the

peak corresponding to stretching mode of C=O of -COOH reappears at 1714 cm-1 This

indicates that the surface is recovered to the initial COOH- terminated Si(111) surface

(Figure 65a) with ~30 reduced concentration comparing to the initial ndashCOOH

terminated surface (ie the integrated area of 1714 cm-1 is ~70 of initial integrated value)

The recovery of COOH- groups can be possibly explained as acid salt -COO-Al+ complex

is converted back to -COOH with formation of AlCl3 under strong acid environment This

strongly suggests that TMA forms ionic bond with surface carboxyl group Meanwhile the

fact that only ~70 -COOH recovers and the bands at 1056 and 723 cm-1 which are

assigned to the C-O and Al-O stretching mode of Al-O-C respectively (may be compared

with Si-O-Al) remain unchanged suggest that the ~30 COOH loss maybe due to

permanent breaking up C=O and formation C-O-Al bond when ndashCOOH react with TMA

molecule

133

1000 1500 2000 2500 3000

c

bC=O in salts

sim870sim723 υCH2υC=O

HCl posttreated TMA on COOH-SAM 10-18-2006

δSiH

υSiH2083

Hot HCl post treated

10s TMA

COOH-SAM

Wavenumber (cm-1)

Abso

rban

ce

a

10-3

Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl

solution treatment

FTIR Spectra of COOH-C12 SAMSi(111) is recorded ex situ using DTGS detector After a freshly prepared ndashCOOH SAM b total 10s TMA exposure on COOH-SAM and c a post-treatment by immersing TMA exposed COOH-SAM sample into hot HCl solution for 10min at 80 degC All spectra are referenced relative to freshly etched H-terminated Si(111) surface The ratio of recovery of C=O at 1712 cm-1 is ~ 70 (A~00330046) The peaks at 723 cm-1 and 870 cm-1 appear after TMA exposure The 723 cm-1 remains while peak at 870 cm-1 disappeared after HCl treatment They may be assigned to Al-O-C and Al-OH respectively 723 cm-1 is not seen in the ndashCOOH-SAM but appears only after TMA exposure So it may not be due to C-C vibration from chains with four carbons and more (720-741 cm-1) [7] It may be related to the irreversible change during reaction between TMA and ndashCOOH SAM

134

633 ALD Growth of TMA on COOH-terminatedSi (111) ALD on polymer is one of its important applications However ALD on polymer is

complicated due to the absence of necessary chemical functional groups or co-existence of

various chemical groups Therefore ALD on a well defined organic self-assembly

monolayers with controllable surface chemical function groups may provide good model

for study of ALD of inorganic material on organic surface

The nucleation and growth of Al2O3 ALD on COOH- terminated Si (111) are studied

using in situ Fourier transform infrared spectroscopy COOH-terminated Si (111) has

negligible oxide formation during thermal grafting process with forming stable Si-C

surface bond in contrast to alkylated Si (111) where formation of oxide is a common

problem In addition the chemically active COOH- group can enhance nucleation of TMA and form a high quality Al2O3 film Due to the intrinsic steric limitation of alkyl chain

packing on Si (111) surface the packing density of COOH will be close to the density of

-CH3 terminated SAM TMA will not react with H-terminated silicon until 300 Cdeg at the

temperature in which interfacial oxidization becomes unavoidable By introducing

ndashCOOH SAM reactive terminal group can significantly reduce required temperature for

ALD growth to as low as 100degC in our current study which is far lower than the thermal

decomposition temperature 350degC for organic ndashCOOH SAM (Referring to previous

chapter)

Figure 66 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100

degC for the first 10 cycles All spectra except the one on the bottom are referenced to

freshly-grafted COOH- terminated Si (111) at 60degC The spectrum on the bottom is the

spectrum of freshly-grafted COOH-SAM referenced to clean H-Si (111) surface The

initial COOH terminated Si(111) surface shows its characteristic sharp peak at 1714 cm-1

the C=O stretching mode of acid group in the form of hydrogen bonded dimmer[7] After

first TMA 10s exposure at 100degC this peak completely disappears and two new bands

appear

135

1000 1500 2000 2600 2800 3000

-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

002

TMA 10cyc COOH-SAM S29A 10-13-2006

10 cyc5 cyc

D2O 3

TMA 3 D2O 2TMA 2D2O 1TMA 1

~700 ~930TOLO Al2O3

COOH-SAMHSi(111)

C=O in SaltsAl-CH31213 υC=O

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

10-3

δSiH

(a)

00057

1000 1500 2000 2400 2600 2800 3000

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

1213

Abs

orba

nce

Wavenumber (cm-1)

Al-CH31213

OD~2743

TMA 10cyc COOH-SAM S29A Differential 10-13-2006

Al-O943

10 cyc

5 cyc

D2O 3

TMA 3

D2O 2

TMA 2

D2O 1

TMA 1

(b)

1213

Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111)

a all spectra are recorded in-situ using MCT-B detector and referenced against to pre-annealed COOH-SAM right before the first TMA exposure except the bottom one Bottom one is recorded ex situ using DTGS detector and referenced relative to fresh H-Si(111) b FTIR difference spectra of each different spectrum is referenced to the spectrum recorded immediately before the exposure

136

simultaneously at 1476 and 1581 cm-1 indicating that TMA immediately reacts with all

surface accessible COOH- groups and a homogenous nucleation may be achieved As we

have also shown earlier in the Figure 63 the extended exposure to TMA does not add more

TMA to the surface This is important for a high quality layer-by-layer atomic layer

deposition The 1217 cm-1 is clearly assigned to deposited -Al(CH3)The broad peak

centered at ~740 cm-1 could be assigned to mixed Al-O modes and CH3 rocking mode of

Al-CH3 After first D2O exposure a immediately decreasing in 1217 cm-1 (Al-CH3) and

~706 cm-1 indicates hydrolysis of Al-CH3 The peaks at 1476 and 1581 cm-1 slightly

decrease in intensity accompanying with two positive peaks appearing at ~1460 cm-1 and

~1624 cm-1 This could be explained as peak shifting of 1476 and 1581 cm-1 caused by the

environment changes of C=O after hydrolysis of Al-CH3 A broad weak band centered at

2743 cm-1 is assigned to OD stretching The introducing water pulse to TMA exposed

COOH-SAM doesnrsquot result in any subsurface oxidization This differs from the growth of

Al2O3 on HSi for which a significant oxidization was observed immediately after water

exposure [2]

TMA reacts with surface Al-OD groups to form Al-O-Al-CH3 after the second exposue

The peak shifting observed after first D2O exposure now shifts back to before D2O

exposure indicated by the negative peaks at ~1460 cm-1 and ~1624 cm-1 and positive peak

at 1476 and 1581 cm-1 The second D2O exposure then replaces Al-CH3 with Al-OD again

as expected[13] and the reversible peak shifting re-appears in the region 1400-1650 cm-1

In following ALD cycles the changes in the region 1400-1650 cm-1 are continuously

observed but becoming smaller with increasing number of TMAD2O cycles This strong

suggests that these features are attributed to interfacial bonding and become less affected

with increasing thickness of Al2O3 film Two bands at ~730 and ~930 cm-1 steadily

increase in intensity with the number of cycles and slightly blue shifts They could be

assigned to amorphous TOLO phonon mode of Al2O3 film The frequency of LO mode is

slightly lower than 954 cm-1 for amorphous Al2O3 ALD grown directly on silicon surface

[2]

No measurable modes are found in the range 1000-1200 cm-1 and ~800 cm-1 which are

assigned to Si-O or Al-O-Si Si-O-Si previously [14 15] And also there is no Si-CH3 mode

found at 1266 cm-1[9] These evidences clearly indicate neither TMA nor oxygen goes into

137

the siliconSAM interface All the ALD reactions are most likely strictly limited only to top

COOH- functional surface The SAM behaves as a good barrier for preventing diffusion of

oxidant into the interface In addition there is no apparent OD stretching observed at

2700-2800 cm-1 indicating no significant D2O incorporation into the Al2O3 film even after

10 ALD cycles

Figure 67 shows FTIR spectra of post-annealing effect on 10 cycles ALD grown Al2O3

film on COOH-SAM All spectra except the bottom one are referenced to the 10 cycles

ALD grown Al2O3 film prior to annealing The spectra on the bottom are referenced

relative to the pre-annealed (120degC) COOH-SAMSi(111) surface right before the first

TMA exposure The SAM is stable upon annealing at 300degC With increasing temperature

the SAM starts to degrade indicated by the negative peaks of carbon-hydrogen stretching

modes from alkyl chains of SAM at 2850- 2950 cm-1 and the biggest loss of CH2 occurs at

300-400 degC Concurrent with the loss of carbon hydrogen a small positive peak at 2055

cm-1 and negative peak at 2073 cm-1 appear at 400degC assigned to the interfacial H-Si

stretching modes perturbed by the environments and formation of new H-Si bond Further

annealing at higher temperature leads to the complete loss of surface H-Si

After annealed at 300degC the bands at 1400-1600 cm-1 assigned to C=O stretching

modes increases with simultaneously reduced intensity of bands at 1640-1700 cm-1

suggesting more interfacial bond formation after mild thermal treatment (differential

spectra is displayed for clarity) These bands then significantly reduced in the intensity

upon thermal treatment at 400 degC most likely caused by the removal of the whole alkyl

chains under this temperature It clearly shows no oxide silicon forms even when organic

SAM barrier already started to disassociate from the surface at 400 degC In fact the

simultaneous appearance of small positive peak at 2055 cm-1 and negative peak at 2073

cm-1 suggests that formation of surface H-Si generated from the disassociation of grafted

alkyl chains by breaking of Si-C bond is a desorption mechanism proposed under UHV

condition[16] In addition oxidized H-Si back-bond is not observed at 2200-2300 cm-1

Comparing to the results from post-annealing of pure COOH-SAMSi (111) in which the

loss of hydrogen and oxidization of silicon substrates occurs at lower temperature at

300-350 Cdeg the ALD-grown Al2O3 COOH-SAMSi (111) surface clearly shows the

138

higher resistance to oxidization the loss of hydrogen and oxidization of substrate occur at

400-500 degC This may be explained as the deposited Al2O3 film provides an additional

1000 1500 2000 2500 3000

10-3

600c

500c

400c

300c

10 cyc TMAD2O

υCH2υC=O υSiH

TOLO SiO2

TOLO Al2O3

~745

Abs

orba

nce

Wavenumer (cm-1)

~955

TMA 10cyc COOH-SAM Post anealed

(a)

-00054

Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)

FTIR Spectra were recorded in-situ after annealing at each annealing temperature All spectra are referenced relative to the pre-annealed (120 degC) ndashCOOH SAMSi before ALD deposition SiO2 didnrsquot appear until 500degC when large amount of hydrocarbon chains were removed from the surface This is a clear evidence that organic SAM serve as diffusion barrier to prevent Si substrate from oxidization A negative peak centered at 2071 cm-1 with integrated peak area -0054 is attributed to surface H-Si The peak position is significantly lower than 2083 cm-1 for a fully covered H terminated Si (111) surface This indicates decoupled H-Si stretching (Note the integrated area measured at 70ordm incidence angle using MCTB inside ALD chamber is slightly different from what is measured outside using DTGS detector at 74ordm incidence angle)

139

1000 1500 2000 2500 3000

(b)

2078

υAl-O

300- 60 Co

Wavenumber (cm-1)

600-500 Co

500-400 Co

TMA 10cyc COOH-SAM Post annealed S29A Differential 10-13-2006 Ab

sorb

ance

400-300 Co

5x10-4

υSi-O-SI

2053

Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on

COOH-SAM H-Si(111) post-annealed in ultra pure N2 gas from 300 to 600 degC

The spectra are same as what displayed in the Figure 67 They are shown in a difference manner FTIR spectra were recorded at each annealing temperature and are referenced to the spectrum recorded immediately before the annealing The surface Si-H and hydrocarbon chains are completely removed from surface at 500degC

140

1800 1900 2000 2100 2200

A 000732073

10cyc + 600 Co

COOH-SAM

Abs

orba

nce

Wavenumber (cm-1)

2x10-4

10-3

H-Si(111)

S29A COOH-SAM TMA ALD + Anneal DTGS

A 001592083υSiH

Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with

COOH-SAM and following 10 cycle TMAD2O growth and 600 degC annealing

FTIR Spectra were recorded ex-situ for freshly etched H-Si(111) and after thermally functionalization with COOH-SAM The COOH-SAM is then used as substrate for 10 cycles TMAD2O ALD growth and annealed up to 600 degC All spectra are referenced relative to SiO2 surface The top spectrum is shown in the different scale as indicated in the figure After functionalization with COOH-SAM the original sharp H-Si stretching peak at 2083 cm-1 is broadened and red-shifted to 2073 cm-1 The integrated peak area is reduced by ~ 50 indicating nearly half of surface hydrogen is removed After anneal at 600 degC remaining hydrogen is completely removed

141

Oxygen diffusion barrier to protect silicon substrate from oxidization after SAM

disassociated at the lower temperature The D2O trapped in the film if there is any could

escape at the lower temperature before SAM starts to desorp from the surface The D2O

impurity incorporated in the high-k film during ALD processes might provide oxygen

source to the interfacial SiO2 formation during post-annealing [4]

Further annealing at higher temperature at 500 degC the silicon dioxide is formed as soon

as the interfacial H-Si starts to be removed (400-500 degC) The growth of silicon dioxide and

the loss of interfacial H-Si continue with increasing annealing temperature Tere is about ~

07 nm silicon oxide formed (integrated area ~030) after 600 degC annealing The LO

phonon mode of SiO2 is broader and weaker than what is observed for chemically oxidized

SiO2 from RCA cleaning procedure indicating that this interfacial oxide is not

homogeneous [4] The oxidization of silicon subsurface is probably due to oxidant from

either the gas impurity or water trapped in the Al2O3 film while annealing There is no

noticeable H-SiO3 species observed during the whole annealing process With increasing

annealing temperature the LO phonon mode of Al2O3 shifts from ~930 cm-1 (as deposited

at 100 degC) to higher frequency at ~ 955 cm-1 (600 degC ) and the shape of peak become

slightly sharper indicating denser Al2O3 film forms upon thermal treatment This is

consistent with that annealing would not significant increases the crystallizing of

amorphous Al2O3

In summary -COOH SAM is very reactive with TMA and the nucleation is almost

completely within the first TMA exposure Although the ndashCOOH SAM is less ordering

than -CH3 SAM little or no penetration has occurred and the reaction is well confined to

the top of the surface The SAM is a good barrier to prevent either TMA molecule or

oxygen penetration into the underneath siliconSAM interface No oxidization is observed

during the whole ALD process Even after post-annealing of Al2O3 film grown on ndashCOOH

SAM the significant oxidization of Si substrate occurs only at the temperature ~500 degC or

higher Interestingly the deposition of Al2O3 thin film on ndashCOOH SAM increases the

thermal stability of SAM itself as well

142

634 ALD TMA on ndashOH terminated SAMSi (111)

6341 Preparation of HO-SAM

HO-SAM is prepared by reducing carboxyl group of freshly-grafted ndashCOOH SAM to ndashOH

group The Si (111) sample terminated with ndashCOOH SAM is immersed into LiAlH4 BHF

solution for 2 hours The longer immersion time in LiAlH4 may cause degradation of the

SAM layers while the reaction may not be completed if exposure time is too short Figure

610 shows FTIR spectra of the final HO-SAM and the starting ndashCOOH SAMSi (111)

surface The top spectrum shows the spectral changes of the surface after reduction of acid

group ndashCOOH to hydroxyl group ndashOH It is clearly seen that the characteristic peak of

carboxyl group the stretching mode of C=O of acid group at 1716 cm-1 is almost

completely disappeared after LiAlH4 treatment while no other new peaks appear in the

nearby region 1400-1800 cm-1 Meanwhile a close-up check of region 2800-3000 cm-1

shows the increase of CH2 stretching modes in the intensity at 2826 and 2855 cm-1 This

evidence strongly indicates that -COOH group is reduced to ndashCH2OH with adding two

hydrogen atom to C=O (The small shoulder at 2960 cm-1 may be induced by the impurity

during the whole process)

The broad bands at 1184-1300 cm-1 are consisted of several modes It could be clearly

seen in the differential spectra After the reduction of acid group to the primary alcohol the

two negative bands at 1243 1289 cm-1 are observed along with a negative peak at ~1412

cm-1 they were previously assigned to C-O-H in plane mode of acid group At the same

time the sharp peak at 1263 cm-1 is unchanged and is clearly seen in the spectra after

ndashCOOH is reduced to ndashOH This peak could be assigned to in plane deformation of O-H

[17] The earlier study has shown that this mode is broad strong at higher frequency at

1300-1500 cm-1 for pure or concentrated alcohols and becomes narrow and weak at lower

frequency ~1250 cm-1 for the diluted primary alcohols [7] The corresponding stretching

mode of O-H which is expected at ~ 3640 cm-1 is too weak to be detected at current

sensitivity level

The broad bands 1000-1200 cm-1 might be consisted of contributions from multiple

modes the oxidization of interfacial silicon and C-O stretching mode of alcohols The total

143

integrated area ~002 is less than 10 of integrated area of chemically oxidized silicon

with ~1 nm-thick SiO2

6342 ALD of Al2O3 on HO-SAM

Figure 611 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100 degC for

10 cycles on ndashOH SAM prepared directly by reducing fresh ndashCOOH SAM by LiAlH4 All

spectra are referenced relative to freshly-converted -OH SAMSi (111) at 60degC After the

first exposure of TMA the peaks corresponding to Al-CH3 are immediately seen in the

spectra including 2942 cm-1 (stretching) 1203 cm-1 (bending) and 706 cm-1 (rocking) The

integrated area of peak at 1213 cm-1 is 00034 only ~50 of that on the - COOH SAM and

SiO2 This indicates TMA nucleation on the ndashOH SAM surface is less than nucleation on

both - COOH SAM and SiO2 surface This is kind of expected from the preparation of -OH

SAM Since ndashOH SAM is converted from ndashCOOH SAM the resulting density of ndashOH

must be not higher than the density of ndashCOOH group which actually is physically limited

by the steric interaction of alkyl chains (only ~50 Si-H sites are grafted with alkyl chains)

Therefore the estimated surface density of ndashOH group of ndashOH SAM should be less than on

hydroxyl terminated regular SiO2 surface Second the reactivity of C-O-H at the end of

alkyl chain may be less than hydroxyl group Si-OH on the silicon dioxide surface Third

the spatial distribution of ndashOH on ndashOH SAM is more regular than ndashOH on the SiO2 surface

based on the preparation of ndashOH SAM This can be supported by the shape of Al-CH3 peak

is less well defined when Al-CH3 is grown on SiO2 surface (FWHM 1998 cm-1 when

grown on ndashOH SAM) The peak position of Al-CH3 is 1202 cm-1 on ndashOH SAM and 1212

cm-1 on SiO2 respectively After first TMA exposure a complicated spectra feature appears at 2800-3000 cm-1

including positive peaks at 2942 and 2889 cm-1 and two negative peaks at 2853 and 2923

cm-1 These could be interpreted as imposition of four modes The two positive peaks are

144

1000 1500 2000 2500 3000 3500

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

υSi-H

υCH2δCO-H

1263

υC=O

1716

2952 2926

differential

COOH-SAM

HO-SAM

S28A HO-SAMSi(111) Preparation

Wavenumber (cm-1)

A

bsor

banc

e

Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM

FTIR spectra of HO-SAM prepared from reducing freshly-grafted COOH-SAM on H-Si(111) by using LiAlH4 solution The two spectra on the bottom are referenced against the freshly etched H-Si(111) surface The differential spectrum on the top of panel has shown that the spectroscopic changes after conversion from ndashCOOH SAM to ndashOH SAM Briefly negative peak at 1716 cm-1 indicates removal of C=O and positive peak at 2952 and 2926 cm-1 is attributed to the stretching mode of added -CH2 group by hydroboration

145

attributed to asymmetric and symmetric stretching mode of CH3 of Al-CH3 with

corresponding bending mode at ~1203 cm-1 The two negative peaks at the same position

of stretching modes of -CH2- from starting ndashOH SAM most likely are caused by

disturbance of original -CH2- vibration induced by the penetration of TMA precursor into

the SAM This disturbance is still presented after subsequent D2O exposure However this

spectral change is observed only in the first three TMAD2O cycles and become smaller

and smaller with increasing number of TMAD2O cycles As shown in the Figure 611b

(spectra displayed in the differential manner) after the 5 and 10 cycles of TMAD2O

exposure the changes in the region 2800-3000 cm-1 are barely seen These evidences

strongly suggest that the observed changes are mainly caused by the reaction on the

interface of SAMAl2O3 and a small amount of penetration of TMA into SAM possibly

occurs at the initial exposure The modes due to Si-CH3 at 2958 cm-1 (stretching) and 1270

cm-1 (bending) [2] are not seen in the spectra suggesting the TMA did not react at the

interface between silicon and SAM even though certain amount of TMA molecules more

or less penetrate into SAM After subsequent first D2O exposure there is no change at the

peak position 29272853 cm-1 corresponding to CH2 groups of alkyl chains of SAM while

the negative peaks are observed at the peak position 29372888 cm-1 indicating

hydrolysis of -CH3 groups of absorbed Al-CH3

As shown in the Figure 611a the sharp peak at 1264 cm-1 presented at the initial

HO-SAM (Figure 610) continually decreases in the intensity with the increasing number

of reaction ALD cycles Since this narrow peak is assigned to the O-H bending in the

primary alcohol C-OH it is reasonable to attribute this decrease to the replacement of

hydrogen with Al atom and formation of bond of C-O-Al between surface C-OH and

Al-CH3 This suggests that despite the evidence that penetration of TMA into SAM is

terminated within the first 3 cycles the nucleation of TMA on -C-OH surface is not

completed until 10 ALD cycles The incubation period is slightly longer than the growth

of TMA on ndashCOOH surface With increasing number of TMAD2O reaction cycles two

strong peaks at 690 and 908 cm-1 grow steadily in the intensity they are assigned to the

TOLO phonon modes of grown Al2O3 film respectively The integrated area of these two

modes is significantly less than that of Al2O3 film grown either on regular chemically

oxidized silicon surface or -COOH SAM terminated surface In addition the peak position

146

of LO mode of Al2O3 grown on HO-SAM located at 908 cm-1 is lower than LO mode of

Al2O3 film grown on regular silicon dioxide (940 cm-1) or COOH- SAM (938 cm-1) Since

LO phonon mode is sensitive to the density of Al2O3 film this evidence suggests Al2O3

films grown on the HO-SAM surface are less dense and have lower quality This is

consistent with HO-SAM that has fewer nucleation sites (ie surface HO- groups) than

SiO2 surface or less reactive chemicals than COOH- SAM The surface density of HO-

group converted from COOH- is no more than the initial surface density of ndashCOOH group

Even the converting reaction is not 100 completed the remaining -COOH still can react

with Al(CH3)3 precursor and hence cannot be used to explain the observed less dense

Al2O3 film formed on HO- SAM surface In summary both the number of nucleation sites

and chemical reactivity of surface function groups can affect the ALD film growth

147

1000 1500 2400 2600 2800 3000-0001

0000

0001

0002

0003

0004 (a)

~1264

minusOD2744 υCH2

ρCH3

TOLO Al2O3

10cyc TMAD2O

5cycTMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

Abs

orba

nce

Wavenumber (cm-1)

TMA 10cyc HO-SAMSi(111)

Al-CH3

~908~690

Al-CH32942

1203

1213

1000 1500 2000 2400 2600 2800 3000

-00008

-00004

00000

00004

00008

00012

00016

00020

1203

Abs

orba

nce

Wavenumber (cm-1)

υCH2υCH3

Al-CH3

TMA 10cyc HO-SAMSi(111) [Step Differential]

10cyc TMAD2O

5cycTMAD2OD2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

(b)

1213

Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on HO-SAMSi(111) a all spectra are referenced against to the pre-annealed HO-SAM right before 1st TMA exposure b the same spectra are shown in a differential manner Each difference spectrum is referenced to the spectrum recorded immediately before the exposure

148

635 ALD TMA on ndashNH2 terminated SAMSi(111) 6351 Preparation of NH2 -SAM

Because the reactivity of ndashNH2 with hydrogen terminated silicon surface either formation

of Si-N bond or possibly -NH2 catalyzed oxidization of surface the bi-functional NH2

terminated alkene can not be directly applied to the H-terminated silicon surface We

developed a new simple two-step route to produce -NH2 terminated monolayer with stable

Si-C bond starting from COOH- terminated Si (111) surface

N-hydroxysuccinimide (NHS) reagents act as cross-linkers and activate the carboxyl

group by forming an NHS-ester intermediate This is a characteristic esteriication reaction

widely used to prepare NHS-activated esters Figure 613 displays the FTIR spectra of

chemically modified Si(111) at each intermediated step starting from freshly grafted

COOH-SAMSi(111) surface The acid-terminated surface is chemically activated by

NHSEDC for 1 hour at room temperature It shows complete disappearance of C=O

stretching vibration mode of acid group at 1712 cm-1 and appearance of new peaks at the

nearby region 1815 1787 1747 cm-1 they are characteristics of the succinimidyl ester

group and assigned to the stretching modes of carbonyl Two strong peaks at 1211 and

1070 cm-1can be assigned to the C-O-C stretching modes in the succinimidyl ester group

These evidences further confirm that the majority of ndashCOOH group instead of C=C is

extended away from the surface and available for the subsequent chemical modification

because there does not appear to be any residual absorption at ~1715 cm-1 that would be

expected if more than 10 of the monolayer was in the form of silyl ester The NHS

activated surface is further reacted with ammonium in the dioxane solution at room

temperature As shown in the Figure 613 the disappearance of all characteristic features

of NHS at 1700-1820 cm-1 and 1000-1200 cm-1 and appearance of two bands at 1670 and

1620 cm-1 which are assigned to amide I (carbonyl stretching) and II (N-H deformation) of

primary amide ndashCONH2 group indicate that NHS is completely replaced by the amine

group -NH2 and forms primary amide termination After immersing in the LiAlH4 THF

solution for 2 hours the amide I and II bands are significantly reduced indicating the

majority of C=O of ndashCONH2 is reduced to amine -CH2NH2 The small peaks remaining at

1670 cm-1 is due to the incomplete reduction reaction The conversion rate of primary

amide to primary amine is not 100 under current experimental condition However the

149

longer immersing time in LiAlH4 will not be able to further increase the conversion rate

but might lead to the degradation of SAM (data are not shown) We have checked the

chemical reactivity of the resulting amine- terminated surface by applying PMPI a widely

used cross-linker molecule used for biological molecular attachments

Figure 614 shows the FTIR spectra of freshly prepared amine- terminated Si(111)

from NHS method mentioned above and the change of surface after immersing in PMPI

solution for 24 hours The appearance of features of PMPI at 1720 cm-1 indicates the

attachments of PMPI to the amine-Si (111) surface By comparing the integrated area of

strongest peak at 1720 cm-1 to the case where PMPI absorbed on APTESSiO2 surface at

the same experimental condition (24 hours room temperature) (Lapinrsquos unpublished data)

we found that they both give similar integrated area of 1720 cm-1 suggesting that the amine

terminated Si (111) via a Si-C at least has the similar surface chemistry reactivity in term of

reactivity with PMPI Even though the conversation efficiency of amide to amine may not

be 100 the surface should have similar chemical reactivity for the further applications

NO O

OH

N-Hydroxysuccinimide NHS

150

1000 1500 2000 2500 3000 3500-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

-CH2NH2SAM

-CONH2SAM

-COCl SAM

-COOH SAM

Amide II

1715

1801

1460

υSiO

Wavenumber (cm-1)

υSiH υCH2

Amide I

υC=O

υC=O

S31A-11092006- NH2-SAM [COCl Method]

Abs

orba

nce

1670

Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) FTIR spectra were recorded after each step After chlorination of -COOH the C=O in COOH at 1715 is completely disappeared with simultaneous appearance of new sharp peak at 1801 cm-1 corresponding to C=O in ndashCOCl Further ammonia treatment converts -COCl to -CONH2 with replacement of Cl by NH2 indicated by appearing of two characteristic peaks of amide show at 1670 and 1610 cm-1 and disappearing of peak at 1801cm-1 Final hydroboration step adds two hydrogen to C=O in the amide group ndashCONH2 forming -CH2NH2 Although the monolayer of amine is too weak to be detected in the IR spectra the disappearance of amide I and II indicates C=O is converted to CH2

151

1000 1500 2000 2500 3000 3500-0012

-0010

-0008

-0006

-0004

-0002

0000

0002

0004

~1420υC-N

12111070

υCH2 υSiH

υC=O

NH2CO-SAM

S35A-11152006- NH2-SAM [NHS] A

bsor

banc

e

Wavenumber (cm-1)

NH2-SAM

NHS+EDC

COOH-SAM

Amide II ~1600 Amide I

1670

Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

FTIR Spectra of freshly prepared H-Si(111) after functionalization with undecylenic acid (-COOH SAM) and COOH surface is activated by NHS + EDC for 2hours (NHS-SAM) The NHS activated surface further reacts with ammonium in dioxane solution to form primary amide (CONH2-SAM) Finally the amide terminated surface is reduce to form NH2-SAM by LiAlH4 (NH2-SAM) All spectra are referenced against to freshly prepared H-Si(111)

152

1000 1500 2000 2500 3000 35000000

0001

0002

0003

0004

0005

0006

0007

0008

NH2-SAM

S30A-11062006- NH2-SAM [NHS] + PNPI

Wavenumber (cm-1)

PMPI

A

bsor

banc

e

Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II) are verified by reacting with PMPI

The FTIR spectra of fresh NH2 ndashSAM is referenced relative to freshly etched H-Si(111) surface while the top spectra is recorded after immersed in PMPI solution and referenced relative to NH2 ndashSAM before PMPI immersion

153

6352 ALD TMA on NH2-SAM Figure 615 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashNH2 SAM prepared directly by reduction of primary amide ndashCONH2

SAM by LiAlH4 The ndashCONH2 terminated SAM can be prepared from ndashCOOH SAM

through two different routes as described in the last preparation section All spectra are

referenced against the spectrum of freshly prepared NH2-SAM recorded at 60 degC Similar

to other surface with reactive termination groups -OH or -COOH after the first TMA

exposure the peaks corresponding to Al-CH3 are immediately seen in the spectra

including 2942 cm-1 (stretching) 1202 cm-1 (bending) and 706 cm-1 (rocking) The

integrated peak area of 1202 cm-1 is close to that of film grown on the ndashCOOH surface but

nearly twice higher than that of the film grown on the ndashOH SAM surface indicating higher

surface nucleation rate or higher surface chemical reactivity toward TMA for ndashCOOH and

ndashNH2 terminated surface than ndashOH terminated surface

After immediate exposure to TMA a negative peak at ~ 1676 cm-1 and two positive

peaks at ~1580 and ~ 1500 cm-1 appear simultaneously they are assigned to the changes

related with residual ndashCONH2 left from incomplete reduction of ndashCONH2 to -CH2NH2

during -NH2 SAM preparations After TMA exposure -CONH2 possibly reacts with TMA

forming -CONH- bond The N-H deformation (Amide II) is shifted from 1600 cm-1 for

primary amine to around ~1550 cm-1 for the secondary amine These spectral changes

become less noticeable with increasing ALD reaction cycles suggesting that the related

reactions are mainly limited to SAMAl2O3 interface

The small peak periodically appearing at 2740 cm-1 is unambiguously assigned to OD

stretching vibration It appears after every D2O exposure and disappears after every TMA

exposure indicating a nearly completed ALD TMA-D2O cycle reaction The integrated

peak area of LOTO phonon modes of Al2O3 increases steadily with increasing number of

ALD cycles indicating the steady growth of Al2O3 film After 10 cycles the peak of LO

phonon mode is as sharp as what observed for Al2O3 film grown on the chemical oxides

SiO2 surface or -COOH surface with peak position at 940 cm-1 These evidences suggest

the Al2O3 film grown on -NH2 surface may be as dense as Al2O3 film grown on other types

of reactive surface including ndashCOOH SAM or regular SiO2

154

1000 1500 2000 2500 3000-0006

-0004

-0002

0000

0002

0004

0006

υOD2740

Abs

orba

nce

Wavenumber (cm-1)

2854

1214

734

10cyc TMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA NH2-SAMSi-C Si(111) S30A 11-10-2006

TMA 1

940Well defined TOLO peak of Al2O3

2927

1211

Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) FTIR spectra of 10 cycles TMAD2O ALD-Grown on NH2-SAMSi(111) All spectra are referenced against to the pre-annealed NH2-SAM right before first TMA exposure A growth of Al2O3 is immediately observed after the very first TMAD2O cycle and has developed into a well-defined Al2O3 film indicating by the sharp peaks of LOTO mode at 734 and 940 cm-1 after 10 cycles The Al-CH3 mode at 1211 cm-1 is only slightly shifted to 1213 cm-1 with increasing number of ALD cycles This suggests initial bonding status of TMA at SAM interface is similar to bonding to the existing Al2O3 film

155

636 ALD TMA on ndashCH3 Terminated SAMSi(111)

Figure 616 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashCH3 SAM prepared directly by immersing freshly prepared H-Si(111)

sample into the deoxygenated neat alkene at 200 degC for 2 hours All spectra are referenced

against to the freshly grafted and pre-annealed at 120 degC ndashCH3 SAM All spectra are

recorded at 60degC Unlike other SAMs with the chemically reactive group the first

exposure of ndashCH3 SAM to TMA does not show any significant absorption of TMA on the

surface The characteristic peak of AlndashCH3 at ~1202 cm-1 is not seen There are minor

negative features observed in the region of 2800-3000 cm-1 the stretching modes of

hydrogen-carbon This is most likely caused by the perturbations induced by the small

amount of physically absorbed TMA trapped inside alkyl chains of SAM The result is

expected for ndashCH3 terminated SAM the reaction with AlndashCH3 requires overcome very

high energy barrier[18] and a small amount of TMA molecule could only physically

trapped inside the SAM without chemical reaction The first several TMAD2O cycles (1-3)

do not give apparent spectral features for growth of Al2O3 The only noticeable change is

that the peaks at 2800-3000 cm-1 corresponding to the stretching modes of -CH2- and -CH3

groups continue decreasing in the intensity with increasing numbers of ALD cycles This

suggests that more and more TMA molecule penetrates into the densely packed SAM and

perturb the original vibration modes of -CH2- The physically pre-absorbed TMA

molecules then serve as nucleation sites for the subsequent ALD growth In fact after 5

cycles TMA D2O exposure the phonon modes of Al2O3 film appear at lower frequency

region (~770 and ~ 880 cm-1) and increase in the intensity with increasing number of ALD

cycles After 10 cycles two dominated peaks appear at ~770 and 887 cm-1 assigned to the

TO and LO phonon modes of Al2O3 film respectively Comparing to the Al2O3 film grown

on ndashOH SAM -NH2 SAM and ndashCOOH SAM the broadened and lowered frequency of

peak of LO phonon mode indicates the film is more amorphous and less well defined It

might be due to the lack of nucleation sites on the -CH3 SAM surface at the beginning and

the nucleation sites occurring at physically absorbed TMA molecules are distributed in a

sporadic way Consequently the lower quality of Al2O3 film is obtained

With increasing number of ALD reaction cycles the continuous loss of intensity of

hydrogen-carbon stretching modes at 2800-3000 cm-1 suggests SAM might still not be

156

1000 1500 2000 2400 2600 2800 3000-0004

-0003

-0002

-0001

0000

0001

0002770 Al2O3

10cyc TMAD2O

5cyc TMAD2O

TMA 2

D2O 2

D2O 1

TMA 1

υOD

A

bsor

banc

e

Wavenumber(cm-1)

TMAD2O 10cyc CH3-SAM(C18) 10-24-2006

υCH887

Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on CH3-SAMSi(111) All spectra are referenced against to the pre-annealed CH3-SAM right before first TMA exposure Unlike to SAM with reactive terminal group the feature of TMA is nearly not observed during the first two TMAD2O cycles A broad band attributed to LOTO mode of Al2O3 appears after 5 cycles with much less well-defined peaks Negative peaks appeare at 2800-3000 cm-1 and increase with increasing number of ALD cycles This suggests TMA precursor continuously penetrates into the SAM and leads to screeching of original C-H stretching modes

157

fully covered by previously deposited Al2O3 film and incoming TMA molecule could still

attack the SAM and get inside it The film may grow in a way of island-mode All these

evidence strongly suggests that a vertically inhomogeneous growth instead of a

homogenous layer-by-layer growth of Al2O3 film is undergoing on the ndashCH3 SAM

An increasing band centered at ~2740 cm-1 is assigned to OD stretching mode

indicating non-reacted D2O molecule trapped and accumulated inside the SAM layer and

the subsequent incoming TMA molecule cannot efficiently reach it This is not seen in the

ALD growth of TMA on the chemical reactive surfaces like SiO2 -NH2 -COOH

terminated SAMs) It is possibly due to the inaccessibility for some D2O trapped in the

SAMs During the 10 ALD reaction cycles there are no spectral features observed in the

region of 1000-1300 cm-1 indicating no interfacial silicon oxide forms on the SAMSilicon

interface TMA does not reach the SAMSilicon interface and react with the substrate at the

interface even though it may penetrate into SAM more or less This is reasonable as the

buried SAMSi(111) which is still partially hydrogen passivated lack of reactivity with

TMA at current reaction temperature 100degC Previous study has shown TMA will not react

with H-terminated silicon up to 300 degC [2] In summary the ALD growth of Al2O3 film on

non-reactive ndashCH3 SAM is observed 1) The physically absorbed TMA molecule during

the first several TMA exposures most likely initiates the subsequent growth The initial

absorption might be in a sporadic way with low absorption rate As a consequence the

growth is inhomogeneous and the grown-film has lower quality No spectral features found

for growth on the SAMSi suggests that the growth of TMA on the ndashCH3 terminated

surface may not be attributed to the reaction at the SAMSi interface

637 ALD Growth of TMA on SiO2

Figure 617 shows the FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC

for the first 10 TMAD2O cycles on SiO2 surface All spectra are referenced relative to

freshly wet chemistry (RCA) cleaned silicon dioxide surface at 60degC The surface hydroxyl

group has density 7 x 1014 OHcm2 [19] After the initial TMA exposure at 100degC three

bands appear at 1100-1300 cm-1 The 1213 cm-1 can be assigned to Al-CH3 and it decreases

after the subsequent D2O exposure and reappears after TMA exposure The other two

bands 1270 and 1188 cm-1 didnrsquot change with increasing number of ALD cycles indicating

158

they are features attributed to the interface of SiO2 and Al2O3 They are assigned to Si-CH3

The peaks at 1084 and 1005 cm-1 are related to the Si-O-Si or Al-O-Si The broad

low-frequency band around 700-1000 cm-1 increasing with number of ALD cycles can be

assigned to grown- Al2O3 LO and TO phonon modes After 10 cycles the grown- Al2O3

film has similar spectral features of Al2O3 film at 700-1000 cm-1 as Al2O3 film grown on

the -COOH SAM surface indicating similar nucleation and growth of Al2O3 on both

surfaces

159

1000 1500 2000 2500 3000-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

703 Al-O-SiSiO2

TOLO Al2O3

1088Al-CH3

10cyc5cyc

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

Abso

rban

ce

Wavenumber (cm-1)

10-3

TMA 1

TMA 10cyc on SiO2 11-01-2006

1005

933

1000 1500 2000 2500 3000-0002

-0001

0000

0001

0002

0003

0004

0005

10cyc TMAD2O on COOH-SAMSi(111)

10cyc TMAD2O on SiO2

Y A

xis

Title

X Axis Title

10-3

Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post annealed from 300 to 600c

FTIR spectra of 10 cycles TMA ALD-Grown on chemical oxidized SiO2 All spectra are referenced against to the pre-annealed SiO2 right before first TMA exposure 1213 cm-1 (Al-CH3) and 1270 cm-1 (Si-CH3) are seen after first TMA exposure 1000-1200 cm-1 regions are mixed with contributions from formation of Si-O-Al (positive) 1004 and 1088 cm-1 and destruction of Si-O-Si (negative) on the top of SiO2 layer The spectral features in this region(1000-1200cm-1 ) is unchanged with increasing number of ALD cycles indicating they indeed are features related to interface After10 cycles a similar quality of Al2O3 film forms as Al2O3 grown on ndashCOOH SAM as shown in the insert top-right panel

160

64 Discussion The FTIR spectra demonstrate that ALD TMA can proceed on all organic SAMs surface

with different terminal groups -COOH -OH -NH2 and ndashCH3 It is expected for the surface

terminated with chemically reactive groups like -OH -COOH and -NH2 But it is kind of

unexpected for chemically inert -CH3 terminal group

641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM The FTIR Spectra demonstrate that the freshly-grafted -COOHSAM on Si (111) surface

can react immediately with Al(CH3)3 (TMA) molecule and the nucleation process is nearly

completed within as short as 10 seconds All -COOH groups are reacted The reaction is

self-terminated and the absorption of TMA on the surface saturates within a few seconds

After HCl solution treatment significant percentage of ndashCOOH is recovered After 10

ALD cycles a high quality Al2O3 film forms indicated by the sharp LO and TO phonon

mode of Al2O3

Unlike deposition of Al atoms on -COOH SAM where 20-25 of COOH remain

unreacted [20] extremely reactive TMA is much more reactive than Al atoms toward

ndashCOOH group and react with all surface ndashCOOH groups The recovery of ndashCOOH peak

after strong acid HCl treatment and the intense bands at 1470 cm-1 (asymmetric stretching

of COOminus) and 1580 cm-1 (symmetric stretching of COOminus) which are typical characteristic

feature of C=O bond in carboxyl anion group [7] strongly suggests that the Al(CH3)3

forms acid-salt like complex on the interface as shown in the Scheme 3 The reaction of

TMA with hydroxyl terminated surface is presumed to go by an abstraction of hydrogen

atom from ndashOH group and combine with methyl group form methane (CH4) which

involved a Lewis acid-base interactions with a group III alkyl (TMA) acting as the Lewis

acid (electron receptor) and a group VI hydride (OH) acting as the Lewis base [18] The

reaction of TMA with ndashCOOH is undergoing similarly but much easier The disassociated

proton H+ from carboxylic acid easily combine with ndashCH3 forming methane (CH4) while

long pair electron of oxygen transfer to -Al(CH3)2 forming C(O)-O-Al(CH3)2 complex

Because after giving proton carboxylate ion has the negative charge spread out equally

over two oxygen atoms each oxygen atom bears half of negative charge The long pair of

161

electron of oxygen is harder to transfer to the electron receptor of Al atom in other words

the bond O-Al is more polar After strong acid post-treatment carboxylate ion exiting in

this complex can be converted back to carboxylic acid

In the meantime there also possibly co-exists a reaction between Al (OH)x and C=O

with formation of Al-O-C covalent bond In the study of aluminum atom on methyl Ester

terminated surface it is found that Al can react with the ndashCO2CH3 group

Al+

CH3 CH3

O-O

R

C

OO

C

OO

Asymmetric stretching symmetric stretching

Scheme 3 Possible interaction on TMA-COOH SAM interface

In addition the high quality Al2O3 film and limit surface number density of ndashCOOH

(because of steric restriction) suggest that most likely more than one TMA molecule can

bond to one ndashCOOH group initially

642 Mechanism for ALD growth on ndashCH3 SAM The observed growth of Al2O3 film on ndashCH3 SAM is not unexpected Although the

reaction between ndashCH3 or ndashCH2 groups and TMA can not take places in theory [21] The

previous studies proposed that a non-perfect SAM which cannot prevent ALD precursor

from reacting on underneath SAMsubstrate interface is accounted for the observed growth

A good quality SAM can efficiently block ALD growth [22]

Chen and coworkers investigated the block mechanism In their study of SAM as

barrier for blocking ALD of halfnium oxide it is found that the quality or packing density

of the ndashCH3 SAM layer determine the block efficiency[23] Only SAMs with carbon

chains longer than C12 can efficiently block the growth of halfnium oxide They attributed

162

the observed ALD growth to the defects and pinhole in the SAMs These defects and

pinhole make it possible for the precursor penetrates into the organic film and react with

active hydroxyl or oxygen atoms at the interface Furthermore they proposed a

deactivation mechanism in which alkyl monolayer hinders the nucleation at the interface

by removing the surface reactive sites (Si-H) and preventing oxidization of substrate[24]

Another study of ALD of Ti[N(CH3)2]4 on ndashCH3 terminated alkoxysilane SAM found that

the density of absorbed Ti is negatively correlated with the density of ndashCH3 SAM[25]

Hence they proposed that there is no reaction between Ti[N(CH3)2]4 and ndashCH3 terminal

groups of SAM the reaction confined completely to the SAMSiO2 interface and the

absorption rate of Ti depends on its ability to penetrate the SAM In both study the ALD

growth is attributed to the initial nucleation at the reactive organicsubstrate interface It

occurs whenever SAM resists is not sufficient to block the penetration

In our study we would like to propose another mechanism for the observed growth on

the inertial surface (-CH3) We believe that the growth is determined by the precursor and

SAM structure (conformation and defects) but it less depends on the reactivity of

underneath interface The reactivity of interface may play a role in shortening incubation

time and speeding nucleation but may not determine whether reaction occurs or not In

other words ALD growth can possibly occur on an organic SAMs surface with completely

non-reactive interface underneath

In our experiment the reaction on the SAMSilicon interface less likely occurs for the

following reasons first no Si-O-Al (1000-1100 cm-1) or Si-CH3 (1270 cm-1) modes are

observed in the FTIR spectra second at the interface of SAMsilicon the place where no

alkyl chain attached is still passivated by hydrogen and less reactive than SiO2 site in the

SAMSiO2 In the previous study it is found that TMA will not react with H- terminated

silicon until heating up to 300 degC [2] Thus at our current experiment condition (growth at

100degC) the reaction at interface is expected to not take place Also the IR signal of

absorbed TMA molecule is too weak to be detected in the first three cycles suggesting the

absorption process quite slowly In addition the spectral change of -CH2- stretching mode

due to the perturbation induced by the incorporation of TMA into the SAMs continuously

increases with increasing ALD cycles

163

In the mechanism we proposed here for ALD growth of Al2O3 on ndashCH3 SAM initially

TMA molecule is physically absorbed on the surface sporadically either staying on the

surface or penetrating into the SAMs This is supported by the evidence that a immediate

loss of -CH2 stretching mode at 29232853 cm-1 appears upon the first TMA exposure and

the loss of ndashCH3 stretching mode appears later and quickly increase with increasing

number of ALD cycles These pre-absorbed TMA molecules then serve as nucleation sites

for the subsequent ALD growth As suggested by the IR data the absorption rate is quite

low and nucleation sites are not available over the whole surface As a consequence the

grown Al2O3 film is quite patched and amorphous suggested by the broad not well

defined LOTO mode of Al2O3 film in the FTIR spectra This is similar to ALD growth of

Al2O3 on H- terminated surface where although no reaction take place after the initial

exposure TMA can physically absorb on the surface and serve as nucleation sites for the

subsequent ALD growth[3] In fact H-terminated silicon pretreated with longer time

exposure to TMA ie more physically absorbed TMA leads to more linear ALD growth

of Al2O3 film [3]

A detailed analysis of changes of hydrocarbon stretching mode at 2800-3000 cm-1 with

increasing TMAD2O ALD cycle reveals how TMA interact with ndashCH3 SAM As shown

in the Figure 618 the changes of hydrocarbon stretching mode in terms of relative ratio of

-CH3 to -CH2 at the first 5 cycle is quite different from the second 5 cycles (ie from 5-10

cycles) This suggested that initially TMA precursor gets inside the SAM and perturbs with

-CH2- groups of backbone and later on more perturbation occurs on the top of surface

with the ndashCH3 instead of going inside SAM This could be explained as with formation of

TMA islands on the SAM surface the incoming TMA gains more chances to grows on the

top of SAM and interacts with -CH3 groups instead of penetrating into the SAM Since

perfectly ordered SAM would not have sufficient space between chains to allow

penetration by 275Aring diameter Al atoms the diffusion mechanism for larger TMA

molecule complex must involve defects Two types are considered static and dynamic

[26]

In a model of SAMs with static defects one may expect the island growth in which

growth TMA occurs only at the defective or low-density area of the SAMs In this case [27

28] the density of these defects limits the initial deposition Therefor instead of assuming

164

2600 2700 2800 2900 3000-00002

-00001

00000

00001

00002

00003

υOD

υCH2

10-5th cyc

Abs

orba

nce

Wavenumber (cm-1)

TMA on CH3-SAM Differential Spectra

5 - 0 cyc

υCH3

Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA Growth on -CH3 SAM FTIR spectra recorded after 5 cycles of TMAD2O and 10 cycles are referenced relative to the surface before the previous ALD growth Top spectra show the spectral net changes for last 5 cycles of total 10 cycles and bottom one shows changes of first 5 cycles of 10 cycles Clearly initial 5 cycles have more effects on -CH2- stretching modes and subsequent 5 cycles have more effects on -CH3 (which is on the top of SAM)

165

the diffusion occurs in the way of randomly and uniformly over the whole surface the

defects of SAMs determine the initial deposition

In a model of dynamic defects the defects appear transiently caused by the thermally

activated lateral hopping process of the SAsM (the deposition temperature is 100 degC) The

creation of transient holes allows transport of nearby TMA molecule to inside SAMs or

even reach the interface[20 26]

Currently we are not able to conclusively exclude any one of two types of defects We

have found post-treatment of -CH3 SAMSi(111) with HFNH4F has shown HF nearly has

no effect on the silicon oxide at the interface formed during thermal hydrosilylation This

suggests that SAM is densely packed and can efficiently prevent penetration of HF

Therefore it is reasonably presume there are no significant static defects exiting in the

SAM and SAM can equally efficiently prevent penetration of bigger TMA molecule at

room temperature The dynamic mode may mainly account for the trapping of precursor

inside the SAM at 100 degC

643 Initial Reaction Mechanism for ALD growth on ndashOH SAM On the one hand the ALD growth of TMA on ndashOH SAM should be similar to the other

type of regular hydroxylated surface because of presence of reactive ndashOH group Initial

TMA depositions on -OHSiO2 are both kinetically and thermodynamically favorable as

compared to those on H-terminated surface[29] Comparing to ALD TMA on H terminated

silicon surface the incubation period is reduced for OH-terminated Si(100) surface[30]

And it is also found that the Al2O3 films grown on OH-terminated surface have much

smoother morphology than those grown on H-terminated surface [30]

On the another hand however the ndashOH SAM is unique and different from other

ndashOHSi surface in term of surface distribution and number density of ndashOH group due to the

steric restriction existing in the alkylation of H-Si(111) The density of ndashOH should be

lower than hydroxylated silicon dioxide The spacing between adjunct reactive surface

ndashOH sites on SAM is estimated at least 72 Aring assuming converting efficiency from

ndashCOOH to -OH is 100 and initial ndashCOOH uniformly distributed over the whole surface

This spatial limitation makes some reaction pathway impossible for TMA absorption

on ndashOH SAM For example the ring closing reaction proposed on OHSi(100) surface [31]

166

where one TMA molecule could bond to two surface ndashOH sites forming two interfacial

Al-O bond (Figure 620II) will less likely appear on ndashOH SAM In addition since the

way of ndashOH distributed is more uniform (spatial orientation etc) on ndashOH SAM than SiO2

surface the absorbed TMA may have more uniform spatial distribution on the ndashOH SAM

surface It is indicated by the CH3 bending mode of Al-CH3 at ~1203 cm-1 which is

sensitive to how Al bond A very sharp single peak centered at 1203 cm-1 is observed on

ndashOH SAM surface On the SiO2 surface multiple bands appear at 1270 1213 1187 cm-1

after initial TMA exposure 1270 cm-1 is assigned to the Si-CH3 due to methyl transferring

to silicon [2] The fact that as the band at 1213 disappears after subsequent water exposure

it confirms the assignment of 1213 cm-1 to Al-CH3 In summary TMA on HO-SAM exist

in the structure as shown in Figure 620I with one TMA bond to one ndashOH while TMA on

hydroxylated silicon dioxide exists in the form II with one TMA bond to at least two ndashOH

and in other forms like Si-CH3 through ligand exchange as well

A further discussion is on correlating surface density ndashOH with growth rate[32 33]

They donrsquot have direct spectroscopic data to reveal which types of initial TMA reaction on

the surface with different surface ndashOH concentration One TMA molecule could bond to

one two or three ndashOH sites and also ligand exchange could lead to the formation of

Si-CH3 Our data clearly shows that both Al-CH3 and Si-CH3 existed on the chemical

oxidized surface after initial TMA exposure(Figure 617) Therefore the single model

(ligand exchange) given by Puurunen may not enough to explain the cause of steric

hindrance which accounting for saturation of precursor Instead it could be a mix of Model

II (ligand Si-CH3) and Model III (chemically absorbed precursor Si-O-Al-CH3) [33]

For chemical oxides the Si-OH density is most likely at the level of 7 x 1014 OHcm2

[19] (7 -OH per nm2 this number may vary with substrate temperature) For ndashCOOH SAM

the surface density of alkyl chains is quantified as ~27 x 1014 cm2 (27-OH per nm2) [17]

The lower concentration of surface ndashOH groups the lower growth-per-cycle (GPC) during

ALD growth of aluminum oxide[33] Based on the empirical linear relationship given by

Puurunen the growth of Al2O3 on SiO2 is estimated as much as three times more than

Al2O3 grown on ndashOH surface This is consistent with our infrared data as shown in Figure

624 where the integrated area of Al2O3 phonon modes at ~734 and 930 cm-1 is used to

estimate the total amount of absorbed Al2O3 layers

167

Comparing to regular chemically oxidized silicon surface ndashOH SAM provides ideal

model to control the concentration of surface ndashOH in order to study the correlation between

ALD growth and concentration of ndashOH It eliminates other side reaction such as formation

of Si-CH3 on silicon dioxide SAM-directed assembly makes it different from growth on

chemical oxide Different orientation (cubic hexagonal) could produce different saturation

coverage

644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM FTIR spectra demonstrated TMA immediately absorbs on the ndashNH2 SAM The

characteristic peaks of absorbed TMA are clearly seen at 2940 2888 cm-1 (-CH3

asymmetric and symmetric stretching) 1201 cm-1 (CH3 bending) and 703 cm-1 (CH3

rocking) They disappear upon subsequent water exposure The peak at 803 cm-1 is

previously assigned to Al-N bond The possible mechanism for TMA absorbed on the

ndashNH2 SAM is shown in Figure 621 This reaction mechanism is similar to that of TMA on

a hydroxyl-terminated surface Both are Lewis base-acid interaction with a group III alkyl

(TMA) acting as the Lewis acid and a group ndashNH2 or ndashOH acting as the Lewis base

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Figure 619 -COOH SAM terminated Si(111)

~72 Aring

~72 Aring

168

O

Si

AlCH3 CH3

O

Si

Al

CH3

O

Si I Initial absorption II Closing ring

Figure 620 Possible absorbed TMA on ndashOH terminated Surface

NH2

AlCH3 CH3

CH3

AlCH3 CH3

NH

CH4 AlCH3

CH3

N

Al

CH3

CH3OR

Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM

Because of the way we prepared ndashNH2 is based on ndashCOOH SAM on Si(111) the spacing

between -NH2 group is similar with the sapceing of ndashOH groups of ndashOH SAM (shown in

the Figure 620) and with same surface density of reactive sites (limited by the chemical

conversation rate) However it may be possible that two TMA molecules bind to one ndashNH2

site and double the surface density of absorbed TMA The spectra have shown that the

integrated area of Al-CH3 peak at ~1210 cm-1 is nearly double for growth on ndashNH2 SAM

(~00064) than that on ndashOH SAM (~00035) which indirectly supports the initial reaction

mechanism that we proposed In addition a higher nucleation rate on ndashNH2 SAM is

consistent with the fact that higher quality Al2O3 film forms on ndashNH2 SAM surface than on

ndashOH SAM surface after 10 ALD cycles indicated by the sharper LOTO phonon mode of

amorphous Al2O3

By first look our experiment results seem not consistent with theoretical calculations

[18] in which ndashOH SAM is favor both thermodynamically and kinetically over the

169

reaction with ndashNH2 SAM toward TMA The reaction of TMA with ndashNH2 SAM is relative

slow compared to the on ndashOH SAM The initial probability of reaction is in the order of

SiO2 gt -OHgt -NH2 gt -CH3 In our study the film quality observed is like that in the order

of -COOHgt -NH2 gt SiO2 gt -OH gt -CH3 But the quality of ALD grown film is not solely

determined by how fast or easily TMA forms bond with surface groups but overall how it

nucleates on the surface The latter involves other consideration like available nucleation

sites etc Even though TMA can easily form bond with ndashOH the behavior of TMA on

ndashNH2 may be more complex than just forming Al-N bond as on ndashOH surface

Our experimental result is consistent with other on SAM with different terminal group

For instance ALD Ti[N(CH3)] on ndashNH2 SAM[34] found that comparing to ndashOH SAM and

ndashCH3 SAM -NH2 SAM gives the cleanest growth where essentially all reactivity could be

associated with the terminal amine group

645 Comparing Initial Reaction of TMA on SAMs with different

terminal group

The FTIR spectra of first several ALD cycles reveal the initial nucleation and growth on

the SAM surface in detail As shown in the Figure 622 for the ndashOH SAM we immediately

observe the spectroscopic features belong to Al-CH3 appear after the first TMA exposure

indicating absorption of TMA on the surface The symmetric deformation CH3 modes of

Al-CH3 is ~12001209 cm-1 for TMA absorbed on ndashNH2 SAM 1203 cm-1 for -OH SAM

1213 cm-1 for chemically oxidized silicon and 1215 cm-1 for ndashCOOH SAM The band

shape analysis has shown that nonoxygen-containing Si-Al-CH3 coexists on the H-Si(111)

surface at high TMA exposure On H-terminated Si surface a homogenous Al2O3

nucleation is achieved by extending the initial TMA exposure until methyl saturation

For ndashCOOH SAM the chemical reaction with acid group takes place immediately and

has no penetration The presence of two major peaks at 1400-1600 cm-1 suggests that

majority of C=O still remain and the recovery of COOH group after immersing in HCl

solution suggests the weak acid group react with weak base Al(OH) to form salt like

structure CO(δminus)-O-Al(δ+) The formation of this complex on the surface blocks the

170

penetration The C=O is not 100 recovered may be due to the reaction of C=O with

Al(OH) forming C-O-Al

When penetration happens C-H stretching mode shows a blue shift and loss in the

intensity at the original position The blue shift indicates the alkyl chains undergo

significant disordering upon interaction with TMA The decreasing in the intensity of C-H

stretching mode indicates a possible screening effect due to the incorporation of TMA into

SAM The disorder presumably is induced by repulsive steric interactions between

neighboring TMA clusters For ALD on SAM with reactive terminal groups (-COOH

-NH2 -OH) the nucleation occurs immediately and no incubationinduction period

646 Comparing the Nucleation and Al2O3 films grown on the different

substrate

By comparing the FTIR spectra of a Al2O3 film grown on the SAMs with different

terminal groups the peak position peak area and peak shape of LOTO phonon mode of

Al2O3 films reveal the dependence of film quality and properties on the terminal groups

Figure 624 shows the comparison of FTIR spectra of 10 TMAD2O cycles grown Al2O3

film on all surfaces The C-H stretching mode of alkyl chains of SAM at 2800-3000 cm-1 is

used as indicator of the reactions As shown in the Figure 624b for the ndashCOOH SAM

there is no significant spectral change observed in this region indicating little or no

penetration occurrs and reaction is well confined to the terminal groups on the top of

surface For the ndashNH2 and ndashOH SAM a little spectral changes indicate a few more

penetrationsinterference may occur For the non-reactive ndashCH3 SAM the change is

observed immediately upon first TMA exposure and keep increasing with increasing

number of ALD cycles Al2O3 nucleation occurs slowly at the sites (may near surface but

not necessary must be at SAMSilicon interface) where precursor are trapped Because of

the dense packing of well ordered solid-like alkyl SAM the penetration is relatively

difficult for a large size of TMA molecule and only limited amount of TMA could be

trapped into SAM at each exposure (Figure 623)The detailed diffusion mechanism need

to be further elaborated and beyond the study here

171

1000 1500 2000 2600 2800 3000 3200

Wavenumber (cm-1)

00038

00067

00060

~ 1210Al-CH3

-CH3

SiO2

1st TMA on SAMs with different functional group

Abso

rban

ce

-OH

-COOH

-NH2

initial TMA exposure

29392894

Al-CH3

00039

5x10-4

Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different terminal groups

In-situ FTIR spectra were recorded immediately after first 10 second TMA exposure All reactive surfaces show sharp peak at ~1210 cm-1 attributed to Al-CH3 The exact peak position depends on Al-CH3 bond to surface 1213 cm-1 (on SiO2) 1203 cm-1 (on HO-SAM) 1200 cm-1 (on NH2 ndashSAM) and 1215 cm-1 (on COOH-SAM) The corresponding stretching mode of CH3 of Al-CH3 is seen at 2894 and 2939 cm-1

172

We could apply the diffusion mechanisms proposed earlier time for metal atom diffusion

on SAM-Au system to our system

The Al2O3 nucleation cluster can only form near around the sites with pre-trapped

TMA Even after 10 cycles the coalescence of Al2O3 cluster is still undergoing locally and

no continuous film forms on the top of SAM Incoming TMA molecule is still able to

diffuse into the uncovered SAM However after 5 cycle growth the larger percentage of

TMA starts to nucleate at the region near the top of SAM These can be seen from change

of C-H stretching mode and the formation of very broad LOTO mode of Al2O3 in the IR

spectra

The reactivity of terminal group toward TMA has largely determined the efficiency of

diffusion blocking As we have shown earlier time the ndashCOOH SAM apparently is less

ordered than ndashCH3 SAM due to the interaction of ndashCOOH groups However as we can see

here the diffusion is still completely blocked by ndashCOOH SAM even though it possibly has

larger free volume between more disordered alkyl chains comparing to ndashCH3 SAM

Si Si I with reactive terminal group II with ndashCH3 terminal group

Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group

Al2O3 nucleation clusters forming from D2O reaction with TMA trapped in the near surface region but this absorption rate is processed slowly [35]

173

1000 1500 2000 2400 2600 2800 3000

-0006

-0004

-0002

0000

0002

0004

0006

0008(a)

2740υOD

TOLO Al2O3

Al-CH3 υCH2

S30A

10 cyc TMAD2O on SAMs with different functional group

Wavenumber

Abs

orba

nce

-OH

-CH3

SiO2

-COOH

-NH2

2500 2600 2700 2800 2900 3000

-00010

-00005

00000

00005

00010

υCH2υOD

10 cycle TMAD2O

SiO2

-COOH

-NH2

-OH

Abso

rban

ce

Wavenumber (cm-1)

-CH3

(b)

Figure 624 Comparison of ALD TMA on the surface with different termination group

174

65 Conclusion The ALD of high-κ metal oxide (TMA TEMAH) on the silicon functionalized with

self-assembled monolayers (SAMs) processing -COOH -OH - NH2 and -CH3 terminal

groups have been investigated using in situ infrared spectroscopy As a comparison the

data of ALD of TMA on silicon oxide is examined as well The ALD process are found to

depend on all following factors 1) chemical reactivity of terminal groups 2) polarity of

group charges 3) surface number density 4) chains packing density and 5) subsurface

reactivity (ie SAMsilicon interface)

These studies demonstrate that the terminal grous of the SAM significantly affect the

selectivity toward TMA adsorption and subsequent ALD of Al2O3 These studies can be

also extended to ALD deposition of other high-k metal oxide for example TEMAH

Understanding the underlying reactions between precursors and various surface functional

groups gives us the control over these surface reactions that eventually leads to a technique

to tailor the ALD As a model system our current study can be further applied to study

ALD nucleation organic-inorganic interface for future nanoelectronics and molecular

electronics applications

175

Bibliography

1 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal

oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

2 Frank MM YJ Chabal and GD Wilk Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides Applied Physics Letters 2003 82(26) p 4758-4760

3 Frank MM et al Hydrogen barrier layer against silicon oxidation during atomic layer deposition of Al2O3 and HfO2 Journal of the Electrochemical Society 2007 154(2) p G44-G48

4 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

5 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

6 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

7 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 8 Glass JA EA Wovchko and JT Yates Reaction of Methanol with Porous

Silicon Surface Science 1995 338(1-3) p 125-137 9 Fidelis A F Ozanam and JN Chazalviel Fully methylated atomically flat (111)

silicon surface Surface Science 2000 444(1-3) p L7-L10 10 Puurunen RL et al Successive reactions of gaseous trimethylaluminium and

ammonia on porous alumina Physical Chemistry Chemical Physics 2001 3(6) p 1093-1102

11 Ferguson JD AW Weimer and SM George Atomic layer deposition of Al2O3 films on polyethylene particles Chemistry of Materials 2004 16(26) p 5602-5609

12 Gow TR et al Decomposition of trimethylaluminum on silicon(100) Chem Mater 1989 1(4) p 406-411

13 Ott AW et al Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 1997 292(1-2) p 135-144

14 Mozgawa W M Sitarz and M Rokita Spectroscopic studies of different aluminosilicate structures Journal of Molecular Structure 1999 512 p 251-257

15 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

16 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

176

17 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

18 Xu Y and CB Musgrave A DFT Study of the Alltsubgt2ltsubgtOltsubgt3ltsubgt Atomic Layer Deposition on SAMs Effect of SAM Termination Chem Mater 2004 16(4) p 646-653

19 Haukka S and T Suntola Advanced materials processing by adsorption control Interface Science 1997 5(2-3) p 119-128

20 Fisher GL et al The interaction of vapor-deposited Al atoms with CO2H groups at the surface of a self-assembled alkanethiolate monolayer on gold Journal of Physical Chemistry B 2000 104(14) p 3267-3273

21 Xu M et al Mechanism of interfacial layer suppression after performing surface Al(CH3)(3) pretreatment during atomic layer deposition of Al2O3 Journal of Applied Physics 2006 100(10)

22 Chen R et al Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics Applied Physics Letters 2004 84(20) p 4017-4019

23 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

24 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

25 Killampalli AS PF Ma and JR Engstrom The reaction of tetrakis(dimethylamido)titanium with self-assembled alkyltrichlorosilane monolayers possessing -OH -NH2 and -CH3 terminal groups Journal of the American Chemical Society 2005 127(17) p 6300-6310

26 Hooper A et al Chemical Effects of Methyl and Methyl Ester Groups on the Nucleation and Growth of Vapor-Deposited Aluminum Films J Am Chem Soc 1999 121(35) p 8052-8064

27 Alam MA and ML Green Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO[sub 2] gate dielectric layers Journal of Applied Physics 2003 94(5) p 3403-3413

28 Puurunen RL and W Vandervorst Island growth as a growth mode in atomic layer deposition A phenomenological model Journal of Applied Physics 2004 96(12) p 7686-7695

29 Halls MD and K Raghavachari Atomic Layer Deposition Growth Reactions of Alltsubgt2ltsubgtOltsubgt3ltsubgt on Si(100)-2amp2151 J Phys Chem B 2004 108(13) p 4058-4062

30 Lee SS et al Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Alltsubgt2ltsubgtOltsubgt3ltsubgt J Phys Chem B 2004 108(39) p 15128-15132

31 Ghosh MK and CH Choi The initial mechanisms of Al2O3 atomic layer deposition on OHSi(1 0 0)-2 x 1 surface by tri-methylaluminum and water Chemical Physics Letters 2006 426(4-6) p 365-369

32 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

177

33 Puurunen RL Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Applied Surface Science 2005 245(1-4) p 6-10

34 Killampalli AS PF Ma and JR Engstrom The Reaction of Tetrakis(dimethylamido)titanium with Self-Assembled Alkyltrichlorosilane Monolayers Possessing -OH -NHltsubgt2ltsubgt and -CHltsubgt3ltsubgt Terminal Groups J Am Chem Soc 2005 127(17) p 6300-6310

35 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

178

7 Chapter 7 Summary

71 General Conclusions In the work described above we have demonstrated that 1) FTIR provides adequate

spectral information for interfacial Si-O-Si bond formation for silane-based SAMs on

silicon oxide surfaces and for chain effects on head group cross-linking 2) three

functionalization routes can be used for HSi(111) surfaces to produce -NH2 terminated

surfaces with high free -NH2 group surface density and with higher stability in aqueous

solution for biological sensor applications than on silicon oxide substrates 3) carboxyl-

terminated SAMs have higher thermal stability than CH3-SAM and both types of SAMs

are thermally stable during the ALD growth conditions and 4) ALD of metal oxide can be

performed on a variety of SAM-terminated Si surfaces in a controllable manner without

formation of unwanted interfacial SiO2

Surface-sensitive transmission infrared spectroscopy captures the spectral changes of

SiO2 surfaces after modification with trichlorosilane A substrate-adsorbate mode at

1200-1260 cm-1 is attributed to the LO phonon mode induced by the coupling of newly

formed Sis-O-Si bonds with the Si-O-Si network of SiO2 Formation of high density

Sis-O-Si is equivalent to adding a layer to SiO2 thus increasing the thickness of the SiO2

layer Consequently the LO mode of SiO2 is blue-shifted and its intensity is increased [1

2] For an organosilane with alkyl chain steric restrictions prevent the formation of dense

head group cross-linking Si-O-Si and interfacial Sis-O-Si suppressing the vibrational

coupling between the siloxane layer and SiO2 substrate In this case the Si-O-Si mode is

decoupled from the SiO2 LO phonon Such spectroscopic information and understanding

provides a tool towards controlling the interfacial structure and a means to better define the

growth mechanisms operative for the silaneSiO2 system In particular this tool will make

it possible to develop method to achieve a densely packed SAMs for use as dielectrics

Among the many known organosilane molecules used for SAMs amino-terminated

SAMs have been used for the fabrication of patterned surfaces Such surfaces are important

to promote the absorption of enzymes and peptides and to achieve selective electroless

179

deposition of metals and nano-particles on semiconductors An immense problem exiting

in fabrication of amino- terminated surfaces either on SiO2 or on H-terminated Si surfaces

is the acid-base interaction between NH2 head group of precursor and the surface silanol

groups on SiO2 surfaces or catalyzed oxidization of H-Si surfaces These side reactions

degrade the stability and quality of the films In this study we have developed three new

approaches to prepare high quality and stable amine-terminated surfaces and verified the

presence of free amine by monitoring its reactivity with PMPI linker molecules It enables

controllable specific attachment of biomolecule to the surface

From FTIR spectra of CnH2n-1-terminated and COOH-(CH2)10-terminated surfaces

spectral features have been identified which could be ascribed to the Si-H stretching mode

confirming that a Si-H group is present on the alkylated surface The peak corresponding to

Si-H stretching mode is centered at 2073 cm-1 ie red-shifted from its common position at

2083 cm-1 for freshly prepared H-Si(111) surface[3] and also much broader than the sharp

feature seen on the ideal flat H-Si(111) surface indicating that Si-H is isolated (surrounded

by atomsmolecules other than H) as is expected if the surface functionalization is uniform

and incomplete The integrated area of this peak is ~25 and ~55 of the integrated area

(0014 cm-1) of Si-H on freshly prepared H-Si(111) surfaces for CnH2n-1-terminated and

COOH-(CH2)10 terminated surfaces respectively Since a lower value of the Si-H

integrated area is correlated with a higher degree of partial oxidization COOH-terminated

SAMs can therefore form denser layers than CH3-terminated SAMs All these observations

confirm that Si-H bonds can react to for Si-C bonds but only partially (up to 50) due

steric interactions [4]

In situ FTIR spectroscopy makes it possible to compare the thermal decomposition

pathways of CnH2n-1-terminated and COOH-(CH2)10-terminated Si(111) surfaces The

simultaneous appearance of H-Si bonds at ~2076 cm-1 with reduction of CH2 mode

intensity on both surfaces indicates that the chain removal proceeds though βminuselimination

by cleavage of the Si-C bond instead of the C-C bond with formation of Si-H The higher

decomposition temperature of COOH-terminated SAMs indicates that they have a higher

thermal stability than CH3-terminated SAMs Both SAMs are thermally stable during the

ALD growth condition (typically from 100-150 degC)

180

In situ infrared spectroscopy also reveals that the ALD of high-κ metal oxide

(TMAH2O) can be performed on the silicon functionalized with self-assembled

monolayers (SAMs) with -COOH -OH - NH2 or -CH3 terminal groups The study shows

that the nature of the end groups significantly affects the selectivity toward TMA

adsorption and subsequent ALD growth of Al2O3 These studies can be also extended to

ALD deposition of other high-k metal oxides such as HfO2 using TEMAH and H2O to

understand the mechanism of growth of interface formation

The ALD process on SAM is found to depend on all following factors 1) the chemical

reactivity of terminal groups 2) the polarity of terminal group charges 3) the end group

surface density 4) the chain packing density and 5) the accessibility and reactivity of the

siliconASM interface The results show that Al2O3 can grow on all surfaces but in a

different manner forming more homogeneous film on the -NH2 and -COOH surfaces and

very non-homogeneous films on -CH3-terminated surfaces due to a lack of initial reactive

nucleation sites Silicon functionalization with all SAMs not only efficiently eliminates the

formation of unwanted interfacial silicon oxide during ALD growth but also prevent SiO2

formation during post-annealing up to 400 degC (ie SAM decomposition temperature) This

provides a potential method to avoid the formation of SiO2 during ALD processing

The understanding of underlying reactions between precursors and various surface

functional groups obtained from this study gives us a better control over these surface

reactions that may constitute an important method to tailor ALD growth for fabricating

nanoelectronic devices These studies of ALD on SAMs also establish a foundation to

understand the ALD nucleation and growth on more complicate organic films such as

ALD on polymers [5] and selective ALD on patterned surfaces [6 7] In addition the

stability of ultra-thin metal oxide films grown on SAMs demonstrated here provides a basis

for the development of a protective nano-coating method

In contrast to conventional methods using thermal pretreatment to control the ndashOH

density on silica and alumina surfaces SAMs provide a convenient way to control the

surface reactivity and surface density of reactive sites which can be applied to a number of

systems

181

Bibliography

1 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

2 Quayum ME et al Formation of organic monolayer on a hydrogen terminated Si (111) surface via silicon-carbon bond monitored by ATR FT-IR and SFG spectroscopy Effect of orientational order on the reaction rate Chemistry Letters 2002(2) p 208-209

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Sieval AB et al Amino-terminated organic monolayers on hydrogen-terminated silicon surfaces Langmuir 2001 17(24) p 7554-7559

5 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

6 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

7 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

182

CURRICULUM VITAE

Meng Li Rutgers University

Department of Physics amp Astronomy Piscataway NJ 08856 Phone (732) 910-7247

mengliphysicsrutgersedu EDUCATION PhD in Physics (Surface Science) Oct 2007 GPA 37540 Rutgers University New Brunswick NJ (Advisor Prof Yves J Chabal Director of Laboratory for Surface Modification) Master of Science in Computational physics Oct2003 GPA 37340 Rutgers University New Brunswick NJ (Advisor Dr Bernie Yurke Bell Labs) MS and BS in Physics Jun 19991997 GPA 8810 Nanjing University Nanjing PR CHINA (Advisor Prof Qiuhe Peng) WORK EXPERIENCE Thick Film Group AZ Electronic Materials USA Corp Branchburg Process Engineer Jul 2007 ~ Aug 2007 (Internship) bull Develop and test photoresists for thick film applications

- Developed and optimized the formulation of thick film photoresists for desired performance

- Test performance of thick film photoresists on different substrates including silicon copper and gold using standard micro lithography methods

Department of Physics amp Astronomy Rutgers University New Brunswick NJ Lab Instructor (Aug2000 ~ May 2003) bull Instructed over 50 engineering students and 120 college students in classical and

modern physics experiments computational physics and experimental data analysis using MatlabExcel

bull Tutored high school students in advanced mathematics and physics and helped them succeed in gaining admission to Princeton Stanford and Cornell

183

RESEARCH EXPERIENCE Research Assistant (Advisor Prof Yves J Chabal) Laboratory for Surface Modification Rutgers University New Brunswick NJ Aug 2003 ~ Aug 2007 bull FTIR study of Atomic layer deposition (ALD) of high-kmetal oxide on semiconductor

surface modified by organic Self-Assembled Monolayers (SAMs) - Examined the chemical and thermal stability of SAMs under the conditions of

ALD processes - Characterized the ALD-grown HfO2 and Al2O3 on organic SAMs with different

functional termination - Investigated ALD precursors chemistry involved in the HfO2 and Al2O3 at

SAMsSilicon interface and optimized the reaction conditions - Studied mechanistically the effects of surface active sites on nucleation and

growth dynamics of ALD high-k metal oxide by using SAMs as model with controllable surface chemistry

- Tested condition of SAM-assisted area-selected ALD on silicon bull Investigation of phase transition in Poly(N-isopropylacrylamide) (PNIPAM) films on

silicon surfaces for applications in controllable micro- nano- fluidic systems - Characterized thin Poly(N-isopropylacrylamide) (PNIPAM) film end-tethered on

surface and investigated the conformational changes during phase transition using in-situ FTIR spectroscopy

bull Modification and characterization of silicon surfaces using organic self-assembled

monolayers for applications in optimization of attachment of biomolecules to silicon surface and nanoelectronics - Fabricated silicon surface with a variety of SAMs through Si-O-Si or Si-C surface

linkages - Studied surface morphology and chemical properties of silicon surface modified

by SAMs - Analyzed chemical bonding and interfacial structure of SAMsSilicon - Developed novel surface chemistry to prepare high quality bio-reactive surface

for biotechnology Research Assistant (Advisor Dr Bernie Yurke) Bell Laboratories Lucent Technologies Murray Hill NJ Apr 2003 ~ Apr 2004 bull DNA Self-Assembly

- Designed DNA strands for formation of DNA nano-complexes - Assembled and Analyzed DNA complexes and imaged the resulting

nano-structures - Developed computer programs to assist designing and optimizing desired

nano-patterns

184

Research Assistant (Advisor Dr Bernie Yurke) California Institute of Technology Computation and Neural Systems Pasadena CA (Prof Eric Winfreersquos Lab) Jun 2003 bull DNA Self-Assembly and Algorithmic principles in biological systems

- Designed DNA strands to generate nano-patterns through DNA self-assembly - Utilized biochemistry techniques to prepare DNA samples and to form synthetic

nano-complexes quantified DNA samples using UV spectrometer formed complicated DNA building blocks to achieve nano-patterns in Polymerase chain reaction (PCR) machine checked structure formation using Gel electrophoreses imaged DNA self-assembled nano-patterns on mica surface using Atomic Force Microscopy (AFM)

- Assembled bio-inorganic nano-systems a periodic gold nano-particle arrays (with L~25nm) using the self-assembled DNA lattice as scaffold imaged the pattern using AFM

EXPERIMENT SKILLS Clean Room Fabrication bull Semiconductor wafer clean and processing bull Micro lithography Suss Coater ACS300 FLEXIFAB coater ASM-L Stepper

Nanospec 8000 film thickness system Suss MA200 Aligner OptiTrac CoaterDeveloper Amray 4200L SEM

Surface Characterization bull Fourier Transform Infrared Spectrometry (FTIR) using a variety of probing

geometries bull Scanning Probe Microscopy (SPM AFM) tapping amp contact mode (in air and in

liquids) bull Scanning Electron Microscopy (SEM) bull Rutherford Back-Scattering (RBS) bull X-Ray photoemission spectroscopy (XPS) bull UHV systems   ChemistryOrganic Polymer Synthesis bull Wet Chemical methods semiconductor sample cleaning (RCA Piranha HF) and

specialized sample handing in inert atmosphere using a glove box bull Functionlization of silicon surfaces with organic material (using both gas and liquid

phase methods) bull Chemistry for thin film deposition (CVD ALD) Computer Skills bull Computer Language C++FortranJava Matlab bull Scientific Software Origin Labview Latex bull Office application MS office 2000

185

bull Operating System UNIX Linux Windows XPNT200098 SUN Solaris on Sparc5Ultra30 workstations SGI R4400 Dell Precision workstation cluster

PUBLICATION bull Meng Li Min Dai Yves J Chabal ldquoIn-situ infrared spectroscopy of Atomic Layer

Deposition high-κ dielectrics on organic self assembled monolayersrdquo Applied Physics Letter 2007 (manuscript in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoSpectroscopic Signature of Self Assembled Monolayer SAMSilicon interfacerdquo 2007 (manuscripts in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFTIR study of Self Assembly of Aminopropyltriethoxysilane on Atomic Flat Hydrogen Terminated Silicon(111) surfacerdquo 2007 (manuscripts in preparation)

bull Guillaume Paumier Anne-Marie Gue Franccediloise Vinet Meng Li Yves J Chabal Alain Estegraveve Mehdi Djafari-Rouhani Jan Sudor ldquoDynamic control of electrokinetic flows on stimuli-responding Surafcerdquo 2007 Langmuir (Acceped )

bull Meng Li Xinlian Luo Qiuhe Peng Zou ZG Chou CK ldquoA new method to determine the thickness of spiral galaxies Apply to Galaxy M31rdquo Chinese Physics Letter 2000 17 (6) 466-468

PRESENTATIONS bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFormation of Aminosilane

self-assembled monolayers on Hydrogen terminated Si(111) surfacerdquo 2006 20th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Alexandra Langner Sandrine Rivillon Yves J Chabal ldquoFTIR Study of Structure and Growth (3-Aminopropl) Triethoxysilane and Triethoxysilane Self Assembly on Silicon Investigated by FTIRrdquo 2005 19th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Bernie Yurke Yves J Chabal ldquo DNA templated nanofabricationrdquo 2004 18th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

PROFESSIONAL AFFILITIES bull Member of American Physics Societies (since 2005) bull Member of American Chemistry Societies (since 2006) REFERENCES Available upon request

  • CoverMeng-fromLatex
  • MengLi_Final_NoCover_10012007
Page 6: MODIFICATION OF SILICON BY SELF …

vi

Table of Contents

ABSTRACT OF THE DISSERTATION ii Acknowledgements iv Table of Contents vi List of Figures ix Chapter 1 Introduction to Silicon Surface Modification Using Self-Assembled

Monolayers 1 11 Introduction 1 12 Chemical Modification of Silicon Dioxide 4 13 Chemical Modification of Silicon (111) Surfaces 5 14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition 7 15 Summary 8 Bibliography 10

Chapter 2 Experimental Detail of Silicon Surface Modifications and Characterization

Technique ndash FTIR Spectroscopy 14 21 Introduction 14 22 Experimental 14

221 Materials 14 222 Sample Preparations 15

23 Instrumentation FTIR Spectroscopy 16 231 Molecular Excitations and Vibrations 16 232 Fourier Transform Infrared Absorbance Spectroscopy 17

24 Instrumentation Atomic Layer Deposition Set-up 23 Bibliography 25

Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study of Surface Bonding and

Interfacial Structure of alkyltrichlorosilane-based SAMs on Silicon Dioxide 26 31 Introduction 26 32 Experimental 28

321 Materials 28 322 Sample Preparations 29 323 Characterization 30

33 Results 30 331 TCS SAM on SiO2 30 332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2 39 333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2 (Chain Length Effects on Head group cross-linking Structures) 45

34 Discussion 51 35 Conclusion 58 Bibliography 59

vii

Chapter 4 Amino-Terminated Monolayer on H- Terminated Crystalline Silicon (111) By an Self Catalytic Reaction 62 41 Introduction 62 42 Experimental 63 43 Results 65

441 Amine-catalyze Oxidization of H-Si backbond and attachment of APTES 88 442 Limited Interfacial Oxidization 89 443 Stability of APTES layer and Binding Capability 89

45 Conclusion 91 Bibliography 92

Chapter 5 Alkylation of H-Terminated Silicon (111) and Thermal Stability of SAMs with

Different Functionalities 95 51 Introduction 95 52 Experimental 96

521 Materials 96 522 Preparation of Self Assembled Monolayers 96

53 Results 98 531 Preparation of Octadecylated Si (111) Surface 98 532 Preparation of COOH-Terminated Monolayer on Si(111) Surface via a Si-C bond 102 533 Chemical Stability Resistance of Organic Monolayer via a Si-C bond to HFNH4F 104 534 Thermal Stability of Octadecylated Si(111) 105 535 Thermal Stability of COOH-Terminated-Si (111) 109

54 Discussion 113 541 Alkyl Packing on the H-Si (111) surface (Theory and Model) 113 542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111) 113 543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)115

54 Conclusion 119 Bibliography 120

Chapter 6 Selective Atomic Layer Deposition (ALD) of Metal Oxide on SAMs with

Different Functional Groups 122 61 Introduction 122 62 Experimental 125

621 Materials 125 622 Preparation of Self Assembled Monolayers 125 623 Characterization of the Self-Assembled Monolayers 128 624 Atomic Layer Deposition Chamber Set Up UHV apparatus 128

63 Results 129 631 Preparation and Characterization of COOH- terminated SAMSi(111) (same as Chapter 5) 129 632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111) 129 633 ALD Growth of TMA on COOH-terminatedSi (111) 134

viii

634 ALD TMA on ndashOH terminated SAMSi (111) 142 635 ALD TMA on ndashNH2 terminated SAMSi(111) 148 636 ALD TMA on ndashCH3 Terminated SAMSi(111) 155 637 ALD Growth of TMA on SiO2 157

64 Discussion 160 641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM 160 642 Mechanism for ALD growth on ndashCH3 SAM 161 643 Initial Reaction Mechanism for ALD growth on ndashOH SAM 165 644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM 167 645 Comparing Initial Reaction of TMA on SAMs with different terminal group 169 646 Comparing the Nucleation and Al2O3 films grown on the different substrate 170

65 Conclusion 174 Bibliography 175

Chapter 7 Summary 178

71 General Conclusions 178 Bibliography 181

CURRICULUM VITAE 182

ix

List of Figures

Figure 11 A Diagram of Field Effect Transistor 3 Figure 21 Inside layout of FT-IR Spectrometer (Nicloet) 18 Figure 22 Interferogram of silicon sample 19 Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission Geometry 21 Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated Si(111) sample

22 Figure 25 FTIR Spectra of water Vapor and CO2 gas 23 Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission FTIR

spectroscopy set up24 Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with

Different Thickness 32 Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 33 Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon Mode of Oxidized

Silicon Substrate35 Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1 35 Figure 35 FTIR Spectra of Polymerized TCS film on SiO2 36 Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area of H-SiO3 and Peak

at 1200-1200 cm-138 Figure 37 Toluene Effect on Oxidize Silicon Substrate 40 Figure 38 Different TCS configuration on the Surface and polymerized film 40 Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode)43 Figure 310 Deconvolution of Si-O-Si absorption band of TCS films 44 Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2 46 Figure 312 A reaction between Monochlorine silane and SiO2 substrate46 Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes

with different chain length47 Figure 314 Sis-O-Si in different configuration Different group attached to Si 48 Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different Substrate 50 Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 52 Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

54 Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface 57 Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane62 Figure 42 p-maleimidophenyl isocyanate (PMPI)65 Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES 66 Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect 69 Figure 45 FTIR Spectra of Time Dependence Experiments70 Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and

without UV (284nm) Illumination72 Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time74 Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water

75 Figure 49 PMPI 24 hours on APTESH-Si(111) 77 Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c

Toluene only79 Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine81 Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or without water

83 Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I) 86

x

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II) 87 Figure 415 Possible Mechanism of APTES reacts with surface H-SiO388 Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)97 Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene 100 Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains 101 Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid103 Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution 106 Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure

N2 gas from 100 to 400 degC 107 Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated

Si(111) sample108 Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c111 Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111)112 Figure 510 Possible Reaction during Annealing 118 Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen

environment118 Figure 61 SAM Assisted Atomic Layer Deposition of Metal 124 Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM 128 Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to TMA for various time

130 Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900 cm-1 131 Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl solution treatment

133 Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111) 135 Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)138 Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on COOH-SAM H-Si(111)

post-annealed in ultra pure N2 gas from 300 to 600 degC 139 Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with COOH-SAM and

following 10 cycle TMAD2O growth and 600 degC annealing 140 Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM 144 Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)147 Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) 150 Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

151 Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method

(Method II) are verified by reacting with PMPI 152 Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) 154 Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111) 156 Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post

annealed from 300 to 600c 159 Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA

Growth on -CH3 SAM164 Figure 619 -COOH SAM terminated Si(111) 167 Figure 620 Possible absorbed TMA on ndashOH terminated Surface 168 Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM168 Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different

terminal groups171 Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group172 Figure 624 Comparison of ALD TMA on the surface with different termination group 173

1

1 Chapter 1 Introduction to Silicon Surface Modification

Using Self-Assembled Monolayers

11 Introduction

Silicon chips have been the backbone of modern electronic technology and computing for

several decades As the size of devices on silicon wafers scales down to sub-nanometer the

surface characteristics become dominant and play an increasingly crucial role in device

operation As the ratio of surface area-to-volume increases in smaller objects precise

control of interface properties is required in the many fields not only in microelectronics

but in other applications including sensors MEMSNEMS molecular electronics and

biologically active surfaces The conventional SiO2Si passivation widely used in

microelectronics solely is not able to fully meet the need of developments It becomes very

exciting field to integrate molecular scales devices solid state inorganic structure with

biological active interface

The conventional ldquotop-downrdquo fabrication technologies become more limited at such a

small scale and prohibitively expensive with impractically low throughput Alternatively

self-assembly an inspiration from the nature could provide a very promising solution to

building nanostructures from the ldquobottom uprdquo Self-Assembled Monolayers (SAMs) of

organic molecule are highly ordered two-dimensional structures on the surface and forms

spontaneously They have been widely used as surface modifiers for practical applications

and basic research since they offer a means of altering and controlling the chemical nature

of surfaces They also have a great potential to satisfy many requirements for a new

nanofabrication technology Self-assembly for instance could make it possible to produce

devices with nanometer precision (even in three dimensions) with parallel processing using

simple wet-chemistry or gas-phase technique for cost-effective manufacturing

SAMs have been widely used in the many areas [1] and particular useful in the

nanotechnology and biotechnology including wetting adhesion friction chemical

2

sensing nano-scale lithography surface patterning[2 3] molecular lubrication[4] and

corrosion prevention [5]

There are different SAM systems have been investigated The most common

adsorbatesubstrate combinations are sulfur-containing molecule on gold (forming Au-S

bonds) organosilanes such as alkylchlorosilanes and alkylalkoxysilanes on oxide surface

(forming Si-O-Si bonds) alkenes on hydrogen terminated silicon surface(forming Si-C

bonds) alcohols on H-terminated silicon surface (forming Si-O-C bonds) Although thiol

Au constitutes the most studied model system metal substrates are less useful or versatile

for fabricating electronics than semiconductor materials In this study we therefore focus

on self-assembly on silicon substrates a system with beneficial properties for future

applications such as fabrication of organic-inorganic hybrid devices biochips (protein

array and DNA array) and biosensor We consider attachment of alkoxysilane molecules

on oxidized silicon (SiO2) as an important model of SAMS on glass We then consider the

interaction of two important classes of molecules on H-terminated silicon surfaces first

amino-terminated SAMS (with ethoxy termination) such as APTES and chlorosilanes such

as octodecylchlorosilanes

Consideration of these systems is important for electronics Let us consider for instance a

conventional field effect transistor with a SiO2 gate oxide (Figure 11) It has been shown

that a dense packed organic monolayer alkyl chain with only a few nanometer thickness is

a very efficient insulating barrier [6] It has a high tunneling barrier (45 eV) for charge

carriers that reduces the tunneling current density at a negligible level (10ndash8 Acm2 at 4

MVcm) [7 8] In comparison the SiO2 insulating layer within equivalent nanometer

thickness range (lt3nm) has leakage current as large as 10-1-10-3 Acm2 [9] SAM-dielectric

organic transistors have been successfully demonstrated on silicon [7 10-12] and on

substrate of metal aluminum terminated with hydroxyl groups [13] The advantage of using

SAMs is not only that is reduces the leakage current but also that it allows an organic thin

film transistor to operate at low voltage which is intrinsic requirement for the device made

of organic semiconductor material [10] Furthermore the tailorable SAM surface can be

modified with methyl- carboxylic amine and hydroxyl group terminations with different

surface energy which can be used to control the carrier density in a device such as organic

3

field-effect transistors [14] SAMs are also widely used as gate dielectrics in fabricating

novel nanoeletronic devices such as carbon nanotube field effect transistors [15]

Figure 11 A Diagram of Field Effect Transistor

Determination of the electrical properties of the organic monolayers and their

interfaces is a key issue for both molecular and silicon nanoelectronics For this purpose

the presence of the 10ndash15 nm thick SiOx layer in the alkylsiloxane SAMs formed from

n-alkyltrichlorosilane on oxidized silicon surfaces precludes the study of a true

siliconorganicndashmonolayer interface The direct formation SAMs on H-Si by

hydrosilylation with alkenes allows direct measurement of SAM-Si interface [16 17] The

interface trap density obtained in the case of the silicon1-octadecene interface was more

than an order of magnitude lower than that obtained in the case of the alkyl chain

monolayer on the oxidized silicon surface [17]

The interaction of biomolecule such as DNA proteins or cells with semiconductors

such as silicon is of great interest for applications such as electronic biosensing To

achieve this silicon need to be properly functionalized by using SAMs with desired end

groups SAMs can be used to either enhance or inhibit adsorption of biomolecules The

SAMs can be also used to control the electronic properties of the surface For example a

single nanowire modified with amine-terminated SAMs is used to detect the pH change in

the solutions [18] It is also shown the direct electrical detection of DNA synthesis can be

done by applying SAMs[19]

4

12 Chemical Modification of Silicon Dioxide

Because silicon dioxide has been widely used in the microelectronics industry for decades

as a method to passivate silicon surface control surface electronic and chemical properties

and pattern surface the first interest of this work focuses on the modification of SiO2 with

SAMs The study of SAMSiO2 has grown rapidly since Sagiv and his co-workerrsquos

pioneered working on SAMSiO2[20] In general understanding silane-based SAMs are

believed to be bonded to SiO2 substrate through Si-O-Si bond and thus can be integrated

into current SiO2-based micronano electromechanical systems (MEMSNEMS) SAMs

also play important roles in fabricating novel hybrid organic-inorganic devices For

instance formation of the SAMs onto the SiO2 gate insulators is known to be a very

effective method to increase the field-effect mobility of organic thin film field

transistors[21]

In spite of wide applications of alkylsiloxane SAMs on SiO2 the actual mechanism of

monolayer formation on SiO2 remains a subject of debate Many studies have been carried

out to investigate the underlying mechanism but the results are often conflicting

In general picture the silane molecule first must be hydrolyzed to form reactive silanol

groups and further they condense with surface hydroxyl groups [22] to form Sis-O-Si bond

or with other hydrolyzed silane molecule to form Si-O-Si cross-linking Unlike SAMs of

alkanethiols and fatty acids in which the ultimate film structure is determined by

substrate-molecule and van der Waals chain-chain interactions the reactive head-groups in

organosilanes introduce potential lateral film growth mechanisms at substrates Formation

of head group cross-linking is very sensitive to environment such as water content

temperature [23] [24] The competition between head group cross-linking and surface

bond formation leads to poor reproducibility and inconsistent results

It is also argued that cross-linking and thin adsorbed water layer are keys to form high

quality SAMs but the bond between the chains and substrate is not necessary [25] [26]

The earlier IR study suggests few Sis-O-Si forms if there is any[27] In addition to the

existing debate on the formation of interface Sis-O-Si bond the growth dynamics of SAMs

whether it is uniform growth or island growth is also under the debate Overall results

suggest that it depends on water content [28 29] and self-assembly process strongly favors

5

island-type growth with increasing water content However the island-growth is still found

in the condition of absence of traces of water in solution [30]

Amine-terminated surface is very useful in the biological applications The important

applications of APTES-modified surface include promotion of adhesion and

immobilization of membrane and biological molecule physically or chemically Aminated

surface is also used for directing growth of the nanoparticles and nanorodes [31] [32] on

the surface One of widely used method is using aminosilane (APTES) on SiO2 substrate

However compared to the other alkylsilaneSiO2 the ndashNH2 functional group introduces

more complexity into already complicated SilaneSiO2 system The interaction between

NH2 group and surface hydroxyl groups or silanol groups makes it even more difficult to

form ordered layers NH2 group acts like build-in catalyst for the reaction of alkoxy [33]

[34] and chlorosilane [35 36] It can efficiently catalyze the formation of Si-O-Si bond and

exaggerate the self-polymerization Even worse APTES-modified SiO2 is found to be

unstable in the aqueous solution and can be easily removed from the surface This problem

greatly hinders its applications in the biological application where most of reactions are

conducted in the water-soluble solution

13 Chemical Modification of Silicon (111) Surfaces

Hydrogen passivated silicon has been the starting point for many important applications in

which the oxide is not desirable due to its insulting barriers and high density of electronic

defects at the interface H-terminated crystalline silicon (111) is particularly interesting

because of its unique characteristics H-Si(111) surface is atomic flat over large area (over

hundred Angstroms) and has very well defined surface structure with three of the Si atom

tetrahedral bond tied up with bulk silicon and one Si which is normal to surface terminated

with hydrogen atom It is least sterically hindered surface available on Si crystal that can be

easily prepared by wet chemical etching in aqueous hydrofluoride and ammonium fluoride

solutions[37] H-Si(111) is stable and can be easily manipulated in the air or organic

solvent without using expensive vacuum system Finally the reactivity of H-Si toward

organic materials and the well understood interface makes it great candidate for potential

molecular-semiconductor devices or chemical biosensor

6

Even though H-terminated silicon (111) is relatively stable in pure O2 or pure water

environments an oxide layer quickly forms upon exposure to ambient environment

Consequently the oxidization reduces its electrical properties and compromises the device

performance One promising technique to overcome this oxidization problem is to form

molecular monolayers with stable surface Si-C bond

Covalently attached organic monolayers on oxide-free silicon surface get increasing

attention because of their structural order stability technique usefulness and

controllability It can be easily prepared by a reaction between 1-alkene and a hydrogen

terminated silicon surface thermally [38-40] photo-chemically[41] by employing

transition metal catalysis[42] or by Lewis acid catalyzed reactions[43 44] It also has been

accomplished using alkyl Grinard and alkyl lithium reagents in a two-step process [45-47]

The structure of monolayers formed on silicon by hydrosilylation of 1-alkenes and

1-alkynes has been studied by FTIR contact angle experiments X-ray analysis [39 48

49] impedance spectroscopy[50 51] and a variety of theoretical techniques including

molecular mechanics[52] molecular dynamics[53] and density functional computations

[52 54-57]

Two mechanisms have been proposed for hydrosilylation of H-terminated silicon

Linford suggested radical chain mechanism [38 39] A surface silyl radical is formed from

UV or thermal activation attacks the unsaturated bonds (C=C) of 1-alkenen to form Si-C

bond with formation of a new radical center on the carbon atom The carbon centered

radical of chain abstracts nearestndashneighbor H atom forming a new silyl radical and

initiating the free-radical chains reaction An alternative mechanism involving formation

of electron-hole pairs has been proposed by Buriak et al They investigated hydrosilylation

promoted with white light and suggested an unbound exciton produced by light absorption

that leads to a surface-localized supra-band gap positive charge This surface charge can

then interact with alkenes and form a silylated β-carbocation upon Si-C bond formation

This carbocation can then abstract a hydride from an adjacent Si-H bond yielding the

neutral organic termination [58]

A great interest is on how alkyl chains pack on the Si(111) surface In a simple steric

model of alkylated surface the inter-nuclear distance between adjacent atop sites on the

Si(111) crystal face is 38 Aring and a methyl group with a van der Waals diameter 25 Aring is

7

small enough to replace every H atom and link to every Si atom reaching 100 coverage

However alkyl chain with chain length longer than two carbons has van der Waals

diameter 45- 50 Aring implying that it cannot fit to every Si site because of steric restriction

A theoretical model study on alkyl packing has shown the maximum coverage for long

n-alkyl chains on Si(111) is 50-55 [52]

This work concerns with thermal hydro-silylation of H-Si(111) with a linear

1-alkene1-undecenic acid and its derivatives

14 Organic-inorganic hybrid device Self-Assembled Monolayer Assisted Atomic Layer Deposition

SAM modified silicon substrate can be integrated into other nanoelectronics applications

because it can effectively control the surface properties One application is the application

of deposition of ultra-thin inorganic material (high-k) on the top of SAM modified surface

Atomic Layer deposition (ALD) is a thin film growth technique based on a sequential

self-limited surface reaction It has recently received considerable attention as a method for

depositing high quality thin films of insulators semiconductors and metals with

sub-nanometer control of growth process The surface-reaction-controlled binary

deposition mechanism ensures high conformal growth with precise control of the film

thickness and uniformity over large area

The ALD growth and film quality are sensitive to the starting surface The nucleation

and the interface formation are greatly affected by the surface functional group and

reactivity with ALD precursors Usually hydroxyl group -OH on SiO2 is mostly used By

controlling the surface functional group ALD process can be manipulated for

area-selective ALD growth[59 60] a controllable nucleation

Scaling down integrated circuit require to replace the SiO2 gate dielectric (dietetic ~ 34)

in metal-oxide-semiconductor field effect transistor (MOSFET) with high-k dielectrics in

order to reduce the required effective oxide thickness (EOT) without considerable leakage

Among the stable oxide Al2O3 (k~7) and HfO2 (k~25) are very promising candidates with

high dielectric constant so that have been studies intensively on different substrate such as

8

SiO2 porous silicon and H- terminated crystal silicon The popular combination includes

trimethylaluminum (TMA) and water for Al2O3 and TEMAH and water for HfO2 growth

To fully take advantage of using high-k material ALD deposition requires to use

oxide-free H terminated silicon as starting surface to obtain atomically sharp Sihigh-k

interface The existences of SiO2 will significantly compromise the benefits of using

high-k dielectrics by reducing the effective gate stack capacitance

The usage of HSi as a substrate for high-k material such as HfO2 and Al2O3 deposition

although very promising has been found to have the following problems 1) low reactivity

HSi has remarkable resistance to oxidization however it also causes the poor nucleation

characteristics of many ALD-grown high-k films resulting in nonlinear growth kinetics

and formation of discontinuous and electrically leaking gate stacks 2) interfacial SiO2

formation It is found that interfacial SiO2 is unavoidably formed during ALD growth as a

side-reaction [61] [62] The method to increase nucleation and promote linear growth by

using more reactive precursor such as replacing water with Ozone has been found increase

interfacial SiO2 formation more So to have a surface with enough reactivity toward ALD

precursor while remaining resistance to unwanted oxidizations is important to solve the

obstacle mentioned above

In this thesis we use self-assembled monolayers formed from hydrosylation of

H-Si(111) as starting substrate and use Al2O3 as an example to demonstrate SAM assisted

ALD deposition of high-k dialectics on oxide-free surface The Alkyl-SAM covalently

bond to Si substrate through Si-C bond is extremely stable and will not be oxidized in the

ambient environment up to months or under the current ALD growth condition Meanwhile

the tailorable head groups of SAM provide a neat way to study and control (either promote

or inhibit) nucleation of ALD process We research into high-k precursor adsorption and

initial ALD growth on SAM with different chemical functional groups (-OH -COOH

-NH2 -CH3) using in-situ infrared spectroscopy In addition our study also provides

deeper understanding on ALD on polymers surface

15 Summary

9

The work presented here addresses several important issuers existing in current two most

popular silicon substrate-based self-assembled monolayers systems alkylsilaneSiO2 and

alkenesH-Si(111) by using powerful FTIR spectroscopic technique We first discuss the

chemical modification of SiO2 via Si-O-Si bond through formation of siloxane monolayers

and chemical modification of oxide-free crystal Si surface by Si-C bond through one-step

thermal alkylation process using alkenes

In silaneSiO2 system particular emphasis is placed on identifying IR spectral

signature at the SAMSiO2 interface This is first time that the spectral changes of SiO2

substrate is observed after SAM modification implying Sis-O-Si formation between silane

and substrate which is described in Chapter 3 In Chapter 4 we discuss a specific example

of silane-based new method to prepare stable -NH2 terminated surface on H-Si(111)

surface without required ndashOH surface groups The new methods different from either

conventional SilaneSiO2 system or alkeneH-Si(111) system overcomes some

disadvantages in current widely used methods

Chapter 5 discusses functionalization of H-Si(111) using 1-alkene (-CH3) and

1-undecylnic acid (-COOH) and its thermal stability by using is-situ FTIR technique In

Chapter 6 we first discuss the preparation of Si-C SAM with other two types of chemical

functional groups (-OH -NH2) and atomic layer deposition of high-k metal oxide (Al2O3)

on different functional surface is described and compared in the second part of Chapter 6

Finally a general conclusion of current understanding of the mechanism of

SilaneSAM and atomic layer deposition of metal oxide on alkylated Si(111) with different

functional groups is summarized in Chapter 7

10

Bibliography

1 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev

1996 96(4) p 1533-1554 2 Finnie KR R Haasch and RG Nuzzo Formation and Patterning of

Self-Assembled Monolayers Derived from Long-Chain Organosilicon Amphiphiles and Their Use as Templates in Materials Microfabrication Langmuir 2000 16(17) p 6968-6976

3 Zheng J et al Nanopatterned Assembling of Colloidal Gold Nanoparticles on Silicon Langmuir 2000 16(10) p 4409-4412

4 V V Tsukruk Molecular Lubricants and Glues for Micro- and Nanodevices Advanced Materials 2001 13(2) p 95-108

5 Jennings GK et al Effect of Chain Length on the Protection of Copper by n-Alkanethiols Langmuir 1998 14(21) p 6130-6139

6 Boulas C et al Suppression of Charge Carrier Tunneling through Organic Self-Assembled Monolayers Physical Review Letters 1996 76(25) p 4797 LP - 4800

7 Collet J et al Low-voltage 30 nm channel length organic transistors with a self-assembled monolayer as gate insulating films Applied Physics Letters 2000 76(14) p 1941-1943

8 Vuillaume D et al Organic insulating films of nanometer thicknesses Applied Physics Letters 1996 69(11) p 1646-1648

9 Lo S-H et al Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFETs Electron Device Letters IEEE 1997 18(5) p 209-211

10 Halik M et al Low-voltage organic transistors with an amorphous molecular gate dielectric 2004 431(7011) p 963-966

11 Park YD et al Low-voltage polymer thin-film transistors with a self-assembled monolayer as the gate dielectric Applied Physics Letters 2005 87(24) p 243509

12 Yoon MH A Facchetti and TJ Marks sigma-pi molecular dielectric multilayers for low-voltage organic thin-film transistors Proceedings of the National Academy of Sciences of the United States of America 2005 102(13) p 4678-4682

13 Klauk H et al Ultralow-power organic complementary circuits 2007 445(7129) p 745-748

14 Kobayashi S et al Control of carrier density by self-assembled monolayers in organic field-effect transistors 2004 3(5) p 317-322

15 Weitz RT et al High-performance carbon nanotube field effect transistors with a thin gate dielectric based on a self-assembled monolayer Nano Letters 2007 7(1) p 22-27

16 Miramond C and D Vuillaume 1-octadecene monolayers on Si(111) hydrogen-terminated surfaces Effect of substrate doping Journal of Applied Physics 2004 96(3) p 1529-1536

11

17 Kar S C Miramond and D Vuillaume Properties of electronic traps at silicon1-octadecene interfaces Applied Physics Letters 2001 78(9) p 1288-1290

18 Cui Y et al Nanowire Nanosensors for Highly Sensitive and Selective Detection of Biological and Chemical Species

101126science1062711 Science 2001 293(5533) p 1289-1292 19 Pourmand N et al Direct electrical detection of DNA synthesis 101073pnas0601184103 PNAS 2006 103(17) p 6466-6470 20 Netzer L and J Sagiv A new approach to construction of artificial monolayer

assemblies J Am Chem Soc 1983 105(3) p 674-676 21 Lin YY et al Stacked pentacene layer organic thin-film transistors with

improved characteristics Ieee Electron Device Letters 1997 18(12) p 606-608 22 Zhuravlev LT Concentration of hydroxyl groups on the surface of amorphous

silicas Langmuir 1987 3(3) p 316-318 23 Parikh AN et al Correlation of Molecular-Organization and Substrate

Wettability in the Self-Assembly of N-Alkylsiloxane Monolayers Journal of Physical Chemistry 1995 99(24) p 9996-10008

24 Glaser A et al Investigation of the role of the interplay between water and temperature on the growth of alkylsiloxane submonolayers on silicon Langmuir 2004 20(13) p 5599-5604

25 Finklea HO et al Formation of an organized monolayer by solution adsorption of octadecyltrichlorosilane on gold electrochemical properties and structural characterization Langmuir 1986 2(2) p 239-244

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

28 Vallant T et al Formation of self-assembled octadecylsiloxane monolayers on mica and silicon surfaces studied by atomic force microscopy and infrared spectroscopy Journal of Physical Chemistry B 1998 102(37) p 7190-7197

29 Vallant T et al Investigation of the formation and structure of self-assembled alkylsiloxane monolayers on silicon using in situ attenuated total reflection infrared spectroscopy Langmuir 1999 15(16) p 5339-5346

30 Wang MJ et al Self-assembled silane monolayers Fabrication with nanoscale uniformity Langmuir 2005 21(5) p 1848-1857

31 Taub N O Krichevski and G Markovich Growth of Gold Nanorods on Surfaces J Phys Chem B 2003 107(42) p 11579-11582

32 Mieszawska AJ GW Slawinski and FP Zamborini Directing the growth of highly aligned gold nanorods through a surface chemical amidation reaction Journal of the American Chemical Society 2006 128(17) p 5622-5623

33 Blitz JP RSS Murthy and DE Leyden Ammonia-catalyzed silylation reactions of Cab-O-Sil with methoxymethylsilanes J Am Chem Soc 1987 109(23) p 7141-7145

12

34 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

35 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

36 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

37 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

38 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

39 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

40 Sieval AB et al An improved method for the preparation of organic monolayers of 1-alkenes on hydrogen-terminated silicon surfaces Langmuir 1999 15(23) p 8288-8291

41 Terry J et al Determination of the bonding of alkyl monolayers to the Si(111) surface using chemical-shift scanned-energy photoelectron diffraction Applied Physics Letters 1997 71(8) p 1056-1058

42 Holland JM et al Metal Mediated Reactions on Porous Silicon Surfaces Journal of Solid State Chemistry 147 p 251-258

43 Buriak JM and MJ Allen Lewis Acid Mediated Functionalization of Porous Silicon with Substituted Alkenes and Alkynes J Am Chem Soc 1998 120(6) p 1339-1340

44 Ohyama H et al Photoluminescence of porous silicon surfaces stabilized through Lewis acid mediated hydrosilylation Journal of Luminescence 80 p 29-35

45 Bansal A et al Alkylation of Si Surfaces Using a Two-Step HalogenationGrignard Route J Am Chem Soc 1996 118(30) p 7225-7226

46 Bansal A et al Spectroscopic Studies of the Modification of Crystalline Si(111) Surfaces with Covalently-Attached Alkyl Chains Using a ChlorinationAlkylation Method J Phys Chem B 2001 105(42) p 10266-10277

47 Webb LJ and NS Lewis Comparison of the Electrical Properties and Chemical Stability of Crystalline Silicon(111) Surfaces Alkylated Using Grignard Reagents or Olefins with Lewis Acid Catalysts J Phys Chem B 2003 107(23) p 5404-5412

48 Fidelis A F Ozanam and J-N Chazalviel Fully methylated atomically flat (111) silicon surface Surface Science 2000 444(1-3) p L7-L10

49 MP Stewart EGR TW Geders MJ Allen H Cheul Choi JM Buriak Three Methods for Stabilization and Functionalization of Porous Silicon Surfaces via Hydrosilylation and Electrografting Reactions physica status solidi (a) 2000 182(1) p 109-115

50 Allongue P et al Organic monolayers on Si(111) by electrochemical method Electrochimica Acta 1998 43(19-20) p 2791-2798

51 Yu H-Z et al Molecularly Tunable Organic Capacitors at SiliconAqueous Electrolyte Interfaces1 J Phys Chem B 2000 104(47) p 11157-11161

13

52 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

53 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

54 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

55 Kruse P et al Patterning of Vinylferrocene on H-Si(100) via Self-Directed Growth of Molecular Lines and STM-Induced Decomposition Nano Lett 2002 2(8) p 807-810

56 Kang JK and CB Musgrave A quantum chemical study of the self-directed growth mechanism of styrene and propylene molecular nanowires on the silicon (100) 2 x 1 surface The Journal of Chemical Physics 2002 116(22) p 9907-9913

57 Pei Y J Ma and Y Jiang Formation Mechanisms and Packing Structures of Alkoxyl and Alkyl Monolayers on Si(111) Theoretical Studies with Quantum Chemistry and Molecular Simulation Models Langmuir 2003 19(18) p 7652-7661

58 Buriak JM and MP Stewart Exciton-Mediated Hydrosilylation on Photoluminescent Nanocrystalline Silicon J Am Chem Soc 2001 123 p 7821-7830

59 Chen R et al Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification Applied Physics Letters 2005 86(19)

60 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

61 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

62 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

14

2 Chapter 2 Experimental Detail of Silicon Surface

Modifications and Characterization Technique ndash FTIR

Spectroscopy

21 Introduction

Two classes of methods are applied to silicon surface modification based on starting silicon

surface For silicon dioxide surface organicsilane-based silanization was applied For

hydrogen terminated silicon (111) surface a thermal alkylation method adapted from

Chidsay et al was used[1 2]

22 Experimental

221 Materials

Float-Zone grown Si(100) from Silicon Valley Microelectronic (San jose CA) with thin

oxide ( ~ 60 Aring) is used for silicon oxide based silanization The native oxide was etched

away by diluted HF solution and re-oxidized in hot piranha solution It provides a dense

and uniform thin oxide layer with thickness 15-20 Aring

Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was

used for preparing hydrogen terminated surface The silicon wafer was doped with p to a

resistivity gt 30 Ω cm and polished on both sides to a thickness of 450 μm Si(111) samples

are cut to a size of 15 x 38 cm in order to fit into homemade sample holder for ALD

chamber

All solvents used in silanization and alkylation reaction were anhydrous (for detailed

information see the specific chapters respectively) stored under nitrogen-purged glove box

and used as received from Sigma-Aldrich Corp All chemicals were used as received

except where noted The deionized water with resistivity of gt182 MΩ cm obtained from a

Barnstead Nanopure system (Dubuque IA) was used at all time

15

222 Sample Preparations

2221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in a

hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yeild high quality oxide with high density of surface

hydroxyl group In addition the thickness of oxide can be appropriately controlled by

varying the time of dipping in the piranha solution

2222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into either N2 purged FTIR spectrometer

chamber for taking reference spectra or N2 purged glove box where all further chemical

functionalizations were conducted

16

2223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used for surface modification It is well

known that silanization process is very sensitive to many parameters such as water content

humidity glassware history resulting in the lack of reproducibility The experiments

reported in this work has been carried in N2 purged glove box with extreme care However

some parameters are still hardly possible to be controlled For example the mount of thin

water layer physically absorbed on the hydroxyl surface depends on the environmental

humidity In our experimental condition there was no chance to remove them or control

them The freshly cleaned silicon oxide sample was immediately introduced into the N2

glove-box after taking reference spectra The 01 (vv) silane solution (OTS BTCS TCS

APTES) is freshly prepared by pipetting 20 μL neat silane liquid into 20 mL anhydrous

toluene The sample was sitting inside the 01 APTES solution for a desired time and

rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried in

N2 (g) flow and transformed into FTIR chamber for measurement

2224 Thermal Alkaylation of H-Si(111) Surface

Neat alkene (ocatadecene (C18) (98) ) was pre-treated with 3Aring molecular sieve for

overnight and then N2 (g) bubbling for at least 1 hour right before the reaction It is very

important to have N2 bubbling for sufficient time period in order to get high quality SAM

without oxidizing the silicon surface After transferring the ocatadecene liquid into the

three-necked flask loading H-Si(111) sample and assembling the condenser tube in the N2

glove box the whole set was sealed and moved out from N2 glove box to a regular chmical

hood The octadecene was then continuously purged with N2 (g) for additional 30 min

before heating it up to reaction temperature of 200 degC in a silicon oil bath

23 Instrumentation FTIR Spectroscopy

231 Molecular Excitations and Vibrations

Not all molecular vibrations absorb infrared radiation It depends on the dipole moment of

the bond The electric field of incoming infrared beam alternately stretches and compresses

a polar bond If this alternate stretching and compressing of the bond occurs at the natural

17

frequency of vibration of bond energy may be absorbed This kind of vibration of bond

with dipole moments generally results in IR absorption (IR active) When the bond has

symmetric distribution and zero dipole moment the external electric field does not interact

with it As a consequence no IR absorption can be observed (IR inactive) However this

bond could still possibly be seen in IR spectrum whenever its symmetric becomes

non-symmetric for the part of time because of the effect from environments

232 Fourier Transform Infrared Absorbance Spectroscopy

Infrared Spectroscopy is powerful tool used for material analysis for over seventy years It

meansures the frequencies of infrared light absorbed by a compound Unlike conventional

dispersive IR where each wavelength of radiation is scanned individually and requires a

considerable amount of time an FTIR spectrum contains all frequencies scanned at the

same time (all included in the interferogram) The infrared spectrum represents a

fingerprint of a sample with absorption peaks which corresponds to the frequencies of

vibrations between the bonds of the atoms that make up the material Furthermore FTIR

offers high signal-to-noise ratio and can be used to detect even monolayer molecule on the

surface

In the surface science FTIR technique is particular useful tool for investigating the

surface-bound species and interface bonding of a chemically modified surface It provides

a non-destructive method to probe the sample surface and interface High sensitivity allows

FTIR to probe monolayer at the interface In addition with modern software algorithm it

makes FTIR a great tool for quantitative analysis

2321 Overview Figure 21 shows the overview layout inside a FTIR spectrometer (Nicolet) A

polychromatic infrared beam is emitted from source and then focused into the plane of an

adjustable aperture by an elliptical mirror The light hits a beam splitter and half of light

passes through directly to a fixed mirror and half of it reflected on a fast-moving mirror

Two beams are reflected back from mirrors and recombined at splitter forming

interferogram The encoded interferogram passes through the sample and finally reaches

the detector (Liquid nitrogen cooled MCT-A MCT-B or room temperature DTGS

18

detector) A helium-neon monochromatic laser beam is used as reference to calculate

position of moving mirror to make sure interferometer measures at exactly the same data

point

Figure 21 Inside layout of FT-IR Spectrometer (Nicloet)

2322 Interferogram The interferometer produces a unique type of signal which has all of the infrared

frequencies ldquoencodedrdquo into it The signal can be measured very quickly usually on the

order of one second or so Interferometers employ a beamsplitter which takes the incoming

infrared beam and divides it into two optical beams One beam reflects off from a flat

mirror which is fixed in place The other beam reflects off of a flat mirror which is on a

mechanism that allows this mirror to move a very short distance (typically a few

millimeters) away from the beam splitter The two beams reflect off from their respective

mirrors and are recombined when they get back at the beam splitter Because one path that

one beam travels is a fixed length and the other is constantly changing as its mirror moves

the signal which exits the interferometer is the result of these two beams ldquointerferingrdquo with

19

each other The resulting signal is an interferogram which has the unique property that

every data point (a function of the moving mirror position) which makes up the signal to

have information about every infrared frequency which comes from the source This means

that as the interferogram is measured all frequencies are being measured simultaneously

Thus the use of the interferometer results in extremely fast measurements[3] The

ldquoencodedrdquo beam passes through the sample and reaches the detector with the information

of sample A typical interferogram detected by the detector is shown in the Figure 22

υυδπυλδπυδ dBBI )2cos()()2cos()()( intint

infin

infinminus

infin

infinminus

== (Eq 1)

1000 2000 3000 4000

-8

-6

-4

-2

0

2

4

Volts

DataPoints

-002

000

002

3000

Zoom In

1000

Figure 22 Interferogram of silicon sample

2323 Fourier Transformation The signal received by detectors is still an interferogram in space domain (Figure 22) It

needs to be converted to the frequency domain by applying Fourier transformation ((Eq 2)

After employing the FT transformation to interferogram we obtain a single beam spectrum

20

as shown in Figure 24 (top two) Ideally when applying FT to interferogram it integrates

with the retardation value from negative infinite to infinite But this is physically

impossible because moving mirror travel only finite distance The setting limit on the

integration equally truncates the interferogram mathematically and the truncation results in

a finite line shape with side lobes after Fourier Transformation To eliminate the side lobes

an apodization functions is applied [4] There are different apodization functions that can

be used In this work all spectra are corrected using the Happ-Genzel apodization

algorithm

δυπδυ dIB )2cos()()( intinfin

infinminus

= (Eq 2)

2324 Experimental Set up and Absorbance Spectroscopy Processing In the conventional FTIR geometry a multiple internal reflection (MIR) geometry is often

used to increase the absorption signal ([5 6]) because the low number of total absorbers on

a crystalline Si surface (~ 1015 cm-2 monolayer -1) produce weak or undetectable signal

However this technique is in trade of increased signal with decreased detectable spectral

range so because of long path length multi-phonon absorption renders the silicon

substrate opaque at lt 1500 cm-1 Recent advance in FTIR technology have enabled the use

of transmission mode geometry to measure the low frequency infrared absorption mode of

surface specifies and its sensitivity allows measuring even a monolayer on the surface For

example on an atomically flat hydrogen terminated Si(111) surface the Si-H bond of

monolayer hydrogen on the top of surface is clearly represented by two very sharp peaks

Si-H stretching mode at 2083 cm-1 and its bending mode at 620 cm-1 [7-9] as shown in

Figure 24

In this work Nicolet Nexus 6700 Nexus 670 or Magna 760 were used in the

experiments All Infrared absorption spectra were collected in transmission absorbance

geometry as shown in Figure23 For maximum transmission signal the incident angle 74ordm

the Brewster angle for silicon is used At the Brewster angle p-polarized component (its

electric field of the light wave lies in the same plane as the incident ray and the surface

21

normal) completely transmits through the sample without reflection The sample is also

measured at 10ordm a nearly normal incident angle The incident-angle-dependence can

identify the orientation of the surface species and interface bonding The bonds with dipole

perpendicular on the surface will not be seen in the normal incidence

DetectorIR beam

interferometerθ

Sample

Figure23 Configuration of Infrared Absorbance Spectroscopy in Transmission

Geometry

Spectra are usually recorded for a surface before treatment as a reference and after

each surface modification The absorbance spectrum can be calculated with the following

equation from the single beam spectra of reference and sample (Eq 3) All positive

features in absorbance spectrum correspond to the newly formed bonds or adding materials

to the reference and all negative features correspond to the removal of material or breaking

bond of the reference sample In this way we are able to detect tiny changes of the amount

of monolayer on the surface and the interface with the removal of interference from

background(bulk silicon etc) An absorbance spectrum processed from two single beam

spectra are shown in Figure 24C

010log

IIA minus= (Eq 3)

All spectra are recorded with a resolution of 4 cm-1 with 1000 scans per loop of total 5

loops and the last three loops are averaged in order to improve the signal-to-noise ratio

(SNR) which is proportional to square root of a number of scans

In most cases absorbance spectra directly processed from single beam spectra

includes spectrum of water vapor and carbon dioxide and must be subtracted carefully A

22

Figure 24Infrared Single beam spectrum of silicon oxide sample and H-terminated

Si(111) sample

This demonstrate that how we obtains the absorbance spectrum of freshly etched H-Si(111) by processing two single beam spectra recorded immediately before and after HF etching

23

water and CO2 spectrum used for spectral subtraction are generated with the same detector

under the similar experimental condition Usually we subtract two single beam spectra

from first two consecutive loops obtained for the same sample As the chamber is

constantly purged with N2 assuming sample itself is stable the spectra changes between

two loops are solely caused by the removal of water vapor and CO2 inside chamber by

purge A typical water and CO2 correction spectra are shown in Figure 25 The water and

CO2 subtraction should be processed separately All the spectra processing work

mentioned above and others such as base line correction and integration of peak area are

done using Ominc Software from Thermo Nicolet Inc

Figure 25 FTIR Spectra of water Vapor and CO2 gas

24 Instrumentation Atomic Layer Deposition Set-up

A home-built Atomic Layer Deposition reactor system with in-situ Infrared spectroscopy

is used for this study [10 11] The schematic drawing of ALD system is shown in Figure

26 The pressure in the ALD chamber is normally maintained at ~3 Torr for the deposition

and the silicon substrate is kept at 100 degC during Al2O3 deposition and at 60 degC during

24

FTIR measurements The sample temperatures are measured using a thermocouple clipped

to the substrate The H-terminated silicon samples are immediately loaded in nitrogen

(oxygen impurity lt 10-6 ppm) purged reactor chamber for Al2O3 deposition Growth is

performed by using alternative pulses of 5 sec TMA (carried with ultra-pure N2 gas) and 5

sec D2O mixture in a home-built ALD reactor connected to an FTIR spectrometer (Nicolet

Nexus 670 with external MCTB detector) for in-situ surface analysis The reactant sources

are introduced into the chamber through stain steel pipe by bubbling the sources (TMA

bubbler ot D2O bubbler) at room temperature The partial pressure of TMA (Shipley

OptoGrade) and D2O (Aldrich 999) are 1 mbar and ~10 mbar respectively The IR beam

is incidented at 70deg (~Brewster angle) and the IR transmitted KBr windows are protected

from contamination by closing gate valves during precursor exposure The shutters are

only opened to take IR measurements between depositions cycles after the chamber is fully

purged To prevent cross reaction between residual metal and D2O precursors the ALD

chamber is purged and pumped for 5 min with ultra-pure N2 gas after each precursor

exposure Post-deposition annealing is performed in-situ under N2 gas purge

Figure 26 Home Made Atomic Layer Deposition Chamber and in-situ Transmission

FTIR spectroscopy set up

25

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Nicolet Introduction to Fourier Transform Infrared Spectrometry 2001 Thermo Nicolet Publication

4 Griffiths PR De Haseth James A Fourier transform infrared spectrometry 1986 New York Wiley

5 Boukherroub R et al Insights into the formation mechanisms of Si-OR monolayers from the thermal reactions of alcohols and aldehydes with Si(111)-H Langmuir 2000 16(19) p 7429-7434

6 Ozanam F A Djebri and JN Chazalviel The hydrogenated silicon surface in organic electrolytes probed through in situ ir spectroscopy in the ATR geometry Electrochimica Acta 1996 41(5) p 687-692

7 Rivillon S et al Chlorination of hydrogen-terminated silicon(111) surfaces Journal of Vacuum Science amp Technology A 2005 23(4) p 1100-1106

8 Rivillon S et al Gas phase chlorination of hydrogen-passivated silicon surfaces Applied Physics Letters 2004 85(13) p 2583-2585

9 Watanabe S and Y Sugita Anisotropic Dynamic Polarization of Surface Vibrations Associated with H on Stepped Si(111) Chemical Physics Letters 1995 244(1-2) p 105-110

10 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

11 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

26

3 Chapter 3 Alkoxysilane on Silicon Dioxide FTIR study

of Surface Bonding and Interfacial Structure of

alkyltrichlorosilane-based SAMs on Silicon Dioxide

31 Introduction

Organosilane monolayers on hydroxylated oxidized silicon surfaces self-assembled

systems are one of the most studied SAMs system However there is considerable debate

on the mechanism of how SAMs forms on SiO2 surface and contradictory results are

keeping seen in different references Among them one of debated central questions is if

silane molecule is covalently anchored to the surface or instead cross-linked absorbed to

thin water layer of the surface only

The only reported spectroscopic evidence about interfacial covalent bond formed

between silane and surface is at ~1060 cm-1 (attributed to asymmetric stretching mode of

Sisubstrate-O-Si) reported by Tripp in his early work in 1995[1] In his work Tripp reported

that few if any Sisubstrate-O-Si surface bonds are formed However to our knowledge the

detailed information on the structure of SAMs on the interface especially when it forms

long range ordering on the interface is not clear and lacks spectroscopic evidence In this

work we study the direct evidence of the species covalently formed on the surface and

systematically investigate the interfacial structure of silane-based SAMs on SiO2 surface

using FTIR in transmission geometry

The key to understand surface bonding and mechanism of SAMs formation is spectral

region between 1000-1300 cm-1 which contains Sisubstrate -O-Si Si-O-Si Si-O-C modes

The overlapping multiple modes make it a very complicated band structure It may also

contain the contribution from bulk silicon Using absorbance FTIR spectra in the

transmission allows us overcome this problem and solely acquire information from the

interface

27

The advanced technology gives us enough sensitivity to detect the spectral region

below 1400 cm-1 in the transmission geometry which normally is inaccessible in

conventional multiple reflection geometry

Another topic covered in the chapter is how the chain length affects the interfacial

structure (head group cross-linking) and the packing of chains in the final self-assembled

monolayer Stevens[2] pointed out that the cross-linking must be prevented in order to get

fully covered and densely packed monolayer due to the steric interaction between

hydrocarbon chains Fontaine et al study the effect of head group cross-linking on the chain

packing in a Langmuir system without substrate influence using X-ray diffraction They

found that hexagonal structure at maximum packing density typical of long chain

amphiphiles is preserved during the polymerization process But the extent of the order is

a decreasing function of the number of cross-links [3] Using silane molecule with

different head groups which has different hydrolysis rate Fancis et al found that the faster

the cross-linking forms the less dense the film packs There is a competition between

packing of chains under van der Waals and cross-linking formation of head group When

the cross-linking process is slower the better (denser) chains packing will be achieved[4]

In this Study we use n-alkyltrichlorosilane with different chain length including

trichlorosilane (TCS) Butyltrichlorosilane (BTCS C4) Dodecyltrichlorosilane (DTS

C12) and octadecyltrichlorosilane (OTS C18) TCS is the simplest silane molecule with

only one hydrogen attached to Si atom which is used as a probe molecule to study

spectroscopic feature of interfacial bond

The use of trichlorosilane instead of alkoxysilane is based on two reasons chlorosilane

is much more reactive and can be quickly hydrolyzed which allows us solely focus on the

chain length effect and head group cross-linking Second alkoxy- head groups have larger

size than a chlorine atom It will put some additional steric restriction on the packing of

SAMs if they are not completely hydrolyzed This is very likely as the hydrolysis takes

place slowly In addition the previous studies have shown that alcohol products from

hydrolysis of alkoxysilane may react with the surface further thus introducing more

complexity on the surface As for the product HCl from chlorosilane there is no observed

significant evidence of reaction with surface [5 6]

28

Self-Assembling of alkyl chains is usually driven by the van der Waals (VDW)

attraction that favors formation of compact arrays of molecules with maximum contact

between chains and by the interaction between head-group and surface With increasing

length of alkyl chains van Der Waals attractive interaction between chains increases which

actually helps improve the pack density of chains and ordering of SAM[7] In general a

crystal structure will try to find a balance between packing as closely as possible so as to

maximize the van der Waals energy and packing as loosely as possible so as to maximize

molecular motion

The reproducibility problem still existed in forming siloxane SAMs on SiO2 substrate

since the monolayer formation is very sensitive to the reaction condition such as water

content and temperature The major intrinsic source of the reproducibility problem is the

coupling of polymerization (cross-linking) and surface anchoring (Sisubstrate-O-Si) Even

small difference in the water content for example humidity in the environment may lead

to significant difference in the monolayers quality and morphology This is one of the

reasons for a to considerable debate on how siloxane SAMs form on SiO2 [8]

32 Experimental

321 Materials

Float-Zone grown n-Si(100) from Silicon Valley Microelectronic (San jose CA) was

used The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both

sides to the thickness of 450 μm

Following chemicals were used as received except where noted (3-aminopropyl)

triethoxysilane (APTES) (98) trichlorislane (HSiCl3 TCS 99) Butyltrichlorosilane

(n-C4H9SiCl3 BTS99) Dodecyltrichlorosilane (n-C12H25SiCl3 DTS97) and

n-octadecyltrichlorosilane (n-C18H37SiCl3OTS gt90) Butyl (chloro)dimethylsilane

anhydrous toluene (998) anhydrous acetonitrile(998) (all from Sigma-Aldrich Corp)

29

322 Sample Preparations

3221 Preparation of Thin Oxide Silicon Surface

The newly cut Si(100) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated sulfuric acid (96) and 40 hydrogen

peroxide at the ratio 31 at 95degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) to etch away the native oxide (~ 60 Aring) and rinsed thoroughly with DI water The

cleaned oxide-free sample is then re-introduced into hot piranha solution and oxidized at

95 degC for at least 30 minutes to re-grow thin oxide layer (~ 15 Aring) The oxide grown from

hot piranha solution is known to yield high quality oxide with high density of surface

hydroxyl group

3222 Preparation of H-Terminated Si(111) Surface

The newly cut Si(111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩcm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95 degC for 25 minutes to remove the surface contamination

followed by thorough DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide layer

and produce an atomic flat H- terminated Si(111) surface During the etching step the

wafer was occasionally agitated to remove the bubbles formed on the surface After NH4F

etching sample is rinsed thoroughly with DI water and dried under a stream of N2 (g) The

sample was then immediately removed into N2 purged FTIR spectrometer chamber for

taking reference spectra or into a N2 purged glove box where all further chemical

functionalization were conducted

3223 Silanization of Silicon Oxide Surface

Silanization of silicon oxide surface are mostly used to engineer SiO2 surface It is well

known that silanization processes are very sensitive to many environment parameters such

30

as water content humidity glassware history etc where it usually lacks reproducibility

The experiment reported in this work had been carried in N2 purged glove box with

extreme care However some parameters can still hardly be controlled For example the

amount of thin water layer physically absorbed on the hydroxyl terminated SiO2 surface

depends on the environmental humidity In our experimental condition there is no way to

remove them or control them The freshly wet-cleaned silicon dioxide sample was

immediately introduced into the N2 glove-box after taking reference spectra The 01 (vv)

silane solution is freshly prepared by pipetting 20μL neat silane liquid into 20mL

anhydrous toluene Toluene is chosen as a solvent since it is known to dissolve an optimal

quantity of water (ie ~015 mgmL) necessary for the formation of well-packed silane

SAMs [9] The sample was then sitting inside the 01 APTES solution for a desired time

and rinsed thoroughly and sonicated for 5 minutes with solvent Finally samples were dried

in N2 (g) flow and transformed into FT-IR chamber for measurement

323 Characterization

Infrared absorption spectra of functionalized Si surfaces are recorded between 650cm-1

and 4000cm-1 on a Nicolet FT-IR spectrometer equipped with liquid nitrogen cooled down

MCT-A detector in transmission geometry The data acquisition is performed with an

incident IR beam at 74deg off normal (Brewster angle for silicon) and 10deg off normal

(This angle is chosen to minimize the possible reflection interferences observed at normal

incidence) A 4 cm-1- resolution is used and total 5 loops with 1000 scansloop are acquired

for each spectrum The deconvolution analysis of FTIR spectral was done using the ldquofit

multiple peak Gaussian functionrdquo and ldquoNonlinear Curve fitrdquo of ORIGIN 75 software

33 Results

331 TCS SAM on SiO2

Figure 31 shows FTIR spectra of TCS layer formed on the different oxidized silicon

substrates with different thickness of silicon dioxide The peak at 2253 cm-1 is attributed to

the stretching mode of H-SiO3 and corresponding bending mode is at 890 cm-1[10 11] The

31

band at 1000-1200 cm-1 belongs to the Si-O-Si asymmetric stretching mode typical for a

siloxane network or chains The band consists of several overlapping peaks that correspond

to Si-O-Si in different configurations The band between 800-900 cm-1 consists of at least

two peaks 830 cm-1 for stretching mode of Si-O-Si and 890 cm-1 for deformation mode of

H-SiO3 [12-14] The negative peak at 975 cm-1 is attributed to the surface Si-OH[15] The

disappearance of this mode accompanied with positive modes at 1000-1200 cm-1 directly

indicate the removal surface hydroxyl group by reacting with TCS silane

The position of peak that appeared at the range 1200-1260 cm-1 is found to be

substrate-dependent 1256 cm-1 for TCS on thick oxidized silicon 1236 cm-1 for thin

oxidized silicon substrate but it is absent for TCS film formed on H-Si(100) (Figure 31)

To quantify this dependence on substrate the thickness of SiO2 thin film is monitored by

checking the peak position of longitude optical phonon (LO) mode of asymmetric

stretching mode of Si-O-Si

As shown in the Figure 32 both LO and TO phonon mode of SiO2 blue-shifts with

increasing thickness of SiO2 film Although TO mode is believed to be more reliable than

LO mode to reflect the change of interface [16 17] LO mode is used here instead of TO

because the spectroscopic change caused by the peak shifting of TO mode locates within

the range of 1050-1065 cm-1 overlapping with the multiple vibration modes of Si-O-Si

from siloxane and is very difficult to be resolved LO mode usually between 1200 and

1260 cm-1 can be more easily differentiated from other bands of TCS and still can provide

qualitative information about SAM SiO2 interface

In Figure 33 the peak position of peak at 1200-1260 cm-1 after TCS deposition is

plotted against the peak position of LO mode of SiO2 substrate All other experiment

conditions are the same The position of this peak is linearly correlated with the peak

position of the LO phonon mode of SiO2 substrate below 1240 cm-1 spectral range This

phenomena is similar to the earlier study by Queeney on thermal SiO2Si interface[18] For

thermal thin silicon oxide the position of LO mode is positively correlated with the

thickness of thin SiO2 film within the range of 1215-1240 cm-1 When SiO2 film becomes

thicker this thickness-dependence becomes negligible the position of LO mode reaches

the plateau at ~1255 cm-1 and becomes less sensitive to the change of SiO2 thickness In our

experiment the average deviation of this peak from the original position of LO mode of

32

Figure 31 FTIR Spectra of Trichlorosilane (TCS) Deposited on Oxidized Silicon Substrate with Different Thickness FTIR spectra of TCS layer deposited on a thick SiO2 (LO1254 cm-1) b thin SiO2 (LO1225 cm-1) c oxidize free H-terminated Si(100) surface The thickness of oxidized silicon layer is monitored by the position of LO phone mode of SiO2 shown on the Figure 2 The peak at 880 cm-1 is assigned to deformation mode of H-SiO3 and 830 cm-1 is due to Si-O-Si symmetric stretching mode

33

Figure 32 FTIR Spectra of SiO2 substrate Used for TCS Deposition shown in the Figure 1 The FTIR Spectra are obtained for SiO2 substrate with different SiO2 thickness used for TCS functionalization Both Spectra are referenced to the oxide free H- terminated Si(100) surface

34

substrate is 12 cm-1 as shown in Figure 33 Keeping in mind this peak is not a real peak

but a differential peak due to the peak shifting of LO As shown in a schematic drawing in

Figure 34 the actual peak shift is less than this number This thickness-dependence

strongly suggests that TCS modification of SiO2 is equivalent to added SiO2 layer to SiO2

substrate The Si-O from TCS is coupling with Si-O-Si network in the SiO2 substrate TCS

molecule must covalently form Si-O-Si bond with substrate Si atom in order to have such

strong coupling of asymmetric stretching mode of Si-O-Si between added siloxane layer

and silicon oxide substrate Based on the size of TCS molecule it is theoretically possible

to match it to Si-O-Si network of silicon oxide of substrate This is very clear evidence for

interfacial structure formation between siloxane and SiO2 substrate

In order to further confirm that the peak within 1200-1260 cm-1 is related to interfacial

structure not contributed from the siloxane layer itself the spectra of polymerized TCS

thick film (poly-TCS) made from mixing TCS with excess water are acquired As shown

in the Figure 35 the intensity of all spectroscopic features related with polymerized-TCS

layers increase proportionally various Si-O mode including polymeric (Si-O-Si)x

stretching modes at 1000-1200 cm-1 and corresponding bending mode at 830 cm-1 the

stretching mode of H-SiO3 at 2250 cm-1 and bending mode at 871 cm-1 However the peak

within 1200-1260 cm-1 is not seen in the spectra of poly-TCS Although we cannot tell if it

exists and hides in the broad band of 1000-1200 cm-1 we could at least make the

conclusion that this peak is not contributed from the polymerized layer but from interfacial

structure The detailed analysis of spectral region 1000-1200 cm-1 will be conducted in the

following session

35

Figure 33 Dependence of Peak Position of 12xx cm-1 of TCSSiO2 on LO Phonon

Mode of Oxidized Silicon Substrate

The X-axis is position of LO phonon mode of fresh cleaned SiO2 substrate Y-axis is position of peak at 12xx cm-1 observed in the absorbance spectra of TCSSiO2 referencing relative to the starting cleaned SiO2 surface

Figure 34 A Schematic Drawing Showing the Origin of Peak at 12xx cm-1

This drawing shows as effective SiO2-like layer is added to the initial SiO2 film by depositing TCS on SiO2 the peak of LO phonon mode is shifted to the higher frequency with increasing intensity(red) As a result a differential peak (green) depending on the

12 cm -1

36

peak position of LO phonon mode of initial SiO2 substrate is appeared at even higher frequency when spectra are referenced to the initial SiO2 surface

1000 1500 2000 2500 3000 3500

TCS 18h

973

~005

Abso

rban

ce

Wavenumber(cm-1)

10-3

b

interface

10851170

2256881

10-2

a

10701157

υH-SiO3

~058 poly-TCS2250

871

Figure 35 FTIR Spectra of Polymerized TCS film on SiO2

FTIR Spectra of a polymerized TCS film formed by mixing TCS with water and then quickly deposited on an oxidized silicon surface b TCS thin layer deposited from 01 TCS in anhydrous toluene The spectra are displayed at different scale shown by scale bars in the figure Both spectra are referenced relative to the initial clean SiO2 surface The peak of stretching mode of H-SiO3 is shifted from 2256 cm-1 for the TCS monolayer to 2250 cm-1 for polymerized TCS and the corresponding H-Si bending mode is shift from 881 to 871 cm-1 The peak at ~1230 cm-1 does not proportionally increase with increase of thickness of film indicating it is interface features

37

Since the stretching mode of H-SiO3 of TCS at 2253 cm-1 does not overlap with other

bands it is sensitive to bond environment such as the electro-negativity of the other

substituents attached to Si atom So we can use it as a probe to estimate the total amount of

absorbed TCS Figure 36a shows the correlation of integrated area of stretching H-SiO3

and integrated area of region 1000-1200 cm-1 contributed from various modes of Si-O-Si

Figure 36b shows the correlation between integrated area of stretching H-SiO3 and

integrated area of peak at 1200-1260 cm-1 A perfect linear relationship (with R=0994)

between integrated area of H-SiO3 and Si-O-Si suggests that both of them are proportional

to the amount of siloxane attached on the surface On the contrary for the integrated area of

peak at 1200-1260cm-1 it is not correlated with the amount of siloxane absorbed on the

surface and remains unchanged with average value at ~0015cm-1 when integrated area of

H-SiO3 is less than 006 cm-1 This suggests that this peak is contributed from the interface

The saturation also suggests that the number of interfacial Sis-O-Si bonds might reach its

maximum at this particular experiment condition

Is this peak at 1200-1260 cm-1 caused by the oxidization of substrate in the solvent or is

it really caused by the attachment of TCS siloxane To answer this question the effect of

solvent on the substrate is checked Figure 37 shows the spectral change of clean oxidized

silicon after the exposure to anhydrous toluene for a significantly long period of time(3

days) The tiny peak at the position of initial LO phonon mode (~1213 cm-1) of underlying

SiO2 substrate indicates that the surface oxidization caused by solvent has negligible effect

on the formation of observed peak at 1200-1260 cm-1

In summary we have identified that the peak at 1200-1260 cm-1 is a spectral feature

related to interfacial covalent Si-O-Si bond formed between TCS siloxane and SiO2

substrate It is a direct evidence that TCS forms desnly covalent bond with the SiO2 by

strong coupling with Si-O-Si network of SiO2 substrate

38

002 004 006 008 01000

02

04

06

08

10

12

Inte

grat

ed A

rea

of S

iOS

i Reg

ion

Integrated Area of υ(H-SiO3)

002 004 006 008 0100005

0010

0015

0020

0025

0030

Inte

grat

ed A

rea

of B

and

at 1

200-

1260

cm

-1

Intergrated Area υ(H-SiO3)

Figure 36 Correlation between Integral Area of H-SiO3 and Si-O-Si Integral Area

of H-SiO3 and Peak at 1200-1200 cm-1

39

332 Detailed Analysis of TCS-Polymer and TCS SAM on SiO2

Figure 35 shows FTIR spectra of TCS SAM and poly-TCS film We are particularly

interested in the multiple modes of Si-O-Si overlapped at 1000-1200 cm-1 and H-SiO3

mode at ~2250 cm-1 Both regions reveal important information for characterizing structure

of SAM or thick polymerized film Besides the difference discussed in the last session

about peak at 1200-1260 cm-1 a noticeable peak shift is found for both peaks of Si-O-Si

and H-SiO3 Both are blue-shifted to higher frequency for the TCS-SAM

Our approach is to use the knowledge from analyzing modes of the poly-TCS film to

help interpret the spectra of SAMs with alkyl chain on SiO2 In the spectra of monolayer

the interfacial structure becomes dominated and the difference comparing to thick

polymeric film will reflect the characteristics of interfacial structure

H-SiO3 Mode

H-SiO3 mode is centered at 2250 cm-1 for poly-TCS and blue-shiftes to 2256 cm-1 for

TCS-SAM It is well known that both stretching and bending mode of H-SiOx is sensitive

to environment of back bond Si bonding For example depending on the number of oxygen

atom to which Si atom bonded the peak position of H-Si stretching mode at 2260 cm-1 for

H-SiCl3 and it shifts to lower wavenumber at 2250 cm-1 with substitution of all Cl with O

atom[19] The different peak position of H-SiOx mode can be also explained as different

configuration of TCS on substrate and in the polymerized film In the poly-TCS TCS bond

to other silane molecule through Si-O-Si bridge forming a thick layer while on the surface

at least one or two Si atom of a TCS molecule may bond to surface silicon atom and is more

restrained The schematic drawing is shown in the Figure 38

40

Figure 37 Toluene Effect on Oxidize Silicon Substrate

The FTIR spectra of clean oxidize silicon after exposure to a TCS for 20 hours b Anhydrous Toluene for 3 days The changes in the region of 1200-1260 cm-1 induced by surface oxidization are negligible compared to the spectroscopic feature of TCSSiO2 in the same region

Si OH

H

O

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

OSi

SiOH

H OH

I II

OHSi

H

SiOH

H

OSi

H

O

O

SiO

HO O

SiO

H

On Surface Poly-TCS

Figure 38 Different TCS configuration on the Surface and polymerized film

41

Si-O-Si Mode

Because the Si-O-Si asymmetric stretching band at 1000-1200cm-1 includes multiple

contributions from Si-O-Si band at different configuration it is extremely difficult to

characterize it First we analyze the band of poly-TCS by deconvoluting it into four

Gaussian peaks centered at 1023 1063 1115 1178 cm-1as shown in Figure 39

The assignments are made partially based on Grill earlier work and references to it [20]

The 1023 cm-1 is attributed to asymmetric stretching of Si-O-Si with a small bond angle

that might be encountered in networked silicon suboxide (with non-oxygen atom H CH3

etc attached to Si) It may also include contribution from bound six-membered rings

contained in the poly-film [21 22] Depending on the density of poly-film a LO-TO

splitting may exist 1023cm-1 could be TO mode of asymmetric stretching of Si-O-Si with

small bond angle and corresponding LO mode that exists at ~1220cm-1 which may be

hidden in the broad peaks [23]The ~1060cm-1 is assigned to Si-O-Si in networking

structure and 1115 cm-1 can be assigned to Si-O-Si in cage structure with large Si-O-Si

bond angle of approximately 150deg or larger [20] [12 14 24 25] It should be noted here

first before we determine the assignment to 1178cm-1 Since Grill uses 30deg incidence angle

when he recorded IR spectra it is near normal incidence and the LO mode of Si-O-Si may

not be seen even if there is LO-TO splitting existing in amorphous poly-film[23 26]

Indeed in our further polarization study with setting incidence angle of IR beam at 10deg we

found that the higher frequency portion of band 1000-1300 cm-1 significantly reduced at

10deg incidences which makes us feel confident on assigning 1078 cm-1 to the LO mode of

asymmetric stretching mode of Si-O-Si in networked structure with small Si-O-Si bond

angle The corresponding TO mode is seen at 1063 cm-1 as mentioned above Some other

possible contributions to band at 1200-1260 cm-1 may come from the LOTO mode of

Si-O-Si in a four-membered rings structure [23 26 27]

TCS-SAM

Figure 310 shows the deconvolution of 1000-1300 cm-1 for TCS-SAM formed on SiO2

Four Gaussian peaks centered at 1061 1121 1178 1232 cm-1 are used to fitting the peaks

Compared to poly-TCS 1023cm-1 is not seen and the new peak at 1221 cm-1 becomes very

apparent The imposition of all four sub-peaks gives two dominate peaks at 1085 and 1170

42

cm-1 which is higher than 10701157 for poly-TCS film The absence of 1023cm-1 peak

may suggest that networked sub-oxide with smaller Si-O-Si angle less than 144deg (indicated

by ~1028cm-1) does not appear in the SAM

As we discussed in the last section 1232 cm-1 is induced by increasing effective

thickness of SiO2 caused by the formation of dense interfacial Si-O-Sis bond between TCS

and substrate It should have corresponding TO mode at ~1060 cm-1 Here we assign

10611232 cm-1 to the Si-O-Si of SiO2 due to modification of SiO2 substrate by attaching

TCS molecule The Si-O-Si is tightly restrained to the substrate Si-O-Si through covalent

surface bonding (Sis-O-Si) and coupled with Si-O-Si network of substrate

Based on the general knowledge the intense peak at 1063 cm-1 is likely an imposition

of two peaks at the close position with different origin One is TO mode at 1232 cm-1 and

another one is TO mode at 1176 cm-1 10601178 cm-1 could be assigned to TO-LO mode

of networking Si-O-Si between two adjacent molecules It is previously suggested that not

every (or even only few) silane molecule is bonded to surface Currently we cannot

quantify the exact ratio of amount of interface Si-O-Si to Si-O-Si between molecules

however we believe that sufficient amount of Sis-O-Si is formed in order to generate

observered coupling with SiO2 substrate 1121cm-1 could be assigned to the Si-O-Si with a

large bond angle in an open structure (cage-like) They donrsquot have LO-TO splitting

possibly because of weak dipole coupling between nearby Si-O-Si bond in such

configuration

43

1000 1100 1200 1300

0000

0002

0004

0006

0008

0010

0012

0014

0016

0018

0020

0022

TO

1028

1063

1115

Abso

rban

ce

Wavenumber (cm-1)

poly-TCS 4 peak modes LO-TO Splitting

1178

2x10-3

LO

Figure 39 Decovolution of Si-O-Si absorption band of TCS poly-films (4-peak mode) A deconvolution of the spectral region 1000-1300 cm-1 was performed by non-linear least squares fitting methods (in Originreg Software) by means of Gaussian functions By assuming all dominated band from the film itself and not from interface we find a best fit using 4 peaks Our deconvuliton is considered both Si-O-Si in different configurations (with different bond angle) and the possible LO-TO splitting of some of Si-O-Si modes LO-TO splitting depends on the degree of long-rang interactions in the film

44

1000 1100 1200 1300

00000

00005

00010

00015

00020

1230

1178

1065

SAM-TCS 4 peak ModeAb

sorb

ance

Wavenumber (cm-1)

1125

Figure 310 Deconvolution of Si-O-Si absorption band of TCS films

The peak at 1121 cm-1 is attributed to Si-O-Si with large angle in a cage structure 1061 cm-1 and 1178 cm-1 are assigned to the stretching mode of smaller angle Si-O-Si in a networking structure 1232 cm-1 is induced by shifts of LO mode of underneath SiO2 because of increasing effective thickness of SiO2 caused by the formation of interfacial Si-O-Si bond

45

333 Alkyltrichlorosilane with longer hydrocarbon Chains on SiO2

(Chain Length Effects on Head group cross-linking Structures)

TCS as the simplest trichlorosilane provides a good model to characterize the

structure of interfacial bonding and siloxane layers Because of only hydrogen atom

attached to Si there is essentially no steric restriction for TCS head group cross-linking In

this section we will further check how alkyl chain length affects the head group

cross-linking

First of all we have checked the attachment of monochlorosilane to SiO2 As shown in

Figure 311 a strong sharp peak at 1099 cm-1 is directly assigned to Sis-O-Si bond between

silane molecule and substrate Other positive peaks at 1261 and 2964 cm-1 are related to

alkyl chains and are assigned to CH3 rocking mode and its stretching modes The negative

peak at ~980 cm-1 is previously assigned to stretching mode of surface hydroxyl group

Sis-OH[15 28] It is consistent with that silanol group of hydrolyzed silane that is

condensed with surface hydroxyl group forming Sis-O-Si Another negative peak at 1230

cm-1 is associated with modification of SiO2 substrate by the attachment of silane When

silane molecule covalently bonds to surface Si atom it disturbs the longitude optical mode

of Si-O-Si network of substrate SiO2 which is sensitive to long range interaction These

negative features also strongly suggest covalent attachment of silane molecule to surface

(as shown in Figure 312)

Figure 313 shows the comparison of TCS BTS (C4) DTS (C12) and OTS (C18) SAM

formed on SiO2 substrate As a comparison a spectrum of monochlorosilane from Figure

311 is relisted on the bottom

46

1000 1500 2000 2600 2800 3000 3200

0001

0002

0003

ρCH3 1261

1236

υSi-OH 980

Si CH3CH3 Cl

CH3

Abso

rban

ce

Wavenumber (cm-1)

2964υCH

1099υSis-O-Si

2x10-4

Figure 311 FTIR Spectra of Monochlorosilane with C4 alkyl attached to SiO2

FTIR Spectra were recorded after wet-chemistry cleaned SiO2 exposed to monochlorosilane solution for 20 hours A strong sharp peak at 1099 is assigned to Sis-O-Si bond between silane and substrate[29] Other postive peaks at 1261 and 2964 cm-1 are related to alkyl chains and are assigned to CH3 rocking mode and CH stretching mode The negative peak at 980 cm-1 is assigned to Si-O stretching of the surface isolatedgeminal groups [30] and 1236 cm-1 is assigned to LO mode of SiO2 substrate

OH

SiO

OH

SiO

OH

SiO

OH

SiO

OHSi

O

OH

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

SiCH3CH3

CH3

OH

SiO

O

SiO

OH

SiO

OH

SiO

OHSi

O

O

SiO

OH

SiO

OH

SiO

SiCH3CH3

CH3

ClH2O

condensation

HClH2O

Figure 312 A reaction between Monochlorine silane and SiO2 substrate

Formation of Sis-O-Si should be a dominated reaction The cross-linking between molecules is impossible Binding of silane molecule to substrate will perturb the original Si-O-Si network in substrate

47

1000 1500 2000 2500 3000

0042

1467υCH3

υCHυH-SiO3

1099υSi-OH

BTMS (monochorosilane)

OTS C18

DTS C12

BTS C4

Ab

sorb

ance

Wavenumber (cm-1)

TCS

υSis-O-Si

973 interface SiO2

10-3

0026

Figure 313 Comparison of FTIR spectra of SAM formed on SiO2 from different alkyltrichlrosilanes with different chain length

FTIR spectra of SiO2 exposed to TCS solution for 18 hours and BTS (C4) solution for 48 hours DTS (C12) 48 hours and OTS (C18) 3 days were compared (longer time to allow saturation) In addition a spectrum of clean SiO2 exposed to Butyl (chloro) dimethylsilane (BCDMS) is listed on the bottom as a further comparison BCDMS have only one reactive chlorine group and cannot form cross-linking with each other The band peaked at 1100 cm-1 attributed to Sis-O-Si surface linkage provides insightful information for deconvoluting the complicate region at 1000-1200 cm-1 for trichlorosilane

48

Si-O-Si Region (1000-1200cm-1)

As what we expect TCS has highest integrated peak area of 1000-1200 cm-1 It

suggests TCS form denser Si-O-Si cross-linking BTS and DTS show lower integrated

peak area at the same spectral range suggesting less dense Si-O-Si cross-linking forms

Although for monochlorosilane (BCDMS) the band peaked at ~1100 cm-1 is

straightforwardly assigned to Si-O-Si surface linkage[28] we cannot simply assume the

same mode of Si-O-Si of TCS since BTS will be also in the same position as BCDMS at

1100 cm-1 On the contrary a shift is expected The difficulty here is that the exact position

of this mode varies depending on the bond environment The Si-O-Si-R will be different

from Si-O-Si-O- (see Figure 314 below) This may explain different value for Si-O-Si

seen in the different references For example in the earlier study by Tripp et al they found

asymmetric stretching mode of Si-O-Si at 1060 cm-1 [31] for a monochlorotrimethylsilane

which is 1080 cm-1 for trichlorosilane on silica [19]

Figure 314 Sis-O-Si in different configuration Different group attached to Si

Si

O

Si

CH3

CH3 CH3Si

O

Si

CH3

CH3Si

O

Si

CH3

OH O

A B

49

For OTS-SAM it has higher integrated area of 1000-1200 cm-1 and with intense peak

at 2250 cm-1 which is assigned to H-SiO3 stretching mode For the origin of H-SiO3 it will

be discussed later With presence of H-SiO3 mixed in the SAM it may reduce the steric

interaction and decrease the alkyl chain packing density while the density of Si-O-Si

cross-linking increases

The saturated integrated area of CH2 stretching mode at 2800-3000 cm-1 is 004 for

BTS 006 for DTS 090 for OTS (Niharika Unpublished data) The factors affecting this

value include chain length packing density and orientation

There is negative peak at ~973 cm-1 in the spectra of BTS and DTS SAM indicating

removal of surface Si-OH group Both have not shown spectral features at ~1230cm-1 as

seen in the TCS-SAM suggesting BTS and DTS donrsquot form dense interfacial Si-O-Si

linkage on the oxidize surface as TCS does This is expected because the steric interaction

may prevent BTS or DTS from forming dense cross-linking OTS-SAMSiO2 has shown

similar negative feature at ~973 cm-1 but positive feature around ~ 1230 cm-1 a similar

feature as TCS As we discussed in the previous session it is the evidence that OTS forms

dense long-ranged cross-linked Si-O-Si and is coupled with Si-O-Si of SiO2 substrate

which is equivalently increases the thickness of SiO2 by adding a layer of Si-O to the SiO2

substrate At the same time the stretching mode of CH2 group of alkyl chains is found at

2921 cm-1 suggesting formation of well ordered SAM This ldquoabnormalrdquo behavior of OTS

compared to DTS BTS will be discussed later

We have further polarization study for OTSndashSAM Figure 316 shows Si-O-Si spectral

region (1000-1300 cm-1) of OTS-SAM formed on SiO2 surface with deconvolution into

four components centered at 1061 1108 1150 1195 cm-1 The spectra were recorded at

both 74deg and 10deg incidence angle in transmission geometry The intensity of modes at 1150

and 1195 cm-1 are significantly reduced when the spectra are taken at 10deg incident

angle(near normal) suggesting both may attribute to the LO mode of asymmetric

stretching of Si-O-Si 10611195 cm-1 might be assigned to TOLO mode of Si-O-Si in

4-ring configuration 1108 and 1150 cm-1 could be assigned to TOLO mode of Si-O-Si in

a configuration with larger Si-O-Si bond angle Polarization dependence shown above

suggests the existing of LO component (a similar dependence seen in the case of infrared

spectra of SiO2)

50

1000 1500 2000 2500 3000-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005Si-C SAM (Alkene HSI111) vs SiO SAM (OTSSiO2)

~002

~05

1467ρCH3

υSiO

2083

2256υH-SiO3

υSiH~0084

~0093

Abs

orba

nce

Wavenumber (cm-1)

Si-CHSi(111)

OTSSiO2

υCH28502918

10-3

Figure 315 Comparison of FTIR Spectra of two C18 alkyl chains packing on Different

Substrate

FTIR Spectra were recorded for H-Si(111) sample after thermally alkylated with octadecyldecene (C18 Si-C SAM) The spectra was referenced relative to freshly etched H-Si(111) surface Si-O SAM was formed by immersion SiO2 sample into the OTS solution for 3 days at 4 degC The spectra were referenced relative to clean SiO2 surface Since both have same length of carbon chains (C18) we could use the Si-C SAM which is better defined as reference to predicate the packing status of OTS SAM (The tilting angle may be different and may lead to different integrated area even when both have same number density of alkyl chains)

51

Comparing to TCS-SAM Si-O-Si modes of OTS-SAM appear at higher wavenumber

(blue-shifted) This might be explained because the chain-chain VDW interactions of OTS

have impact on the head group cross-linking Si-O-Si Such cross-linking will introduce

topological constraint on the head structure resulting in shift to higher frequency

34 Discussion

The region 1000-1200 cm-1 of infrared spectra of siloxane SAMs on SiO2 provides very

useful information on SAMSiO2 interface for both inner structure of SAMs and thick

siloxane poly-film However interpreting this region of amorphous film is extremely

difficult because this region includes contribution from multiple modes of Si-O-Si whose

actual peak position is very sensitive to local bond environment and varies with different

Si-O-Si bond anglesUnlike thermal grown SiO2 the Si-O-Si may exist in more

complicated configurations for SAMs or poly-film with different bond angle and

attachments of different groups (H Cl CH3 etc) to Si atom In addition each Si-O-Si

asymmetric stretching mode may have TO-LO splitting and shows two modes instead of

one in the spectra The TO-LO splitting appears when near-by Si-O-Si can form strong

coupling This is also environmently-dependent And in an amorphous film (like siloxane)

the environment can vary a lot depending on preparation conditions

FTIR spectra of TCS-SAMSiO2 clearly show that substrate-dependent positive peak

appears at ~1200-1260 cm-1 It is assigned to LO mode of coupled Si-O-Si between

siloxane and SiO2 substrate The shift of LO mode to higher wave number with increasing

intensity strongly suggests the added siloxane layer be well cross-linked and coupled with

substrate Si-O-Si This is equally like when TCS-SAM modifies the SiO2 substrate by

adding SiO2ndashlike layer on top of it In order to have strong couple with Si-O-Si in substrate

a dense Si-O-Si covalent linkage is needed This is evidence that TCS is covalently

anchored to SiO2 substrate through Sis-O-Si by ondensation with surface Sis-OH group

Based on this fact it is unlikely that TCS is only physically absorbed on the surface

52

1000 1100 1200 1300

TO1 TO2LO2

10o

Abso

rban

ce

Wavenumber (cm-1)

LO1

υasym Si-O-Si

OTS

OTSSiO2 11-02-2006

10-3

74o

Figure 316 Deconvolution of the Spectral Region between 1000-1300 cm-1 for OTSSiO2 Four Gaussians component with maximum at around 1061 1108 1150 1195 cm-1 are used to fit the spectra The mode at 1150 and 1195 cm-1 are significant reduced in the spectra taken at 10 incident angle(near normal) suggesting both are most likely LO mode of asymmetric stretching of Si-O-Si 10611195 might be assigned to TOLO mode of Si-O-Si in 4-ring configuration 1108 and 1150 might be assigned to TOLO mode of Si-O-Si in different less tensioned configuration Comparing to TCS-SAM Si-O-Si modes in OTS-SAM appear at higher frequency (blue-shifted) This might be attributed to the chain-chain VDW interactions of OTS that have impact on the head group (more strained in OTS) cross-linking Si-O-Si

53

The blue-shift (with increasing intensity) or red-shift (with decreasing intensity) of the

LO phonon mode of SiO2 depends on how siloxane bond to substrate at the interface In

case of monochlorosilane no cross-linking is formed The Si-O-Si network of SiO2 is not

able to couple with single Sis-O-Si linkage Instead the attachment actually disrupts the

vibration of Si-O-Si in the very first layer of SiO2 substrate (ie reduced SiO2 thickness)

As a consequence a negative peak appears at the lower wavenumber relative to the original

position of LO mode The packing density of cross-linking of Si-O-Si may also determine

the appearance of LO mode In trichlorosilane with alkyl chain like BTS DTS even

though they can form cross-linking we didnrsquot observe the positive peak at the position of

LO mode as we did for TCS-SAM Instead we observe how a negative peak at the position

with lower wavenumber relative to the LO mode of SiO2 substrates as well

This can be explained because the steric interaction prevents them from forming dense

coupled Si-O-Si This is consisted with the fact that the integrated peak area of 1000-1200

cm-1 (Si-O-Si region) is significantly less than TCS-SAM In addition the alkyl chain

attached to Si-O-Si (ie R-Si-O-Si) may decouple surface Si atom from Si-O-Si network

vibration And the spray of alkyl chain on the surface also reduces the surface attachment

of silane molecule

There is long-time debate whether silane bond is substrated or just cross-linked and

physically absorbed on the top of a thin water layer And there is only few reports on the

evidence of formation of interfacial covalent bond Sis-O-Si In earlier work by Tripp[19]

he assigned 1080 cm-1 to covalent bond Sis-O-Si by investigating TCS on silica The peak

frequency was also previously suggested at 1060 or 1100 cm-1[1] The variance of the peak

position may be due to the different bond environments of Sis-O-Si In the Tripprsquos work

however he found only few Sis-O-Si forms

The appearance of either positive or negative peaks at range of 1200-1270 cm-1

strongly suggests that silane is indeed covalently bonded to SiO2 substrate and forms

Sis-O-Si The Sis-O-Si could either help to couple (positive peak) or decouple (negative

peak) with the original LO mode of substrate depending on the packing density

54

HH H

HH

H

OO

SiO

SiO

SiO

SiO

SiO

SiO

Si

OH O OH

Si OO

Si

O

OSi

OHOH

Si OHSi OSi

OOH

Figure 317 Diagram of interfacial and networked Structure of TCS film deposited on oxidized Silicon

Si-O-Si inside the SAM and poly-siloxane film

Besides the mode at 1200-1260 cm-1 that we discussed above we further characterize

the film structure by analyzing 1000-1200 cm-1 region which is dominated by the

asymmetric stretching mode of Si-O-Si at various configurations We start our analysis

from poly-siloxane as it has higher reproducibility

The peak position of Si-O-Si asymmetric stretching mode depends on the Si-O-Si

bonding angle The peak red-shifts with reduction of Si-O-Si bond angle and blue-shifts

with increasing of Si-O-Si bond angle[32 33] In fully relaxed stoichiometric thermal

silicon oxidizes grown at temperature gt1000 degC the bond angle is reported to be ~144deg

with IR spectral absorbance at around 1080 cm-1 However in low temperature

stoichiometric silicon oxidizes this band is observed to decrease from 1080 to 1060 cm-1 as

the Si-O-Si bond angle increases A decrease in the frequency of Si-O-Si asymmetric

stretching has also been observed for the silicon atoms having one or more non-oxygen

neighbors [32 34]

FTIR spectra demonstrate that TCS poly-film has overall red-shifted peak at

1000-1200 cm-1 regions compared to that of TCS-SAM This is expected inside the

poly-TCS film the spectra are dominated by the Si-O-Si networking of the thick film and

is less constrained than that of Si-O-Si formed at the interface of SAMSiO2 with covelant

bond to substarte Si As a consequence the mode of Si-O-Si occurs at the lower

wavenumber (red-shift) inside poly-TCS compared to Si-O-Si network at the interface of

SAMSiO2 The similar red-shift trend is found for other types of poly-film system

55

compared to SAMs forming on the surface For example APTESSiO2 TOLO is

10371137 cm-1 for poly-APTES film and 10351178 cm-1 for APTES-SAMSiO2

The blue-shift of LO mode for SAMs compared to poly-film (thicker film) is

apparently different from what is observed in the case of thermal silicon oxide In a thermal

thick oxide it is found that the LO mode is red-shift with decreasing thickness of SiO2 [18]

This is because that suboxide and stoichiometric at the SiO2Si interface become

dominated with decreasing thickness of SiO2 layer and the Si-O-Si in the suboxide

structure (Si bond to some non-oxygen atom instead of O) is at the lower frequency [35]

For siloxane layers when the thickness of film reduces from thick poly-film to

monolayer the interfacial structure becomes dominated which constrained Si-O-Si and

Sis-O-Si more than the loosely networked Si-O-Si in poly-film with existence of multiple

configuration[20] Inside the poly-siloxane if the Si-O-Si bond angle is open to higher

degree in a more cage-like structure this peak will shift to higher frequency sometime as

high as 1180 cm-1 In a more network-like structure the Si-O-Si bond angle reduces to lt

144 degree and the asymmetric Si-O peak position may shift down to lower frequency at

1060 cm-1 or lower[32 36] Because both configuration might co-exist inside

poly-siloxane it maybe used to explain the split to two peaks at 1030 and 1180 cm-1 for

polymeric (Si-O-Si)x [1]

We have also compared the alkyl chainrsquos effect on poly-siloxane structure FTIR shows

LOTO of poly-OTS (C18) (10951180 cm-1) appear at much higher frequency than

poly-TCS (10701157 cm-1) but with nearly the same LO-TO separation This difference

is consistent with our analysis above The steric interaction between alkyl chains may put

extra strain on the head group cross-linked Si-O-Si and cause band blue-shifted compared

to TCS which lacks constraints

The deconvolution of Si-O-Si asymmetric stretching modes at 1000-1200 cm-1 shows

that all the following modes exists Si-O-Si in network cage suboxide configurations as

suggested by Grill[20] Our polarization study further suggests that LO-TO splitting exists

for some of Si-O-Si configuration The LO-TO splitting has been previously used to

interpret complicated 1000-1200 cm-1 region in amorphous organosilicon polymers[23 26]

and amorphous SiO2 structure [37] [38] [39] [40] although there is still controversal

concern about the existence of TO-LO splitted in disordered material

56

For thermal SiO2 the currently accepted modle about a-SiO2 is a continuous random

network in which the dihedral angle of bridging oxygen is a random variable The

asymmetric stretching mode of O in the Si-O-Si bond gives two predominate features the

transverse optical phonon (TO) at 1060 cm-1 and longitudinal optical model (LO) near

1254 cm-1 It is found that both modes will shift with the change of thin SiO2 film thickness

and it has been explained as that either compressive stress (interfacial strain) [41] or

subtioichiometry [18 35] or both [42] may influence the shift of TO and LO peaks LO-TO

splitting is considered as a consequence of long-range Columbic interactions for a given

oscillators and being sensitive to any kind of internal network structural changes

Mechanism of Formation of trichlorossilaneSiO2

Comparing to TCS SAMs form from trichlorosilane with alkyl chains (except OTS

C18) shows less Si-O-Si bond and a negative (instead of positive) peak at the range of

1200-1260 cm-1 suggesting formation of disordered and less dense siloxane film with

covalent bonding to SiO2 substrate Based on the above mentioned analysis there are

possibly two different mechanisms for formation of alkyltrichlorosilane on SiO2 surface

depending on chain length

For alkyltrichlorosilane with short chain like BTS and DTS because of the relatively

very reactive Cl- head group the Si-O-Si cross-linking quickly forms at room temperature

and as a consequence a disordered SAM forms on the SiO2 It is previously suggested that

it is more difficult to achieve ordered SAMs with shorter alkyl chain [43] and in order to

get an ordered SAM a reaction temperature must be lower than its critical temperature[44]

The silane with shorter alkyl chain has lower critical temperature (for example 0 degC for a

chain having 10 carbon and 38degC for 22 carbon chain [44 45]) This is a result of

competition between cross-linking and van der Waals attraction force At the lower

temperature cross-linking (chemical reaction) is significantly suppressed and VDW force

becomes dominant and helps to form more ordered SAMs Indeed anything that can

increase formation of cross-linking will result in a loss of coherence of the lateral order

For example a decrease in the lateral order with increasing pH is reported where it is

known that higher pH accelerates the creation of cross-linking Si-O-Si [3]

57

Stevens [2] suggested that the fully covered dense monolayer cannot be realized if the

head group is cross-linked because of the steric interaction between hydrocarbon chains

and limited Si-O-Si distance (le 32 Aring) The van der Waals diameter for C is 35Aring and for H

bonded to C is 25Aring and the minimum distance between hydrocarbon chains is 48 Aring

corresponding to minimum van der Waalsrsquo potential

The calculated distance between alkyl chains with cross-linking head group is 043nm

To minimize the system free energy the system can choose two of following possibilities

1) head groups are cross-linked with chains splaying apart implying that cross-linking

prevents the formation of full coverage layer In this scenario the disordered film is formed

(Figure 318a) 2) disordering of the chains by introduction of gauche defects to maximize

the entropy 3) Maintaining the formation of cross-linking while satisfying the steric

constraints through chain compression or selective cleavage of Si-C of long chains as

shown in the Figure 318b Energy gained from formation of siloxane bond is 8eV and the

elastic energy required to approach two chains from 048nm to 043nm is estimated as

80meV[3] The reason that we didnrsquot observe H-SiO3 in the case of BTS and DTS is

probably because the Si-C cleavage is chain length-dependent It may take place only when

the chain length is long enough and the chain-chain interaction is strong enough to meet the

requirement for cleavage of Si-C with formation of Si-H In addition the chlorine may also

play a role in promoting cleavage of Si-C bond A further investigation is needed to verify

this mechanism in the future work

OSi

OOSi

OSi

OSi

OSi

CH3 CH3

HH

CH3

OH

Si

OHSi

OH

Si

OSi

Si

CH3

OSi

O

Si

CH3

Si

OH

Si

lt 32 Aring

(a) BTS or DTS (b) OTS

Figure 318 Structure of SAM formed from alkyltrichlorosilane on SiO2 Surface

In the case a attachment of disordered SAMs disrupt the Si-O-Si vibration of substrate In case b long range ordered SAMs couple with Si-O-Si substrate

58

35 Conclusion

The spectra change of LO phonon mode of SiO2 substrate provides information on

interfacial bond and structure between SAMs and surface It is first time that the spectral

signature is given for a SiO2 substrate change induced by the attachment of

alkyltrichlorosilane This is unique spectral signature only related to SAMSSiO2 interface

Comparison of FTIR spectra and other trichlorosilane with different length of alkyl

chain has shown that trichlorosilane also directly attaches to oxidized substrate instead of

the thin water layer on the top This attachment affects the SiO2 substrate LO phonon mode

It either increases LO by coupling with Si-O-Si of SiO2 or decreases LO by disrupting

Si-O-Si vibration on substrate depending on ordering and packing the density of SAMs

The formation of OTS (C18) SAM on SiO2 has unique behavior An unexpected

H-SiO3 species are observed and a higher cross-linking and chain packing is achieved A

possible mechanism for OTS SAM formed on SiO2 may involve chlorine-catalyzed

cleavage of Si-C with formation of Si-H under condition of compression of chains The

cleaved silane can play a role of spacer to reduce steric interaction and help to form denser

cross-linking and packing of alkyl chain

59

Bibliography

1 Tripp CP and ML Hair Direct Observation of the Surface Bonds between Self-Assembled Monolayers of Octadecyltrichlorosilane and Silica Surfaces - a Low-Frequency Ir Study at the Solid-Liquid Interface Langmuir 1995 11(4) p 1215-1219

2 Stevens MJ Thoughts on the Structure of alkylsilane monolayers Langmuir 1999 15(8) p 2773-2778

3 Fontaine P M Goldmann and F Rondelez Influence of headgroup cross-linking on chain packing in Langmuir monolayers of n-alkyltrialkoxysilanes Langmuir 1999 15(4) p 1348-1352

4 Francis R G Louche and RS Duran Effect of close packing of octadecyltriethoxysilane molecules on monolayer morphology at the airwater interface Thin Solid Films 2006 513(1-2) p 347-355

5 Hair ML and W Hertl Reactions of chlorosilanes with silica surfaces J Phys Chem 1969 73(7) p 2372-2378

6 Hair ML and CP Tripp Alkylchlorosilane Reactions at the Silica Surface Colloids and Surfaces a-Physicochemical and Engineering Aspects 1995 105(1) p 95-103

7 Bain CD et al Formation of monolayer films by the spontaneous assembly of organic thiols from solution onto gold J Am Chem Soc 1989 111(1) p 321-335

8 Onclin S BJ Ravoo and DN Reinhoudt Engineering silicon oxide surfaces using self-assembled monolayers Angewandte Chemie-International Edition 2005 44(39) p 6282-6304

9 McGovern ME KMR Kallury and M Thompson Role of Solvent on the Silanization of Glass with Octadecyltrichlorosilane Langmuir 1994 10(10) p 3607-3614

10 Lin SY Variation of Si-H and Si-D bond-bending modes in different local bonding environments in amorphous silicon dioxide Materials Chemistry and Physics 1999 58(2) p 156-161

11 Marrone M et al A Fourier transform infrared (FTIR) study of the reaction of triethoxysilane (TES) and bis 3-triethoxysilylpropyl tetrasulfane (TESPT) with the surface of amorphous silica Journal of Physical Chemistry B 2004 108(11) p 3563-3572

12 Marcolli C and G Calzaferri Vibrational structure of monosubstituted octahydrosilasesquioxanes Journal of Physical Chemistry B 1997 101(25) p 4925-4933

13 Loboda MJ CM Grove and RF Schneider Properties of a-SiOx H thin films deposited from hydrogen silsesquioxane resins Journal of the Electrochemical Society 1998 145(8) p 2861-2866

60

14 Bornhauser P and G Calzaferri Ring-opening vibrations of spherosiloxanes Journal of Physical Chemistry 1996 100(6) p 2035-2044

15 Morrow BA IA Cody and LSM Lee Infrared studies of reactions on oxide surfaces IV Structure of chemisorbed ammonia on silica J Phys Chem 1975 79(22) p 2405-2408

16 Queeney KT et al Silicon oxidation and ultra-thin oxide formation on silicon studied by infrared absorption spectroscopy Physica Status Solidi a-Applied Research 1999 175(1) p 77-88

17 Queeney KT et al In-situ FTIR studies of reactions at the siliconliquid interface Wet chemical etching of ultrathin SiO2 on Si(100) Journal of Physical Chemistry B 2001 105(18) p 3903-3907

18 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

19 Tripp CP P Kazmaier and ML Hair A low frequency infrared and ab initio study of the reaction of trichlorosilane with silica Langmuir 1996 12(26) p 6404-6406

20 Grill A and DA Neumayer Structure of low dielectric constant to extreme low dielectric constant SiCOH films Fourier transform infrared spectroscopy characterization Journal of Applied Physics 2003 94(10) p 6697-6707

21 Lewis HGP TB Casserly and KK Gleason Hot-Filament Chemical Vapor Deposition of Organosilicon Thin Films from Hexamethylcyclotrisiloxane and Octamethylcyclotetrasiloxane Journal of The Electrochemical Society 2001 148(12) p F212-F220

22 Pryce Lewis HG DJ Edell and KK Gleason Pulsed-PECVD Films from Hexamethylcyclotrisiloxane for Use as Insulating Biomaterials Chem Mater 2000 12(11) p 3488-3494

23 Trasferetti BC CU Davanzo and MA BicadeMoraes LO-TO Splittings in Plasma-Deposited Siloxane Films J Phys Chem B 2003 107(39) p 10699-10708

24 Bornhauser P and G Calzaferri Normal coordinate analysis of H8Si8O12 Spectrochimica Acta Part A Molecular Spectroscopy 1990 46(7) p 1045-1056

25 Long-Hua Lee W-CC Wei-Chih Liu Structural control of oligomeric methyl silsesquioxane precursors and their thin-film properties Journal of Polymer Science Part A Polymer Chemistry 2002 40(10) p 1560-1571

26 Trasferetti BC et al Infrared Studies on Films of Carbosilazane and Siloxazane Networks Chem Mater 2005 17(18) p 4685-4692

27 Alexandra Fidalgo LMI Chemical Tailoring of Porous Silica Xerogels Local Structure by Vibrational Spectroscopy Chemistry - A European Journal 2004 10(2) p 392-398

28 Tripp CP and ML Hair Reaction of alkylchlorosilanes with silica at the solidgas and solidliquid interface Langmuir 1992 8(8) p 1961-1967

29 Awazu K Oscillator strength of the infrared absorption band near 1080 cm-1 in SiO2 films Journal of Non-Crystalline Solids 1999 260(3) p 242-244

30 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

61

31 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

32 G Lucovsky MJM JK Srivastava and EAIrene LOW-TEMPERATURE GROWTH OF SILICON DIOXIDE FILMS - A STUDY OF CHEMICAL BONDING BY ELLIPSOMETRY AND INFRARED-SPECTROSCOPY J Vac Sci Technol B 1987 5 p 530

33 Hirose K et al Structural transition layer at SiO_2Si interfaces Physical Review B 1999 59(8) p 5617 LP - 5621

34 PGPai SSC Y Takagiand G Lucovsky INFRARED SPECTROSCOPIC STUDY OF SIOX FILMS PRODUCED BY PLASMA ENHANCED CHEMICAL VAPOR-DEPOSITION J Vac Sci Technol A 1986 4 p 689

35 Queeney KT et al Infrared spectroscopic analysis of an ordered SiSiO2 interface Applied Physics Letters 2004 84(4) p 493-495

36 Kim YH et al Infrared spectroscopy study of low-dielectric-constant fluorine-incorporated and carbon-incorporated silicon oxide films Journal of Applied Physics 2001 90(7) p 3367-3370

37 Kirk CT Quantitative analysis of the effect of disorder-induced mode coupling on infrared absorption in silica Physical Review B 1988 38(2) p 1255 LP - 1273

38 Kamitsos EI AP Patsis and G Kordas Infrared-reflectance spectra of heat-treated sol-gel-derived silica Physical Review B 1993 48(17) p 12499 LP - 12505

39 Sarnthein J A Pasquarello and R Car Origin of the High-Frequency Doublet in the Vibrational Spectrum of Vitreous SiO2

101126science27553081925 Science 1997 275(5308) p 1925-1927 40 Pasquarello A and R Car Dynamical Charge Tensors and Infrared Spectrum of

Amorphous SiO_2 Physical Review Letters 1997 79(9) p 1766 LP - 1769 41 Miyazaki S et al Structure and electronic states of ultrathin SiO2 thermally

grown on Si(100) and Si(111) surfaces Applied Surface Science Proceedings of the Eighth International Conference on Solid Films and Surfaces 1997

113-114 p 585-589 42 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2

interface Physical Review B 2003 67(24) p 245305 43 Aswal DK et al Self assembled monolayers on silicon for molecular electronics

Analytica Chimica Acta Molecular Electronics and Analytical Chemistry 2006 568(1-2) p 84-108 44 Brzoska JB N Shahidzadeh and F Rondelez Evidence of a transition

temperature for the optimum deposition of grafted monolayer coatings 1992 360(6406) p 719-721

45 Wasserman SR YT Tao and GM Whitesides Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates Langmuir 1989 5(4) p 1074-1087

62

4 Chapter 4 Amino-Terminated Monolayer on H-

Terminated Crystalline Silicon (111) By an Self

Catalytic Reaction

41 Introduction Traditionally amino-terminated alkoxysilane-based self-assembly system is formed on

hydroxylated surfaces through the formation of a Si-O-Si surface bond between the silanol

group of the alkoxysilane chain and the surface hydroxyl group (Figure 41) In reality the

formation structure and morphology of the siloxane layer on semiconductor surfaces are

extremely sensitive to traces of water in the solvent which leads to self-polymerization

irregular cluster structures and poor structural reproducibility It is very hard to control the

amount of water that physically absorbed on the surface Moreover for widely used

aminosilane the optimized routes are still not available for preparing amine functional

surface with short chains[1 2] partially because aminosilane could interact with

hydroxyl-terminated SiO2Si through multiple reactions including ionic interactions

hydrogen bonds and covalent bond Si-O-Si that lead to more complex conformation and

disorder

SiOEtEtO

EtO

H2N

SiOHHO

HO

H2N

CH3OH

3 H2O+ +

(Hydrolysis)

SiOHHO

HO

H2N

SiOHHO

HO

H2N

+Si

HOHO

H2N

SiOHHO

O

H2N

(Condensation)

Figure 41 Reaction Mechanism of (3-Aminopropyl) triethoxysilane

(APTES) SAM Formation

63

For hydrogen-terminated silicon surface in spite of its atomically well-defined surface

structure[3] is not suitable for direct application of silane agents because of the lack of

hydroxyl group or physical absorbed water which are commonly believed to be crucial for

the siloxane layer formation on the surface [4]

In this chapter we reported a new method to prepare ndashNH2 terminated Si (111) surface

by attaching (3-Aminopropyl) triethoxysilane (APTES) molecule to hydrogen terminated

surface through a simple one-step reaction By applying infrared spectroscopy technique

we have shown that APTES can readily react with H- terminated surface and attach to the

silicon surface forming stable layer We have found that the amine group of APTES is the

key for the reaction to take place Also the structure of the interfacial surface of SAMSi

especially the formation of interfacial SiO2 is investigated A possible mechanism of that

is proposed and discussed

42 Experimental

421 Materials Float-Zone grown n-Si(111) from Silicon Valley Microelectronic (San jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30 Ω cm and polished on both sides to

a thickness of 450 μm Following chemicals were used as received except where noted

(3-aminopropyl) triethoxysilane (APTES) (98) triethoxysilane (TES 95)

heterobifunctional crosslinker p-maleimidophenyl isocyanate (PMPI) anhydrous

toluene(998) anhydrous acetonitrile(998)(all from Sigma-Aldrich Corp)

422 Preparation of H-terminated Si(111) N-doped FZ silicon (Si (111)) wafers are cut into 1cm x 2cm pieces cleaned with acetone

and methanol The standard cleaning procedures (RCA) are then applied to the Si surface

de-ionized water (DI water 182M cm)H2O2NH4O (411) DI waterH2O2HCl  

(411) Both solutions are heated at 80degC for 10min with thorough rinsing with DI water

after each step The RCA cleaning removes organic and metallic contamination The

atomic flat hydrogen terminated Si(111) surface (H-Si(111)) is prepared by etching the

64

Si(111) surface in dilute HF (~10) for 30s followed by etching in ammonium fluoride

(49) for 2min 30s at room temperature [3] The prepared H- terminated silicon surface is

atomically flat and hydrophobic

423 Formation of Aminosiloxane SAM on H-terminated Si(111) (3-aminopropyl) triethoxysilane (APTES) monolayer is formed by immersing freshly

prepared H-Si (111) surface into 01 (vv) APTES in anhydrous toluene (998 both

from Aldrich) for various time in a nitrogen gas (N2) purged-glove box After the reaction

the sample is rinsed in anhydrous toluene three times and then sonicated in anhydrous

toluene for 5min Finally the functionalized sample is blown dry in N2 stream Sample is

transferred to the infrared spectrometer under ambient environment and spectra are

collected under a dry air-purge

As a control experiment other solutions are prepared One consist of HSi (111) in

solution in anhydrous toluene and the other one is 01 (vv) triethoxylsilane (TES) agent

in anhydrous toluene TES has three ethoxy groups similar to APTES But instead of having

an aminopropyl chain the Si atom is bonded to a unique hydrogen atom All experiment

procedures were the same as the above descriptions for APTES

424 Stability of Aminosilane SAM APTES modified Si (111) samples were immersed in DI water for various period of

time from 30min to 46 hours Spectra were collected after each exposure time

425 Chemical Reactivity of Amino- SAM on Si(111)

P-maleimidophenyl isocyanate (PMPI structure shown in Figure 42)solution is freshly

prepared right before the reaction with APTESSi(111) by dissolving xx mg PMPI power

in 20 ml anhydrous acetonitrile Acetonitrile was used as the solvent due to its better

suitability than NN-dimethyl formamide dimethyl sulfoxide or aqueous buffers in similar

applications [5] APTESSi (111) sample is transferred into the PMPI solution in a N2

purged glove box Reaction was carried out for 24 hours at room temperature in the sealed

test tube Sample is then rinsed with anhydrous acetonitrile and dried in a stream of N2 flow

65

All procedure is operated in a N2 purged glove box

N OO

NCO

Figure 42 p-maleimidophenyl isocyanate (PMPI)

426 Infrared Spectroscopy Characterization

Infrared absorption spectra (IRAS) of functionalized Si surfaces are recorded between

650cm-1 and 4000cm-1 on a Nicolet FT-IR spectroscometer equipped with liquid nitrogen

cooled down MCT-B detector and using a transmission set up The infrared beam is

incidented at 74 degree off normal corresponding to the Brewster angle for silicon and 10

degree off normal to minimize the possible reflection interferences A 4cm-1 (data spacing

1912cm-1) resolution has been chosen with 1000 scans

43 Results 431 Formation of APTES SAM on Hydrogen Terminated Si(111) Figure 43 shows a typical infrared spectrum of freshly deposited APTES on

hydrogen-terminated Si (111) The spectra are recorded after a 20 hoursrsquo reaction time

followed by rinsing and sonication in anhydrous toluene Figure 43 a is recorded at 74deg

incident angle off normal while Figure 43 b is recorded at 10deg After exposure to APTES

solution the sharp feature of Si-H stretching mode at 20837 cm-1 is significantly reduced

broadened and red-shifted to 2076 cm-1(Figure 43a) The total integrated area is only ~

23 of the initial fresh-etched Si-H mode (~0014) As shown in the

66

Figure 43 Angle Resolved FTIR Spectra of H-Si (111) After Exposure to APTES

FTIR spectra of freshly-etched H-Si (111) samples exposure to the APTES in anhydrous Toluene for 20h (nov1) at room temperature in a nitrogen purged glove box Spectra were recorded for sample at a) 74 degree (Brewster angle for silicon) off normal and b) 10 degree off the surface normal with MCTA detector Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si (111) while range 1900-2400cm-1 is referenced to clean oxidized surface The spectra in the small up-left panel are compared of Si-H stretch mode between spectra a and c fresh-etched H-Si (111) surface as prepared Polarization experiments on H-Si (111) (a and b) demonstrated that Si-H bond is normal to the surface The free primary amine NH2 bending mode at 1600cm ndash1 also shows some polarization dependence indicating ndashNH2 perpendicular to the surface

67

inserted left-up panel in the Figure 43 the sharp H-Si stretching mode at 20837 cm-1

(Figure 43c) reveals a monohydride-terminated atomically flat surface[3] The

broadening and shift of this band indicates a change in the neighboring chemical

environment of surface H-Si bond A similar shift of the Si-H band to lower frequency due

to hydrogen-bonding interaction with nearby NH2 or Si-NH3 has been previously reported

by Queeney et al [6] Along with the decrease of the Si-H peak in the intensity a weak

broad bump appears around 2240 cm-1This mode has previously been assigned to the

H-SiO3 from Si-Si back bond oxidization[7] revealing that oxidation takes place during the

reaction

With reducing Si-H mode several intense and broad peaks appears in the 1000-1300

cm-1 range contributing from multiple vibration modes such as Si-O Si-O-C modes and in

the region of 2800-3000 cm-1 attributed to C-H stretching modes Since the CH2

asymmetric stretching mode is at 2933 cm-1 greater than reported ~2920 cm-1 for a

crystalline ordered film [8] it indicates that the formed APTES film is disordered A small

shoulder at 2973 cm-1 from CH3 asymmetric stretching mode indicates the existence of

partially hydrolyzed APTES We then expect that the ethoxy group (Si-O-C2H5) will also

contribute in other modes for instance Si-O-C stretching mode at 1107cm-1

The NH2 bending mode at 1604 cm-1 indicates that the amine groups are extended away

from the surface and are free from hydrogen-bonding interaction with the surface hydroxyl

groups A weak broad peak at ~3300 cm-1 is assigned to the corresponding stretching

modes of primary amine group The broad bands peaked at 11381050 cm-1 are assigned to

the various Si-O modes formed by the APTES condensation They are also overlapped

with other bands such as Si-O-C modes from ethoxy group of only partially hydrolyzed

APTES

Figure 44 displays the spectrum for fresh H-Si (111) exposed only to the anhydrous

toluene under the same experimental condition The spectrum from Figure 43a is shown

here as a comparison No absorbance features related to toluene are actually observed after

20 hr Moreover the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a

~95 of total integrated area of initial fresh H-Si(111) surface These evidence indicates

that hydrogen terminated Si(111) is stable in the anhydrous toluene An additional

experiment has shown that H-Si (111) surface is stable in anhydrous toluene even after 72

68

hours (data not shown) Thus we exclude the possibility that solvent alone will contribute

to the spectra features we observed

The stage of the reaction of H-Si (111) with APTES is examined by measuring surface

changes after various time period of immersing time in the APTES solution Figure 45

shows the spectra for fresh H-Si (111) after being exposed to APTES solution for a) 30

minutes b) 2 hours and c) 20 hours respectively Comparing spectra taken after 30 minutes

and 2 hours both 1000-1300 cm-1 region and C-H stretching mode regions increase with

increasing exposure time while the equivSi-H mode decreases and broadens with the exposure

time accompanied with the increase of a new peak at ~2240 cm-1 from oxidization species

A shoulder of CHx at 2973 cm-1 corresponding to CH3 stretching mode is seen in all of

spectra and slightly decreases in the intensity with prolonged exposure time This indicates

gradual loss of ethoxy group of APTES A series of experiments where the exposure time

is varied from 5 minutes to seven days is performed We present in Figure 413 the

integrated area of Si-H (~2083 cm-1) and H-SiOx (~2240 cm-1) as a function of reaction

time and in Figure 414 the integrated area of three regions oxide region (1000-1300 cm-1)

CHx stretching modes (2800-3000 cm-1) and -NH2 bending mode (~1604 cm-1) As shown

in Figure 413 with the increasing exposure time the integrated area of Si-H decreased

and H-SiOx increased initially and then decreased This trend is similar to what is observed

in the initial oxidization of H-Si(111) in air[9] The fact that (70plusmn8 ) of Si-H remains

after 20 hours exposure to APTES solution suggests that the majority of the surface is still

covered by the H-Si The CHx stretching modes and -NH2 bending modes have the same

time dependence initial increase and then decrease The decrease of intensity of CHx may

be due to gradual removal of ethoxy group from partially attached APTES molecule The

integrated area of 1000-1300 cm-1 region increases initially and reaches a plateau after 20

hours This suggests that the film growth is self-terminated and polymerizations are

limited

69

Figure 44 FTIR Spectra of H-Si(111) Control Experiments ndashSolvent Effect

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to a 01 (vv) APTES in anhydrous toluene for 20 hours b neat anhydrous toluene for 20 hours Both reactions were performed at room temperature in a nitrogen purged glove box Both spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to freshly-etched H-Si(111) and range 1900-2400cm-1 is referred to a clean oxidized surface

Table 1 Peak Assignments for APTES Layer Formed on the H-Si(111)

Peak Position (cm-1) Assignment

2973 CH3 asymmetric stretching 2933 CH2 asymmetric stretching 2878 CH3 symmetric stretching 2250 H-SiO3 stretching 2076 Si-H stretching 1640 H2 O scissoring 1604 Free NH2 bending

1138 1050 Si-O-Si stretching 887 H-SiO3 bending 740 CH3 rocking

70

Figure 45 FTIR Spectra of Time Dependence Experiments

FTIR spectra of freshly-etched H-Si (111) samples were obtained after exposure to 01

(vv) APTES in anhydrous toluene for various time length a 30 minutes b 2 hours c 20

hours All reactions were performed at room temperature in a nitrogen glove box All

spectra within range 650-1900cm-1 and 2700-3900 are referenced relative to

freshly-etched H-Si(111) and range 1900-2400cm-1 are referenced to clean oxidized

surface For clarity absorbance values within region 1900-2400 cm-1 were multiplied by

the scaling factor as shown

71

432 UV experiment UV irradiation has been found to promote the hydrosilylation of alkene on the

H-terminated silicon surface by forming Si-C bond through a free radical chain reaction

[10 11] To investigate the mechanism of reaction further we irradiate the APTESH-Si

(111) solution for 30min with a 284nm UV light The spectra for APTES reaction with and

without UV are shown in Figure 46 and the integrated area values for these featuring

bands are shown in the Table 2

Figure 46 shows that both spectra are dominated by strong and broad peak

900-1300cm-1 with maximum at 1085 cm-1 and 1130cm-1 which are assigned to Si-O-Si

asymmetric stretch This region may also include contributions from Si-O-C stretch mode

at 11001085 due to non-hydrolyzed ethoxy groups (-OCH2CH3) in APTES The H-Si

stretch band at 2081cm-1 is reduced slightly broadened and a small shoulder appeared at

lower frequency ~2070cm-1 Comparing its integrated area with the freshly prepared H-Si

(111) there is ~30 less indicating a loss of H-Si bond The peaks at 2922cm-1 and 2973

cm-1 are assigned to asymmetric stretch mode of CH2 and CH3 respectively The

comparison of the integrated area value as shown differs from relative intensity of 1085 to

1133 cm-1 due to the slightly varied environment of Si-O In summary no apparent

difference is found between both spectra indicating that there is no direct relation between

UV excitation and the attachment of APTES

Table 2 Comparison of integrated area of major bands

Int Peak Area (CHx)

(2800-3000 cm-1)

Int Peak Area (H-Si)

(~2080 cm-1)

Int Peak Area

(1000-1300 cm-1)

30min wo UV 0015plusmn00015 00095plusmn00016 0162plusmn0016

30minw UV (284nm) 0014plusmn00016 00101plusmn00017 0178plusmn0018

72

Figure 46 FTIR Spectra of H-Si (111) Exposed to 01 (vv) APTES (in Anhydrous Toluene) with and without UV (284nm) Illumination

Hydrogen terminated n-Si (111) samples were immersed in the 01 (vv) APTES in anhydrous toluene at room temperature for 30min a without UV illumination b 284nm UV illumination is applied during the reaction All spectra within 650-1700cm-1 and 2700-3800cm-1 have been referenced to freshly prepared H-Si(111) surface while spectra within range 1900-2300cm-1 are referenced to initial clean oxidize surface

73

433 APTESH-Si(111) Stability in the water

One important application of amine terminated self-assembled monolayer is to enhance the

attachment of bimolecule such as DNA proteins to the surface The stability of NH2 -SAM

in the biocompatible aqueous environment is crucial since most of these attachmentsrsquo

chemistry is carried in an aqueous environment Here we study the effect of water only We

leave APTES-functionalized H-Si (111) samples (annealed or fresh) in the dionized water

for various times at ambient environment and compare it to the results from conventional

APTESSiO2 surface Figure 47 shows FTIR spectra of changes of APTESSi (111) and

APTESSiO2 after being in the water for various times Figure 48 quantifies the changes of

the APTES films on both surfaces by plotting the integrated area of CHx at 2800-3000 cm-1

against the time in the water For APTES SAM formed on H-Si (111) the largest loss

~30 decrease of the integrated area of CHx at 2800-3000 cm-1 is observed within the first

30 min It then slightly increases with increasing time in the water Finally after 20 hours

it decreases again but quite slowly Nearly ~70 film still remains on the surface even

after 46 hours exposure to aqueous water indicating the film is reasonably stable in the

water

In contrast APTES film formed on SiO2 is quickly removed from the surface once exposed

to water which is consistent with other authorsrsquo previous works [1 12] As shown in Figure

47b the film continues degrades indicated by the reduced stretching modes of C-H in the

intensity with increasing time in the water Meanwhile the increase of negative bands at

1062 and 1232 cm-1 assigned to the TO and LO phonon mode of underneath SiO2 substrate

respectively suggests a continuous etching of substrate After 46 hours at least ~70 film

indicated by the integrated area of CHx (Figure 48) is removed from the surface The

remaining ~30 possibly including contributions from background level

hydrogen-carbon absorption on the solidliquid interface is not necessary only because of

the attached APTES molecules

74

Figure 47 FTIR Spectra of APTES SAMH-Si(111) in the water for various time

FTIR spectra are recorded for a APTES SAM formed on H-Si(111) b APTES film formed on regular silicon dioxide surface after immersing in water for various time from 30 minutes to 46 hours at the room temperature

75

Figure 48 Dependence of Integrated Area of carbon-hydrogen stretching mode on time in the water The quick dropping in the integrated area of CHx indicates large amount of APS molecules that are quickly removed from the surface upon exposure to aqueous water while APTESH-Si (111) has significantly higher stability than APTESSiO2

76

434 Chemical Reactivity of APTESSi(111)

The heterobifunctional cross-linker p-maleimidophenyl isocyanate (PMPI) is used to

verify the chemical reactivity of APTESSi (111) surface It is known that PMPI reacts

with ndashNH2 group either through its isocynanate group to form urea linkage or through its

NHS- group to form amide bond (Scheme 1) As shown in the Figure 49 after

APTES-modified Si (111) reacted with PMPI multiple PMPI bands appeared among

1350-1800 cm-1 The strongest peak at 1722 cm-1 along with weak peak at 1781 cm-1 are

attributed maleimide asymmetric and symmetric C=O stretching respectively The 1668

and 1550 cm-1 are assigned to amide I and II of urea linkage [13] Peak at 1515 cm-1 is

assigned to the aromatic C-C stretching The integrated area from 1363 to 1430 cm-1

assigned to maleimide symmetric C-N-C centered at ~1396 cm-1 is previously used to

quantify the amount of PMPI attached to the APTES surface [14 15] This particular peak

is chosen to integrate predominantly on criteria of minimal overlap with other spectral

features For instance this region can avoid overlapping with bending mode of ndashNH2

(1500-1600 cm-1)

N OO

NCO

SiO

HO

H2N

SiOHOO

H2N

Si Si

N OO

NH

C O

SiO

HO

NH

SiOHOO

H2N

Si Si

(PMPI)

Scheme 1 The isocyanate group of PMPI forms a urea link (-N-CO-N-) with APTES amine group

77

Figure 49 PMPI 24 hours on APTESH-Si(111)

FTIR spectra of APTES functionlizated H-Si (111) were obtained a before b after exposure to PMPI solution APTES spectra are referenced relative to clean H-Si (111) surface while PMPI spectra are referenced relatively to amine-terminated surface prepared from APTES right before reaction with PMPI

78

435 Control Experiment

In order to further understand the reaction mechanism the spectra from the control

experiments are acquired as shown in Figure 410 where fresh H-Si(111) is exposed to the

similar alkoxysilane molecule propyltrimethoxysilane (TMPS) without amine- end group

(b) and anhydrous toluene only (c) under the similar experimental condition

SiOCH3H3CO

H3CO

CH3

Scheme 2 Trimethoxypropylsilane (TMPS)

No absorbance features related to toluene are actually observed after 20 hr Moreover

the sharp peak of Si-H stretching mode at 2083 cm-1 is still present with a ~95 of total

integrated area of initial fresh H-Si (111) surface This evidence indicates that hydrogen

terminated Si (111) is stable in the anhydrous toluene An additional experiment has shown

that H-Si (111) surface is stable in anhydrous toluene even after 72 hours (data not shown)

Thus we exclude the possibility that solvent alone can contribute to the spectroscopic

features of APTESH-Si (111) we observed

For alkoxysilane without amine (Figure 410b) the surface H-Si lost lt10 of initial

fresh etched H-Si (111) This loss of H-Si is slightly greater than the loss (~5) when it is

in neat anhydrous toluene but less than the loss (~30) when it is exposed to APTES It

maybe due to the reaction of H-Si (111) with alcohol produced from hydrolysis of silane

molecule It has been shown previously that H-Si (111) can readily react with methanol and

ethanol even under room temperature and dark environment[16] The FWHM of peak of

remained H-Si stretching mode is ~7plusmn07 cm-1 and 4plusmn05 cm-1 for APTES and TMPS

respectively The fresh etched H-Si (111) has FWHM at 44plusmn007 These results indicate a

significant change of chemical environment of surface H-Si bond that occurs after

exposure to APTES The results from control experiments suggest that amine group ndashNH2

in APTES plays a crucial role in the reaction of APTES with H-Si(111)

79

Figure 410 FTIR Spectra of Control Experiments After H-Si (111) Exposure to a APTES b TMPS c Toluene only FTIR spectra were obtained for freshly-etched H-Si(111) surface exposed to a 01 APTES in anhydrous toluene b 01 TMPS in anhydrous toluene c neat anhydrous toluene only for 20 hours All spectra are reference relative to freshly-etched H-Si(111) surface

80

436 Reaction of H-Si(111) Surface with Propylamine In this section the reaction between ndashNH2 and H-Si (111) was checked in the toluene with

or without presence of water (wet or dry condition) A short organic molecule propylamine

with ndashNH2 as an end group is chosen The FTIR spectra after H-Si(111) is exposed to 02

propylamine for two hours are shown in the Figure 411A Figure 411B shows the zoom-in

region of H-Si and H-SiO3 stretching vibration mode at 2000-2300 of the same spectra in

Figure 411A referred relatively to an oxidized surface

In the anhydrous environment (Figure 411A-b) ~25 loss of integrated area of H-Si

stretching mode at 2083 cm-1 is associated with an appearance of new peaks at 2262 876

cm-1 attributed to H-SiO3 stretching and bending modes respectively The broad peak

centered at ~1130 cm-1 is assigned to Si-O modes This evidence suggests that local

back-bond oxidization of H-terminated Si (111) surface occurs Further quantifying

amount oxide on the surface is done by comparing the integrated area of LO phone mode

within this region to the LO mode of chemical oxidized (SC-1SC-2) SiO2 which has been

shown previously to produce an oxide layer ~10Aring in thickness[17] The total integrated

area of 900-1300 is ~016 less than ~50 peak area obtained on chemical oxidized

surface

There is no discernable peak at 3400 cm-1 and 1640 cm-1 the characteristic peaks of surface

hydroxyl group and absorbed water suggesting the absence of surface hydroxyl Si-OH

group and absorbed water In addition the sharpness of stretching mode of H-Si (Figure

411B) indicates that major surface region is unaffected by immersing in propylamine

solution and remains H- passivated where dipole coupling between neighboring Si-H

groups on a terrace remains[18-20]

The peak at 730 cm-1 could be assigned to ndashNHndash wagging vibration from formation of

surface Si-N-C bond between propylamine and Si-H The corresponding stretching mode

of NH at ~3400 cm-1 is too weak to be discernable in the spectra [21] The appearance of

various stretching modes of -CH2 and -CH3 at 2800-3000 cm-1 including 295029222964

cm-1 with total integrated area ~ 00131 and bending modes at ~ 1450 cm-1 indicates the

attachment of propylamine on surface The amine dissociative adsorption on silicon

1 As a compassion the integrated area of CH (2800-3000) is ~ 002 for H-Si(111) in APTES for 20 hours and less than 0006 for H-Si(111) in TMPS for 20 hours

81

Figure 411 FTIR Spectra of H-Si(111) after exposure to Propylamine

In the top panel (A) FTIR spectra of fresh prepared H-Si(111) surface after exposure to 02 propylamine in a) regular toluene b) anhydrous toluene Both are referenced against to fresh H-Si (111) As a comparison the spectra of chemically oxidized SiO2 surface after exposure to 02 propylamine in regular toluene is given (c) For clarity the spectra b and the spectra b and c is displayed on 4 timesrsquo smaller scale than a In the bottom panel (B) same spectra shown in the top panel are referenced to clean oxidized silicon surface

82

surface with Si-N bond formation was previously studied in the UHV condition in gas

phase([22-24]) Under our experiment condition (wet chemistry environment and room

temperature) this reaction may be expected but should be significantly different from

mechanism under UHV condition The spectra show that formation of Si-N competes or

co-exists with the oxidization of Si-H catalyzed by trace amount of water and amine group

When excess water co-existed with propylamine (wet condition) H-Si surface is

oxidized much faster than in the anhydrous case As shown in the Figure 411 the

integrated area of Si-O-Si stretching modes at 1000-1200 cm-1 is nearly 10 times higher

than the case where H-Si (111) is exposed to propylamine in anhydrous environment for

the same time period Both H-SiO3 (2256 880 cm-1) and surface hydroxyl (related

vibration modes SiO-H stretching mode at ~ 3400 cm-1 Si-OH stretching mode at 950

cm-1) species are observed In addition the vibration mode related with hydrogen bonded

water is observed the stretching mode of Si-OH at ~3400 cm-1 and scissoring mode of

water at 1640 cm-1 However the surface hydrogen is not completely replaced by ndashOH

group as there is ~ 40 H-Si remained on the surface while another ~30 existed in the

form of H-SiO3 with corresponding stretching mode at 2256 cm-1 (Figure 411B)

Considering the integrated area of stretching Si-O modes at 1000-1200 cm-1 it is nearly

five times higher than SiO2 prepared from RCA chemical oxidization It suggests that

continuing oxidization may happen in an anisotropic manner The oxidization of substrate

is co-existed with other surface species including surface silicon-hydrogen bond with

insertion of oxygen into back-bonds (H-SiO3) and untouched Si-H bond The broadness

(FWHM-) and peak position (~2073 cm-1) of stretching mode of H-Si indicates a

disruption of the dipole coupling between neighboring Si-H groups on a terrace [18-20]

As a schematic drawing shown in the Figure 412 the numbers 1-4 indicate the surface

species presents on the surface In the dry condition only 1 and 2 are observed and

dominated by specie 1 (untouched H-Si) In the wet condition all three species are

observed And anisotropy oxidization happens toward underneath deeper layers The

species 4 is attributed to reaction ndashNH2 with H-Si forming Si-N linkage Both species 2

and 3 readily react with APTES

It has been found that H-Si (111) is stable in pure water up to several days Apparently

propylamine accelerates the oxidization of H-Si where H2O is a source of oxidization

83

Furthermore the direct reaction between propylamine and H-Si (111) may exist although it

is not dominated and must process at a very slow rate

CH3

NH2

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HSi

Si

HOSi

O

OHSi

O

HSi

Si

HSi

O

HSi

O

HSi

Si

HSi

Si

HNSi

Si

CH3

H2O

3 2 1 4

Figure 412 Possible Scheme of reaction of propylamine with H-terminated Si with or

without water

44 Discussion The FTIR spectra demonstrate that APTES can form stable siloxane layer on the H-

terminated Si (111) in an anhydrous toluene at room temperature without using any

accelerators or pre-hydrolysis step Amine- group of APTES is found to be crucial for the

reaction to take place An insertion of oxygen in the Si back-bond forming H-SiO3 is

observed The amount of attached APTES (CHx stretching modes and NH2 bending modes)

is correlated with the degree of loss of Si-H stretching mode and H-SiO3 stretching mode

As the reaction time in APTES solution increases the initial Si-H stretching mode

decreases in intensity while an H-SiO3 stretching mode appears simultaneously and

gradually increases indicating that oxidation occurs After a couple of hours the H-SiO3

stretching mode decreases while mode corresponding to the absorption of APTES on H-Si

(111) is now present The saturation of the Si surface is observed after 20 hours when no

more active sites are accessible to APTES due to the steric restriction

It has been long-term established that alkylsiloxane self-assembled monolayer is used

to engineer silicon oxide surface [4 25] The general accepted mechanisms although still

under considerable debates involves hydrolysis of alkoxy- groups and condensation with

active surface hydroxyl group or incorporate into a two dimensional cross-linked network

of Si-O-Si bonds in the physical absorbed thin water layer [26 27][more references]

However to our best knowledge there is no report about direct formation of alkoxylsilane

84

on oxide-free and hydrophobic H-terminated single-crystal silicon surface which are

normally alkylated through reaction with alkene forming Si-C linkage thermally or

photochemically [10 11] or it is found recently that organotrichlorosilane could possibly

form on non-oxide surface at room temperature due to the hydrosilylation of

chloride-terminated silicon surface generated by ion exchange between Si-H and chloride

ions in anhydrous toluene [28]

A possible two-step reaction mechanism has been proposed previously for

amine-catalyzed silanization of alkoxylsilane on hydrogen-terminated porous silicon

surface with water[29] the first step is an amine-catalyzed hydrolysis of H-Si to form

hydroxyl-terminated surface at the second step the surface hydroxyl groups further react

with the alkoxy (Si-O-R) or silanol (Si-OH) groups of APTES molecule under amine

catalysis The second step of amine-catalyzed siloxane condensation has been previously

reported For example a significant fraction of adsorbed aminosilane attaches covalently

to the surface silanol group in an anhydrous environment at room temperature under

catalysis of amine motifs [30-32]

This mechanism cannot be fully applied to the results we observed under the anhydrous

experiment condition First the absence (or minimized content) of surrounding water in

anhydrous toluene makes the surface hydrolysis less likely to occur It lacks evidence of

Si-OH in present FTIR data We only see Si-OH under the wet condition accompanied by

the formation of a significant large amount of silicon oxide Second this mechanism

doesnrsquot provide detailed information on the initial stage of oxidization of H- terminated

silicon surface In other words it is questionable whether surface Si-OH forms with or

without oxidization of underneath silicon

The studies of water reaction on H-Si(100) under ultrahigh vacuum have shown that

oxidation of Si-Si bonds occurs both at room temperature and elevated temperatures but

without breaking Si-H bond probably because of the bond energy of Si-H 14eV higher

than bulk Si-Si[9] The surface hydroxyl could form only after Si-O-Si formation[33] A

study of the reaction of O2 with H-Si(111) has found that no oxygen is initially inserted into

the Si-H bond to form Si-OH instead various H-SiOx species are formed[34]

From our time-dependence data in Figure 413 and Figure 414 it has clearly shown

that initially H-Si is slowly decreased while H-SiO3 appears simultaneously This indicates

85

at the first step that an insertion of oxygen into H-Si bandbonds occurs Both H-Si and

H-SiO3 modes are still observed after 7 daysrsquo exposure to APTES The vibration modes

related with absorbed silane molecules like CHx and NH2 are barely observed at the first

two hours but start increasing and then decreasing with exposure of the time Based on

these evidence we propose the following mechanism the Si(111) surface initially

H-terminated evolves into H-SiO3 due to the insertion of oxygen (possibly coming from

traces amount of water in the solvent) into the back-bond of equivSi-H as depicted in Figure

415 This step may be also the result of a catalyst process involving the amine group The

hydrogen bonding formed between water and amine group significantly weakens the O-H

bond and thus making the oxygen atom more nucleophilic and more ready to attack the

surface Si atoms either in Si-H or Si-Si with formation of surface hydroxyl Si-OH (I) or

H-SiO3 (II) respectively Our data suggest that the latter (pathway-II) is dominated over

pathway-I under anhydrous environment Silane molecule from the solution can then

readily react with the H-SiO3 group The presence of the oxygen atoms in the back-bond

polarizes the Si-H bond and weakens it making it more reactive to the APTES molecule

After 20 hours the plateau observed in the 1000-1300 cm-1 region indicates that the

saturation has been reached and the APTES layer acts as a barrier to block any further

attachment on the surface active sites H-SiO3 For extensively longer exposure time after

several days in APTES solution the APTES is removed and surface oxidization continues

corresponding to observed decrease of H-Si and CHxNH2 in the intensity Nearly constant

H-SiO3 could be explained as a result of equilibrium between H-Si oxidization and its

further converting to HO-SiO3

In this mechanism surface hydroxyl groups do not form and cannot be accounted for

the attachment of the silane molecules Instead the formation of H-SiO3 is a key in the

surface attachments of APS on HSi (111) As shown in Figure 415 because of the

inserted oxygen atoms that weaken the Si-H bond H-SiO3 has higher reactivity than Si-H

resulting reaction of H-SiO3 with alkoxy-group of APTES under catalysis of NH2 group

86

Figure 413 Time-Dependence of Integrated Area of Different Spectra Regions (I)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid triangle represents the peak area of Si-H stretch mode at ~ 2100 cm-1 and open circle represents the peak area of H-SiO3 at ~2250cm-1 X-axis (time) is plotted in logarithm scale

87

Figure 414 Time-Dependence of Integrated Area of Different Spectra Regions (II)

The spectra were recorded as described in the previous figure for various time lengths from 5 minutes to 72 Hours The integrated areas of different peaks were measured using Omnicreg spectroscopy software The solid square in the upper panel represents the peak area within range of 1000-1300 cm-1 contributed from several overlapped bands like Si-O Si-O-C In the lower panel the open circle represents the peak area of NH2 bending ~ 1600 cm-1 and solid triangle represents peak area of CHx (X=2 or 3) stretching modes at 2800~ 3000 cm-1 For some data points the error bar was too small and hardly distinguished from the data points X-axis (time) was plotted in logarithm scale

88

Si

SiSi

H

SiSi

SiSi

H

Si

SiSi

OH

SiSi

SiSi

H

NH2EtO

HH

H2

δ+

δminus

Si

OO

H

OSi

OO

H

Si

OH3CH2C

R

O

CH2CH3δminus

δ+

δ+

δminus Si

O

R

O

CH2CH3

Si

OOO

Si

OO

H

I

II

Figure 415 Possible Mechanism of APTES reacts with surface H-SiO3

441 Amine-catalyze Oxidization of H-Si backbond and attachment of

APTES

In the present study we found that amine group APTES is crucial for the reaction For

the alkoxysilane without the presence of amine the reaction with H-Si (111) can only

proceed if there is any at a very slow rate considering ~90 H-Si remains at terrace

structure even after 20 hours Therefore the formation of H-SiO3 is most likely proceeds

under the catalysis of amine group

There are already extensive reports on that amine group catalyze direct condensation of

alkoxy- [30 35] or chlorine- [36] group to surface hydroxyl group The proposed

mechanism of the catalytic activation is that the interaction between nitrogen and hydrogen

attached to oxygen make oxygen more polar[36] The Si-OH surface mode is known to

have strong interactions with Lewis bases such as propylamine and pyridine The hydrogen

bonding due to this interaction substantially weakens the SiO-H bond and increases the

nucleophilicity of the oxygen atom (δminus) which will attack the electron deficient Si atom

(δ+) of the Si-Cl [37] or Si-O-R to form Si-O-Si linkage

89

We examined the reaction of H-Si (111) with propylamine in anhydrous toluene and

regular toluene The increasing amount of water in the solution did significantly accelerate

the oxidization of silicon substrate and formation of surface Si-OH Without the presence

of water both reactions are suppressed and H-SiO3 species was clearly observed and most

of surface H-Si remains This experiment also confirms that the existence of alkoxy group

is important for the reaction to keep going Under anhydrous environment amine group or

alkoxy group alone cannot fully account for the loss of H-Si we observed

442 Limited Interfacial Oxidization

The earlier study of the initial stage of oxidization of hydrogen-terminated silicon in

the water or in the atmosphere has proposed a two-stage oxidization with different

oxidization rates [38] [39] The Si-Si back-bond instead of Si-H is first attacked by water

molecule to form H-SiOx but the oxidization is a slow process (Induction Period) As

Si-OH coverage increases formation of Si-O-Si bridges can be formed through adjacent

Si-OH while more rapid oxidization is observed in a second stage [38] [39] In this

oxidization mechanism the initial oxidization depends strongly on the surface

concentration of ndashOH groups In the case of APTES silanization APTES could quickly

react with H-SiO3 once it is formed under amine catalyzing in the step 2 and then may

suppress any possible further oxidization The attachment of APTES might limit the

oxidization only to the first or second layer The utilization of a N2-purged glove box also

helps to minimize the oxidization Indeed the fact that there are still ~20 of H-Si and

H-SiO3 remaining after extended exposure time suggests that surface is still covered with

hydrogen existing in the form of either H-Si(Si) or H-SiO3 instead of Si-OH The less

oxidization and partially H- passivation may contribute to the observed higher stability of

APTESSi (111) in the water as well where OH- etching of SiO2 substrate or hydrolysis

Si-O-Si is considered as one of the sources of instability

443 Stability of APTES layer and Binding Capability

The stability of aminosilane is crucial for its various applications An ideal aminosilane

layer should be flat stable with a dense surface free amine functional group However the

90

optimized routes are still not available for preparing amine functional surface with short

chains[1] [2] Although APTESSiO2 system is widely used in many biomedical

applications like promoting biological adhesion (ref) it is found previously that it is not

stable at all SAM or multilayers formed on SiO2 from amino-alkoxysilane is easily

removed when the film is exposed to water or water salt solution [1]

The instability of APS on oxidized surface is explained as the existence of multiple

complicate interactions between function groups of amino-organosilane and SiO2 surface

with a thin physical absorbed water layer Thus the absorbed APTES layers are not only

observed through surface Si-O-Si covenant bonds but most likely also through ions

interaction (NH3+) hydrogen bonds (between NH2 and Si-OH) It is also been suggested

previously that the film most likely linked on the physically absorbed water layer on the

SiO2 surface instead of directly binding to the surface In addition the underneath SiO2

substrate could be etched away in the water environment when there is NH2 group around

as indicated by the increasing negative band from 1000-1300 cm-1 at Figure 47b This is

possibly because of the formation of OHminus anion (the surface ndashNH2 pKa is ~10 and ndashNH2 is

protonated under neutral environment (pH~7)

minus+ +minus⎯rarr⎯+minus OHNHROHNHR 322

By using H- terminated Si (111) surface and the method presented in this study the sources

of complicated various interactions mentioned above is minimized or does not exist at all

In addition physically absorbed water is not present on H-Si (111) surface due to the

hydrophobic nature of the surface As a consequence it minimizes the unwanted

polymerization and unwanted attachment of silane layer to the water layer with

significantly reduced stability Moreover the electrostatic interaction between amine and

surface could be minimized also due to lack of surface hydroxyl group Indeed the IR

spectra shown in Figure 43 indicate the existence of free amine groups (bending mode of

NH2 at 1604 for free amine and 1580 or less for hydrogen bonded ndashNH2)

Biological reactivity of surface with ndashNH2 functionality is further confirmed by

reaction with PMPI which forms covalent bond with surface amine group This is

confirmed by the FTIR spectra of PMPI absorbed on APTESSi (111) surface suggesting

91

the surface prepared using APTESH-Si (111) is well suitable for further biological

applications

45 Conclusion We have demonstrated that (3-aminopropyl) triethoxysilane (APTES) can form

self-assembled monlayer on the atomically flat H- terminated Si (111) surface It is first

time that we show the attachment of APTES molecule to silicon without involving oxide

substrate or appreciable oxidization of substrate APTES layer formed on the H-

terminated surface shows significantly higher stability than APTES layer formed on

SiO2Si (100) with free amine groups which are important for its further application The

prepared NH2- surface shows similar reactivity toward the further biological attachment

with other methods A possible mechanism is proposed for the reaction of APTES with

atomically flat H-Si (111) Amine- group has been demonstrated to be a key for the

reactions to take place The first involves the insertion of oxygen into the Si backbond

forming H-SiO3 under catalysis of amine group The following reaction of H-SiO3 with

APTES has been demonstrated to process very quickly The interfacial oxide silicon is

significantly limited and the surface remains partially hydrogen passivated which may

account for the higher stability of APTES layer formed on H-Si (111)

92

Bibliography

1 Wang AF et al In vitro stability study of organosilane self-assemble monolayers and multilayers Journal of Colloid and Interface Science 2005 291(2) p 438-447

2 Allen GC et al Macro- micro- and nano-investigations on 3-aminopropyltrimethoxysilane self-assembly-monolayers Thin Solid Films 2005 483(1-2) p 306-311

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

5 Xiao S-J et al Covalent Attachment of Cell-Adhesive (Arg-Gly-Asp)-Containing Peptides to Titanium Surfaces Langmuir 1998 14(19) p 5507-5516

6 Queeney KT YJ Chabal and K Raghavachari Role of interdimer interactions in NH3 dissociation on Si(100)-(2 x 1) Physical Review Letters 2001 86(6) p 1046-1049

7 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

8 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

9 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air 1994 76(4) p 2157-2163

10 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

11 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

12 BROKER B SILICON SURFACE FUNCTIONALIZATION FOR DNA ATTACHMENT in Department of Physics and Astronomy 2006 Rutgers University

Piscataway 13 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 14 Shen G MFG Anand and R Levicky X-ray photoelectron spectroscopy and

infrared spectroscopy study of maleimide-activated supports for immobilization of oligodeoxyribonucleotides Nucleic Acids Research 2004 32(20) p 5973-5980

15 Chowdhuri AR et al Strain and substoichiometry at the Si(100)SiO_2 interface Physical Review B 2003 67(24) p 245305

16 Michalak DJ et al Infrared spectroscopic investigation of the reaction of hydrogen-terminated (111)-oriented silicon surfaces with liquid methanol Journal of Physical Chemistry B 2006 110(41) p 20426-20434

17 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

93

18 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

19 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

20 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

21 Socrates G Infrared Charateristics Group Frequencies 1997 22 Bitzer T T Alkunshalie and NV Richardson An HREELS investigation of the

adsorption of benzoic acid and aniline on Si(100)-2 x 1 Surface Science Vibrations at Surfaces 1996 368(1-3) p 202-207 23 Rummel RM and C Ziegler Room temperature adsorption of aniline (C6H5NH2)

on Si(100)(2x1) observed with scanning tunneling microscopy Surface Science 1998 418(1) p 303-313

24 Kugler T et al Chemically modified semiconductor surfaces 14-phenylenediamine on Si(100) Surface Science 1992 260(1-3) p 64-74

25 Schreiber F Structure and growth of self-assembling monolayers Progress in Surface Science 2000 65(5-8) p 151-256

26 Allara DL AN Parikh and F Rondelez Evidence for a Unique Chain Organization in Long-Chain Silane Monolayers Deposited on 2 Widely Different Solid Substrates Langmuir 1995 11(7) p 2357-2360

27 Tripp CP and ML Hair Reaction of Methylsilanols with Hydrated Silica Surfaces - the Hydrolysis of Trichloromethylsilanes Dichloromethylsilanes and Monochloromethylsilanes and the Effects of Curing Langmuir 1995 11(1) p 149-155

28 Shirahata N et al Interfacial observation of an alkylsilane self-assembled monolayer on hydrogen-terminated Si Langmuir 2004 20(20) p 8942-8946

29 Xu DS et al Hydrolysis and silanization of the hydrosilicon surface of freshly prepared porous silicon by an amine catalytic reaction New Journal of Chemistry 2003 27(2) p 300-306

30 Blitz JP RS Shreedhara Murthy and DE Leyden The role of amine structure on catalytic activity for silylation reactions with Cab-O-Sil Journal of Colloid and Interface Science 1988 126(2) p 387-392

31 White LD and CP Tripp An infrared study of the amine-catalyzed reaction of methoxymethylsilanes with silica 2000 227(1) p 237-243

32 White LD and CP Tripp Reaction of (3-aminopropyl)dimethylethoxysilane with amine catalysts on silica surfaces Journal of Colloid and Interface Science 2000 232(2) p 400-407

33 Rivillon S RT Brewer and YJ Chabal Water reaction with chlorine-terminated silicon (111) and (100) surfaces Applied Physics Letters 2005 87(17)

34 Zhang L K Wesley and S Jiang Molecular Simulation Study of Alkyl Monolayers on Si(111) Langmuir 2001 17(20) p 6275-6281

35 Wu B G Mao and KYS Ng Stepwise adsorption of a long trichlorosilane and a short aminosilane Colloids and Surfaces A Physicochemical and Engineering Aspects 2000 162(1-3) p 203-213

94

36 Tripp CP Hair ML Chemical Attachment of Chlorosilanes to Silica A Two-Step Amine-Promoted Reaction J Phys Chem 1993 97 p 5693-5698

37 Tripp CP and Hair ML Reaction of chloromethylsilanes with silica a low-frequency infrared study Langmuir 1991 7 p 923

38 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

39 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

95

5 Chapter 5 Alkylation of H-Terminated Silicon (111)

and Thermal Stability of SAMs with Different

Functionalities

51 Introduction The formation of well-defined and densely packed organic monolayers on semiconductor

surface has received increasing interests in the variety field from micronano-electronics

biosensor and biological attachments There are two main categories of methods for

forming organic layers on silicon One is silane-based SAMs via Si-O-Si bond forming

between silane on oxidized silicon and other is alkene-based SAMS via a Si-C on a H-

terminated silicon Other types of SAMs form via Si-N or Si-O-C are not dicussed here

The major drawbacks of silane-based SAMs (chloro- or alkoxy-) on oxide silicon are

the reproducibility in the synthesis and its stability The Si-O bond formed on the interface

of SAMSiO2 is susceptible to hydrolysis and is thermally liable The extensive studies

have shown that the preparation of trichlorosilane or trialkoxylsilane on silicon oxide is

very sensitive to the environment parameters such as water content humidity temperature

solution histories history of glassware resulting in the reproducibility problem under the

regular lab condition

The formation of well-defined and densely packed organic monolayers directly

attached to single crystalline silicon surface without interfacial silicon oxide layer has

received increasing interests since its invention A highly organized monolayer through

highly stable Si-C bonds can be prepared by the reaction of alkenes with H-terminated

silicon thermally photochemically or catalyticly Because of its ability to tailor the surface

for different applications SAMs with a variety of functionalities have been widely

investigated in their formation interfacial structure and decomposition In addition in the

field of farication of semiconductor and electronic devices many processes involve high

temperature anneals Therefore the thermal behavior of organic SAMs is important for

96

applications such as organicinorganic hydride device micro-nano- patterning selective

Atomic Layer Deposition (ALD)

In this chapter the formation structure and thermal stability of two types of organic

monolayers (-CH3 SAM and ndashCOOH SAM) thermally grafted on H-terminated Si (111)

are studied by infrared spectroscopy

52 Experimental

521 Materials

Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular seive 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional cross-linker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and with nitrogen bubbling for at least 1

hour in order to remove the dissolved water and oxygen before use

522 Preparation of Self Assembled Monolayers

5221 Preparation of H- Terminated Si (111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ-cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

97

123

45 6

78

91 10

23

45 6

78

911

N2 flow

cold water

Figure 51 Experimental Apparatus For thermal hydrosylation of H-Si(111)

peroxide at the ratio 31 at 95Cdeg for 25 minutes to remove the surface contamination

following by thoroughly DI-water rinsing The cleaned sample was then placed in the 10

HF (aq) for 30 seconds and in 40 NH4F (aq) for 2 min 30s to etch away the silicon oxide

layer and produce an atomic flat H- terminated Si(111) surface During the etching step

the wafer was agitated occasionally to remove the bubbles formed on the surface After

NH4F etching sample is rinsed thoroughly with DI water and dried under a stream of N2

(g) The sample was then immediately removed into N2 purged FTIR spectrometer

chamber for taking reference spectra or into a N2 purged glove box where all further

chemical functionalizations were conducted

5222 Preparation of ndashCH3 Terminated Si (111) surface

Neat octadecene was pre-treated with 3Aring molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs without oxidizing the silicon surface

After transferring the octadecene liquid into the three-necked flask loading H-Si (111)

sample and assembling the condenser tube in the N2 glove box the whole set was sealed

and moved out from N2 glove box to a hood The octadecene was then continuously purged

with N2 (g) for additional 30 min before heating it up to reaction temperature at 200 degC in a

silicon oil bath

98

5223 Preparation of -COOH Terminated Si (111) surface

Neat 1-undecylnic acid was pre-deoxygenated for at least 1 hour while heated at 50 degC

(keep it from freezing) and then cooled to room temperature The freshly prepared silicon

H-Si (111) was then placed under N2 in a Schlenk three-necked flask containing a

previously deoxygenated neat undecylnic acid and allowed to react at 120 degC for 2 hours

The excess unreacted reagent was removed after the reaction and the sample was rinsed

with THF dichloromethane hot 10 acetic acid (at 80 degC) and DI water and then dried

under the stream of N2 (g)

53 Results

531 Preparation of Octadecylated Si (111) Surface

Figure 52 shows the typical transmission infrared spectra of freshly-octadecylated Si(111)

surface prepared by immersion of hydrogen-terminated Si(111) into the neat 1-octadecene

for 2 hours at 200 degC [1 2] The spectra acquired from two different incident angles of the

IR beam 74deg and 10deg off normal These spectra are referenced relatively to the

freshly-etched H-Si (111) surface so the negative peaks in the spectra represent features of

H-Si (111) surface removed during the alkylation reaction For the spectra collected at 74deg

off normal (Brewster angle) the negative sharp peak of Si-H stretching mode at 2083 cm-1

and Si-H bending mode at 628 cm-1 indicates removal of H atoms from surface The sharp

negative peak at 2083 cm-1 is not observed when probed with IR incident angle of 10deg off

normal while the Si-H bending mode appeared slightly more negative indicating that Si-H

bond is perpendicular to the Si(111) surface The broad low intensity peak at 2073 cm-1

shown in the spectra referenced against the oxidized surface (inserted in a small left panel)

indicates that the alkylation is not completed which is in agreement with at most ~ 50

surface Si-H which can be grafted due to the steric limitation for alkyl chain with more than

one carbon on Si (111) surface [3]

A rather low surface oxidization is observed as indicated by the weak broad peaks

centered at 1018 cm-1 with FWHM 83 plusmn 2 cm-1 in the region of 900-1100 cm-1 The

effective thickness of Si-O is less than 01 nm estimated by comparing the integrated area

99

of broad Si-O-Si phonon modes to the IR spectra of sample that has been oxidized using

standard RCA cleaning (SC-1 10min immersion in a solution of 114 30 H2O230

NH4OHDionized water at 80degC followed by a water rinse and a SC-2 clean 10min

immersion in a solution of 114 30 H2O2 39 HClDeionized water at 80degC) The RCA

clean has been shown previously to produce an oxidized layer of ~ 1 nm [4] After

alkylation the area of Si-O (~00233 centered at 1018 cm-1) corresponds to less than ~10

of regular oxide prepared from RCA method The lack of typical shape (LOTO) of

oxidized peaks at 1000-1200 cm-1 from RCA clean suggests that Si-O probably exists in

isolated Si-O form

The attachment of alkyl chain to the Si(111) surface is confirmed by the positive peaks

at 2800-3000 cm-1 29192850 cm-1 are assigned to the asymmetric and symmetric

stretching modes of CH2 group and 29662879 cm-1 are assigned to the asymmetric and

symmetric stretching modes of CH3 group respectively The peak at 1467 cm-1 is assigned

to the corresponding scissor mode of CH2 groups The υa (CH2) band is indicator of

packing of linear alkyl chains in the monolayers [5] the denser packing results in lower

wavenumber It is known that this band shifts from 2928 to 2920 cm-1 as the alkyl chains

going from disordered liquid phase to ordered solid phase[6] So the observed peak at

2919 cm-1 suggests the alkyl chains are ordered densely packed In fact as shown in the

Figure 53 the integrated area of stretching modes of hydrocarbon representing the

amount of alkyl chains on the surface is nearly linearly correlated with the wavenumber of

υa (CH2) band The denser packing indicated by the higher integrated area of stretching

modes of carbon-hydrogen corresponds to the lower wavenumber of peak of υa(CH2)

The weak band at ~ 678 cm-1 is assigned to the surface Si-C stretching mode The fact

that it disappeared from the spectra when IR beam incident angle is 10deg indicates that Si-C

bond is normal to the surface However it is worth to note that Si-C peak is quite difficult

to see since it is imposed with nearby strong silicon phonon mode at 610 cm-1 Si-H

bending mode at 626 cm-1 and CO2 at 667 cm-1 A careful spectra reprocess is needed in

order to remove other irrelatated bands

100

Figure 52 FTIR spectra of H-Si(111) Thermally Modified with 1-Octadecene

FTIR Spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-octadecene for 2 hours at 200 degC The spectra were measured at 74deg (top) and 10deg (bottom) off normal as indicated Both are referenced relative to the freshly-etched H-Si(111) surface

101

Figure 53 Relation Between Peak Position of υa (CH2) and Packing Density of Alkyl Chains

The packing density is represented by the integrated area of stretching modes of carbon-hydrogen It clearly shows that the denser packing of SAM leads to better ordered layer

102

532 Preparation of COOH-Terminated Monolayer on Si(111) Surface

via a Si-C bond

Figure 54 shows transmission infrared spectrum of COOH-terminated Si(111) through

Si-C bond on Si(111) surface prepared by immersion of hydrogen-terminated Si(111) into

the neat 1-undecylenic acid for 2 hours at 120 degC This is similar with the method applied

to porous silicon surface [7] The negative sharp peak of Si-H stretching mode at 20831

cm-1 and Si-H bending mode at 6267 cm-1 clearly indicates removal of H atoms from

surface

The strong peak appears at ~1718 cm-1 (FWHM 239 plusmn 03 cm-1) which is a

characteristics of a carboxylic acid is assigned to the stretching vibration mode of C=O of

a carboxylic acid The broad shoulder of this peak may contain weak stretching mode C=C

at 1634 cm-1 and stretching mode of C=O in an ester form Si-O-C(O)-R at ~1740 cm-1

Although both alkenes (C=C) and carboxyl group (-COOH) may react with H-Si(111) [8]

here we cannot completely exclude the possibility of reaction between COOH and surface

Si-H and exactly estimate the percentage of reaction through this pathway The intense

peak at 1718 cm-1 and the absence of Si-O-C at ~1100 cm-1 strongly indicate that most of

the surface Si-H was consumed by a hydrosilylation reaction with C=C rather than thermal

reaction with the hydroxyl group of acid function In addition the clear peaks at 1278 and

1413 cm-1 assigned to C-OH stretching and C-O-H bending also suggest that the acid end

group is left intact after the reaction This is similar with what reported that the dominant

hydrosilylation is observed in the reaction between undecylenic acid and porous silicon

[7]

The major bands between 2800-3100 cm-1 are directly assigned to the asymmetric and

symmetric methylene stretching υαs(CH2) at 2926 and υs(CH2) at 2854 cm-1 respectively

It is known that the asymmetric stretching mode of methylene an indicator of surface

monolayer packing order shifts from 2928 to 2919 cm-1 as the phase of monolayer shifts

from disordered liquid phase to ordered crystal phase [6] Therefore the above observed

value indicates that the COOH-terminated monolayers formed from undecylenic acid are

not as orderly and compact as long chains of CH3- terminated n-alkyl monolayers

103

Figure 54 FTIR spectra of H-Si(111) Thermally Modified with 1-Undecylenic Acid

Transmission infrared absorbance spectra were obtained for freshly-etched H-Si(111) surface immersed into neat 1-Undecylenic Acid for 2hours at 200 Cdeg The spectrum was measured at 74deg off normal and is referenced relative to the freshly-etched H-Si(111) surface

104

Although there are other indirect methods for forming COOH- terminated monolayers ie

by hydrolysis of ester-terminated monolayers on silicon[9 10] these methods still can not

produce monolayer as orderly as n-alkyl monolayers because the hydrolysis of ester group

usually is not complete[11]

Finally the weak band at the 900-1200 cm-1 indicates a very low concentration of

Si-O-Si or Si-O-C the surface is essentially oxide free Compared to the reaction of

n-alkylation of Si(111) using 1-octadecene there is usually a certain small amount of oxide

(~10 equivalent of the chemical oxide formed by standard RCA cleaning with effective

thickness ~ 1 A) that is formed during the similar thermal reaction condition a common

problem in hydrosilylation [2] One explanation of this largely suppressed oxide formation

is that the residual water a cause of oxide formation during alkylation is trapped by

undecylenic acid [12] This chemical modification method is especially useful in the place

where oxide is unwanted Meanwhile the chemically reactive acid groupsrsquo termination

leaves the surface available for being further tailored to meet the requirements of different

applications

533 Chemical Stability Resistance of Organic Monolayer via a Si-C

bond to HFNH4F

Figure 55 shows the FTIR spectral changes of Octadecylated Si(111) after immersed

in the 10 HF solution for 30 second and 40 NH4F solution for 90 second (a typical

recipe used for removing silicon oxide and preparing atomic flat H-Si(111) surface) The

SAM has high resistance toward HFNH4F etching Not only the hydrocarbon has very tiny

loss but also the silicon oxide under SAM formed during alkylation is significantly

protected by organic layer This suggests that SAM is densely packed over the whole

surface and behaves like a very good barrier to prevent HF and NH4F from attacking the

sub-oxide of substrate This also indicates that the oxide must exit as local small patches

surrounded by alkyl chains otherwise the area without alkyl chains protection would be

etched away by HF

105

534 Thermal Stability of Octadecylated Si(111)

Figure 56 shows the typical FTIR data after octadecylated Si (111) annealed at stepped

increasing temperature from 100 to 400 degC In the Figure 56a all the spectra are

referenced against freshly SAM modified Si (111) surface As indicated by the stretching

modes of carbon hydrogen at 2800-3000 cm-1 the loss of alkyl chains starts at 150 degC This

is consistent with the results reported by Fauxheus [13] in the nitrogen environment and

Sung in the ultra vacuum environment [14] With the gradual loss of alkyl chains a peak

appears at 2080 cm-1 corresponding to the stretching mode of surface Si-H Its intensity

increases with increased annealing temperature until 350degC Further annealing to the

higher temperature 400 degC the intensity of Si-H stretching mode starts to decrease with

appearance of new peak at 2269 cm-1 which is assigned to stretching mode of H-Si with

the insertion of oxygen into the Si backbonds H-SiOx species At the same time the CH

stretching mode completely disappeared indicating complete desorption of grafted

alkyl-chains (for clarity the same spectra referenced to clean H-Si(111) are shown in the

Figure 57a) These results directly indicate that alkyl chains are desorbed as a whole with

breaking of surface Si-C bond and regeneration of Si-H in accordance with the desorption

mechanism based on results from UHV conditions[14] Consistent with appearance of

H-SiOx at higher annealing temperature above 400degC there is no oxidization of silicon

substrate observed below 400 degC which indicates that the alkyl chains or H-Si may protect

the surface from oxidization In contrast to Fauxheus et al we do clearly see the formation

of Si-H at 2080 cm-1 and do not observe the oxidization of Si or significant insertion of

oxygen into Si back-bond below 350 degC even in the normal N2 purged environments

Consider that the spectra were referenced against freshly-SAM grafted Si (111) surface

with 20-50 Si-H site untouched the positive peak at 2080 cm-1 indicates there is more

Si-H newly formed during the thermal decomposition of alkyl-chains on the surface than

on the starting freshly-alkylated Si (111) surface

In the Figure 56b the same data as Figure 56a is presented in the different manner

for each spectrum the reference spectrum is the one taken right after heating at the

preceding temperature We can clearly see the biggest loss that occurs during 250 - 300 degC

The amount of loss of CHx is proportional to the increasing intensity of Si-H band In

106

Figure 55 Stability of Octadecylated Si(111) in HF and NH4F solution

FTIR Spectra of Octadecylated SAM were obtained before and after exposure to HF (30s) and NH4F (90s) The top spectra are referenced against to Octadecylated SAM before HFNH4F treatment and bottom one are referenced relative to fresh H-Si(111)

107

Figure 56 In Situ Transmission infrared Spectra of Octadyl-SAMSi(111) Post Annealed in ultra pure N2 gas from 100 to 400 degC Transmission infrared spectra were collected at 60 degC after each successive annealing with incident angle 70deg off normal The duration of heating at each temperature is 15min a) All spectra are referenced relative to fresh octadylated Si(111) surface at 60degC b) For each spectrum the reference spectrum is the one taken right after heating at the preceding temperature (differential spectra)

108

Figure 57 Peak area of the CH Stretching as a function of annealing temperature for Octadecylated Si(111) sample

a FTIR spectra of region of C-H stretching after annealing at different temperature b The dash line plots the integrated peak area of C-H stretching modes as a function of annealing temperature The points are normalized by the peak area measured prior to annealing

109

addition the sharper Si-H peak after heating from 300 to 350degC may suggest that Si-H

continues form and is less likely disturbed by the nearby environment due to removal of

more surrounding alkyl chains from the surface

The desorption behavior of octadecylated Si (111) is summarized in the Figure 57b

The dash line plots the integrated peak area normalized by the peak area of C-H stretching

modes prior to annealing as a function of annealing temperature In contrast to Sungrsquos work

at UHV condition we do not observe the alkenes probably due to the removal of alkenes

by continuous N2 purge flow during the annealing processes

535 Thermal Stability of COOH-Terminated-Si (111)

Figure 58 shows typical FTIR data after COOH-terminated Si (111) annealed at

stepped increasing temperature from 100 to 500degC In Figure 58a all the spectra are

referenced relative to freshly-grafted COOH- terminated Si (111) prior to annealing In

Figure 58b the same data as Figure 58a are presented in the differential manner ie for

each spectrum the reference spectrum is the one taken right after heating at the preceding

temperature

The peak of C=O stretching mode at 1714 cm-1 is very first mode to start decreasing in

intensity at 150 degC and a weak new peak appears at slightly higher frequency 1740 cm-1

This shift of C=O mode maybe due to the breaking of hydrogen bond between COOH-

groups upon annealing It further decreased after annealing at 200 degC simultaneously two

new peaks appeared at 1756 1823 cm-1 which can be possibility assigned to formation of

C(O)-O-C(O) between nearby COOH- groups although this reaction may not account for

all the observed loss of intensity of 1714 cm-1 A possible breaking of C=O may be also

undergoing The peaks at 1756 and 1823 cm-1 disappear after annealed at 350degC

At the 300degC the intensity of carbon-hydrogen stretching modes starts decrease and

the biggest loss occurs in the range of 400-450 degC There is no further change in intensity of

CH2 stretching mode from 450 to 500 degC indicating no further loss of alkyl chains

Comparing to the octadelyated Si(111) as we discussed earlier the COOH terminated

monolayers has higher thermal stability than n-alkylated Si(111) surface where alkyl

chains is completely desorbed from the surface at 400 degC (Note the difference of chains

110

length can not account for this higher thermal stability as the desorption donrsquot significantly

depend on alkyl chain length in the range from C6 to C18[13])

Accompanied with the loss of alkyl chains a new peak at 2072 cm-1 appears which is

assigned straightforwardly to Si-H stretching This strongly indicates that alkyl chains are

disassociated as a whole by cleavage of Si-C and regeneration of Si-H on the surface

Further annealing at 450 degC and higher temperature the intensity of Si-H stretching mode

decreases and a weak peak appears at 2270 cm-1 which is previously assigned to SiH with

insertion of oxygen into the Si-Si backbonds At the same time a broad weak band appears

in the region 900-1200 cm-1 representing the formation of SiO (with effective thickness 2

Ǻ or ~20 coverage in the form of islets of SiO) This evidence indicates that the surface is

only partially oxidized and part of surface is still covered with H-Si in the form of H-SiOx

after annealing at 500 degC

For clarity we also include the transmission infrared data recorded ex-situ using DTGS

detector with 74deg off normal incident angle transmission geometry As shown in Figure 59

the annealed COOH-SAMSi (111) is referenced against to clean H-Si (111) and

freshly-grafted COOH-SAM prior to heating respectively After hydrosilylation and

annealing there is a weak broad peak at 1664 cm-1 and 2269 cm-1 in consistent with in-situ

data And there is only less than ~5 carbon hydrogen remained on the surface As shown

in Figure 59c the negative peak of Si-H stretching mode at 2073 cm-1 has integrated area

~0007 cm-1 (~50 of integrated area of a full H-terminated Si(111) surface) implying that

there was at least ~50 of Si-H presenting on the freshly-grafted COOH-terminated

Si(111) before annealing which is in agreement with that the fact at most ~50 surface

Si-H of H-Si(111) can be substituted with alkyl chains due to the steric limit [3]

111

Figure 58 COOH-SiC SAM Annealed at gradually rising temperature from 150 to 500c

112

Figure 59 ex-situ FTIR Spectra of Annealed COOH-SAMSi(111) FTIR Spectra is recorded ex situ before and after COOH-terminatedSi(111) samples is annealed inside the chamber The spectra were measured at 74deg off normal using DTGS detector a freshly-grafted COOH-SAMSi(111) b annealed COOH-SAM Si(111) referenced relative to freshly-etched H-Si(111) c annealed COOH-SAM Si(111) referenced relative to freshly-grafted COOH-SAMSi(111)

113

54 Discussion

541 Alkyl Packing on the H-Si (111) surface (Theory and Model)

By comparing FTIR spectra of a freshly grafted n-alkylated Si (111) surface with spectum

of fresh H-Si (111) surface (both are referenced to SiO2 surafce) the area under the Si-H

stretching (2083cm-1) on surface revealed that 30 H-Si remains Because a slightly

oxidization is also observed during alkylation 70 is an upper limit of percentage of

hydrogen replaced by Si-C linkage In theory the maximum coverage is 50 due to the

steric interaction between fully extended chains The ordering of alkyl chains increases as

thee packing density increases The percentage of remained surface H-Si after alkylation is

~ 50 for ndashCOOH terminated surface where nearly no oxidization is discernable in the

infrared spectra This is well consistent with the theoretical calculation [15]

542 Mechanism of Thermal Decomposition of Alkyl chains on Si(111)

We demonstrated that ndashCH3 terminated Alkyl C18 chain on silicon (111) is thermally

stable up to 150 degC Starting from 150 degC the chains start to desorb from the surface The

maximum loss of hydrocarbon occurs between 250 to 300 degC Accompanying with the loss

of hydrocarbon chains (indicated by the integrated area of CH stretching mode at

2800-3000 cm-1) a peak at 2076 cm-1 attributed to Si-H stretching mode appears

simultaneously and increases steadily in the intensity up to 350 degC Further annealing at

higher temperature above 350 degC results in loss of Si-H and reappearing of peak at 2260

cm-1 (H-SiO3) results in complete loss of hydrocarbon and appearance of a small broad

Si-O peak at ~1140 cm-1 This indicates the surface is not oxidized until annealing over 350

degC and the oxidization occurs in the way of insertion of oxygen into the Si-Si back-bond of

H-Si

A desorption mechanism has been proposed earlier for thermal decomposition of alkyl

Si(111) in the UHV environment [14] the alkyl chains are desorbed as a whole by cleavage

of surface Si-C bond accompanied by regeneration of the alkenes amd surface Si-H

114

31222322 )( )( CHCHCHCHHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (1)

Alternatively another competing pathway has also been proposed where Si-CH3 instead

of Si-H remains on the surface with cleavage of C-C bond However it was considered as a

minority mechanism [13]

322223322 )( )( CHCHCHCHCHSiCHCHCHSi nn minusminus=+minusequiv⎯rarr⎯minusminusminusequiv minusΔ (2)

Our results obtained from annealing under N2 environment is consistent with the HREEL

data in UHV conditions[14] It directly supports that the alkyl chains are desorbed via

cleavage of Si-C bond with re-formation of surface Si-H The increase of Si-H peak

intensity cannot be explained by the removal of nearby alkyl chain in other mechanism (eg

pathway (2)) without cleavage of Si-C bond Because if pathway 2 is dominated remaining

Si-CH3 would still disrupt the dipole coupling of Si-H and we would not see the increasing

of Si-H mode Indeed the peak position of Si-H is observed at 2080 cm-1 with a shape of

sharp spike suggests that local formation of dipole coupling between neighboring Si-H

groups on a terrace It has been previously shown that Si-H stretching modes is red shifted

from 2083 cm-1 to 2073 cm-1 and broadened due to disruption of dipole coupling of Si-H on

a terrace [16-18] In this picture the amount of removed alkyl chains is proportional to the

re-formed Si-H The presence of Si-H suggests that desorption of the alkyl monolayer

occurs through βminushydride elimination

Annealing above 350 degC and after ~80 alkyl chains are removed Si-H surface starts

to be oxidized by insertion of oxygen into the Si-Si back-bond The source of oxygen may

be due to impurity oxidant in either purged N2 gas or water absorbed inside of chamber

The appearance of H-SiO3 is consistent with previous study of oxidization of hydrogen

terminated silicon with presence of oxidant For instance a study of reaction of O2 with

H-Si(111) found that no oxygen initially inserted into Si-H bond to form Si-OH instead

various H-SiOx species formed [19 20] Another study of water reaction on H-Si(100)

under ultrahigh vacuum has shown that oxidization of Si-Si bonds occurs at both room

115

temperature and elevated temperatures but without breaking Si-H bond likely due to

14eV stronger bond energy of Si-H than bulk Si-Si[21]

The slow oxidization of surface indicated by the small Si-O peaks at 1000-1300 cm-1

and H-SiO3 peak at 2260 cm-1 maybe attributed to the minimized oxidant impurity and

stability of H-Si surface They are not sufficient to drive fast oxidization at the annealing

temperature A previous study of initial stage of oxidization of hydrogen-terminated

silicon in the water or humidity air proposed two-stage oxidization with different

oxidization rate [22 23] The Si-Si back-bond instead of Si-H is first attacked by attracted

water molecule to form H-SiOx and the oxidization is slow (Induction Period) As Si-OH

coverage increasing it could join with adjacent Si-OH to form Si-O-Si bridge groups

These groups are argued to be responsible to more rapid oxidization at the second stage [22

23]

543 Mechanism of Thermal Decomposition of ndashCOOH SAM on Si(111)

Comparing to n-alkyl Si(111) SAM COOH-SAMSi(111) shows higher thermal stability

The -CH2- stretching mode of alkyl chains of ndashCOOH SAM only has minor changes upon

annealing to 350 degC while at the same temperature ~80 alkyl chains is removed from

n-alkylated Si(111) surface The largest loss of -CH2- occurs between 400ndash450degC The

infrared spectra clearly show that a chemical transformation of carboxyl-termination prior

to the thermal desorption of hydrogen-carbon chains occurs At the lower temperature

between 200-250degC a decrease of integrated area of C=O mode and the simultaneous

appearance of two peaks at 1726 and 1823 cm-1 suggest a formation of anhydride from

condensation of two neighboring COOH groups (Figure 510)[24] With increasing

temperature to 300degC the largest loss of C=O occurs and the peaks at 17261823 cm-1

remain nearly unchanged instead of increasing This may be explained as anhydride group

became unstable at this temperature The fact that no spectroscopic feature related with

C=O (1400-1700 cm-1) is observed suggests the C=O is chemically transformed or

removed from surface

116

In the most recent independent study of Faucheux et al [25])2 they postulated that C-C

bond between the alkyl skeleton and the anhydride group will break first due to the C-C

bond polarization upon annealing at this temperature range 250-300 degC leaving a saturated

hydrocarbon chains bond to two surface silicon They then attributed the enhanced thermal

stability to the formation of this type of paired chain structure Although this two-end chain

mode seems reasonabe to explain observed enhanced stability there several issues with

this mechanism it lacks direct evidence that how C-C bond forms between two

neighboring chains In addition the steric interaction between chains was not considered

and it may prevent formation C-C bridge on the top of chains (C-C ~154 Aring)

Our results show a similar behavior except that the loss of majority of C=O occurs at

250-300 degC while they are observed at 200-250 degC We observed that anhydride formed at

250 degC is completely removed upon annealing to 350degC while they observed it at lower

temperature at 300 degC3

Anhydrides are formed by dehydration of carboxylic acid with eliminating water

between two carboxylic acid groups Anhydride is pretty reactive acid-derivative like acid

chlorides but less reactive than acid chlorides anhydride can undergo many of the same

kinds of reaction as acid chlorides In our experiment at 250degC ~25 C=O carboxyl

stopped accompaning with the formation of anhydride While at 300degC additional ~40

C=O was lost while there were no more anhydride forms accompanied with the loss of

hydrocarbon It could be either due to the instability of anhydride or there maybe exist

other mechanism accounted for the loss of C=O annealing at this temperature For instance

they could be attributed to removal of single non-paired carboxyl chain by cleavage of Si-C

bond This is consistent with what is found early in the case of n-alkylated Si(111)

annealing at 250-300 degC leads to removal of majority alkyl chains by cleavage of Si-C

R-COOH + R-COOH + heat --gt R-C(O)OC(O)-R + H2O

2 Our work is carried independently with their research At the time we have all data and prepared for a publication they published their results right before us 3 Note the temperature range difference between us may be attributed to the way to measure sample temperature

117

Furthermore we notice that the quantitative analysis of our experimental data in Figure

511 has a very similar pattern to the simulated result of desorption of two-end chains[25]

Similar to the thermal decomposition of n-alkyl chains on Si(111) a increase in Si-H

stretching mode accompanying with loss of -CH2- indicates that desorption of the alkyl

monolayer occurs through β-hydride elimination In contrast to thermal decomposition of

n-alkyl chains on Si(111) where the loss of H-Si and appearance of H-SiO3 is observed

upon annealing to 400 degC H-Si stretching mode decreases while H-SiO3 is barely observed

during the process of heating COOH-SAM The Si-H starts to decrease when annealing

temperature is as lower as 250 degC This might be due to the excessive oxidant existed on the

surface with hydrophilic ndashCOOH groups(eg release of pre- hydrogen bonded water upon

heating or H2O formed from transformation of carboxyl to anhydride) And the larger

amount of Si-O is observed in the range 1000-1300 cm-1 suggesting more silicon

oxidization presenting on the annealed COOH-SAM surface This higher degree of

oxidization and lack of intermediate product H-SiO3 probably is due to exist of oxidant

from decomposition products (H2O) of carboxyl group

Based on this evidence we propose the following mechanism as shown in Figure 510

At the lower temperature (150-250 degC) -COOH functional groups are undergoing

chemical transformation to anhydride at the place where two nearby ndashCOOH exist With

temperature increasing to 250-300 degC the single carboxyl alkyl chain that has no chance to

form anhydride will be removed from the surface with the removal of whole alkyl chains

through Si-C cleavage Further annealing at 350 degC leads to degradation of anhydride and

may form bridge C-C or C-O-C connecting two neighboring chains and release CO and

CO2 The paired chains have higher thermal stability can be desorbed from surface until

annealing to 400 degC and above

118

Si

OHO

Si

OOH

Si

OO

Si

O

CO2CO

O

SiSi

Figure 510 Possible Reaction during Annealing

Figure 511 Comparison of Loss of alkyl chain as a function of annealing temperature under nitrogen environment

Quantitative analysis of the change of integrated area stretching mode of CHx of carboxyl-C12 SAM and n-alkylated C18 SAM (Note here length of chains is not matter But for a more rigorous discussion a result from C12-SAM will be given later) Both are normalized to area of CH2 from fresh grafted SAM

119

54 Conclusion We demonstrated that organic self-assembled monolayers (with -CH3 and ndashCOOH

terminal groups) can be thermally grafted on the H-Si (111) via a Si-C bond There is much

less surface oxidation occurring during the formation of ndashCOOH SAM than that of ndashCH3

SAM possibly because trapping of trace of oxidant (ie H2O) by the -COOH terminal

group prevents it from attacking silicon substrate The ndashCH3 SAM shows crystal-like

ordered structure The ordering of alkyl chains of ndashCH3 SAM depends on the packing

density the higher packing density the better ordering is Grafted ndashCOOH SAM is found

less ordered than ndashCH3 SAM possibly due to the interaction between the ndashCOOH head

groups

The study of thermal stability of the organic layers by annealing samples under N2

atmospheres shows that both types of SAMs desorp from surface through cleavage of Si-C

bond (β-hydride elimination reaction) -CH3 SAM is thermally stable up to 200degC

Annealing to higher temperature results in desorption of alkyl chains from surface

accompanied with re-formation of Si-H Further annealing to higher temperature at 400degC

hydrocarbon is completely removed from surface confirmed by the FTIR spectra and final

surface is partially oxidized with insertion of oxygen into the Si-H back-bond

COOH-SAM shows enhanced thermal stability compared with o ndashCH3 SAM The

alkyl chains are thermally stable up to 350 degC and are completely desorped from surface

after annealing at 450 degC COOH functional group is found to play a role in enhancing

thermal stability of layers It is found that anhydride forms between -COOH groups of two

neighboring chains before the desorption of alkyl chains occurs The elimination of the

anhydride by anealing at 300-350degC may lead to formation of an end-paring of the grafted

chains with C-O-C or C-C bridging on the top of chains The end-pairing chains

correspond to the enhanced thermail stability

120

Bibliography

1 Linford MR and CED Chidsey Alkyl monolayers covalently bonded to silicon surfaces J Am Chem Soc 1993 115(26) p 12631-12632

2 Linford MR et al Alkyl Monolayers on Silicon Prepared from 1-Alkenes and Hydrogen-Terminated Silicon J Am Chem Soc 1995 117(11) p 3145-3155

3 Sieval AB et al Molecular modeling of covalently attached alkyl monolayers an the hydrogen-terminated Si(111) surface Langmuir 2001 17(7) p 2172-2181

4 Chabal YJ et al Infrared absorption studies of wet chemical oxides Thermal evolution of impurities Solid State Phenomena 1999 65-6 p 253-256

5 Porter MD et al Spontaneously organized molecular assemblies 4 Structural characterization of n-alkyl thiol monolayers on gold by optical ellipsometry infrared spectroscopy and electrochemistry J Am Chem Soc 1987 109(12) p 3559-3568

6 Snyder RG HL Strauss and CA Elliger Carbon-hydrogen stretching modes and the structure of n-alkyl chains 1 Long disordered chains J Phys Chem 1982 86(26) p 5145-5150

7 Boukherroub R et al Thermal hydrosilylation of undecylenic acid with porous silicon Journal of the Electrochemical Society 2002 149(2) p H59-H63

8 Asanuma H GP Lopinski and H-Z Yu Kinetic Control of the Photochemical Reactivity of Hydrogen-Terminated Silicon with Bifunctional Molecules Langmuir 2005 21(11) p 5013-5018

9 Sieval AB et al Highly stable Si-C linked functionalized monolayers on the silicon (100) surface Langmuir 1998 14(7) p 1759-1768

10 Boukherroub R et al New synthetic routes to alkyl monolayers on the Si(111) surface Langmuir 1999 15(11) p 3831-3835

11 Liu YJ NM Navasero and HZ Yu Structure and reactivity of mixed co-carboxyalkylalkyl monolayers on silicon ATR-FTIR spectroscopy and contact angle titration Langmuir 2004 20(10) p 4039-4050

12 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

13 Faucheux A et al Thermal decomposition of alkyl monolayers covalently grafted on (111) silicon Applied Physics Letters 2006 88(19)

14 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

15 Sieval AB et al Molecular modeling of alkyl monolayers on the Si(111) surface Langmuir 2000 16(7) p 2987-2990

16 Jakob P et al Discrete nature of inhomogeneity on stepped HSi(111) surfaces Spectroscopic identification of individual terrace sizes Physical Review B 1993 47(11) p 6839 LP - 6842

121

17 Jakob P et al Imperfections on the chemically prepared ideally H-terminated Si(111)-(1 x 1) surfaces Surface Science 1993 285(3) p 251-258

18 Luo HH and CED Chidsey D-Si(111)(1x1) surface for the study of silicon etching in aqueous solutions Applied Physics Letters 1998 72(4) p 477-479

19 Zhang X et al Oxidation of H-covered flat and vicinal Si(111)-1x1 surfaces Journal of Vacuum Science amp Technology a-Vacuum Surfaces and Films 2001 19(4) p 1725-1729

20 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

21 Niwano M et al Infrared-Spectroscopy Study of Initial-Stages of Oxidation of Hydrogen-Terminated Si Surfaces Stored in Air Journal of Applied Physics 1994 76(4) p 2157-2163

22 Kluth GJ and R Maboudian Oxidation mechanism of the ammonium-fluoride-treated Si(100) surface Journal of Applied Physics 1996 80(9) p 5408-5414

23 Houston MR and R Maboudian Stability of Ammonium Fluoride-Treated Si(100) Journal of Applied Physics 1995 78(6) p 3801-3808

24 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 25 Faucheux A et al Mechanisms of thermal decomposition of organic monolayers

grafted on (111) silicon Langmuir 2007 23(3) p 1326-1332

122

6 Chapter 6 Selective Atomic Layer Deposition (ALD) of

Metal Oxide on SAMs with Different Functional Groups

61 Introduction

Scaling down of integrated circuits requires the replacement of SiO2 gate dielectric in

the field effect transistor because leakage current exponentially increases with decreasing

SiO2 film thickness and results in device failure The high- and low- permittivity dielectrics

are been investigated as a substitutes of SiO2 for years The deposition of high quality

high-k film on the demanding geometry is essential for the applications Aomic layer

deposition (ALD) is the one which can meet these requirements

ALD is a powerful ultra-thin film deposition technique offering excellent large-area

uniformity and conformality It enables accurate control of film thickness composition

with demanding geometry at the atomic level The ALD process uses molecular precursors

such as metal complex for growth of metal-oxide film Each precursor behaves as a

self-limiting reaction at the surface and is deposited in monolayer per cycle The film

quality and growth rate of ALD process greatly depend on the initial surface chemical

condition A surface with proper chemical state is required for molecular precursor to

nucleate on the surface and to initiate a well-controlled linear growth

For this purpose a thin SiO2 surface terminated with hydroxyl group was used to as

starting surface for high-k film deposition which actually partially compromises the

advantage of using high-k by reducing the effective gate stack capacitance Recently ALD

of high-k has been found to be able to perform on oxide-free H-passivated silicon (HSi)

[1-4] However because silicon has high affinity toward oxygen interfacial SiO2 is easily

formed between the silicon and high-k layers during the ALD process[1 2] in spite that

H-passivated silicon shows high resistance to oxidization under normal lab condition in

123

ambient environment and even in pure O2 or H2O environment at temperature as high as

300degC ([2 5]) Furthermore H-terminated Si has relatively poor nucleation characteristics

comparing to hydroxyl terminated SiO2 surface resulting in non-linear film growth and

formation of discontinuous and electrically leaky gate stack To solve the problem of poor

nucleation H-terminated silicon surface a starting surface with reactive nucleation sites

and good oxygen barrier is under the demand

Semiconductor passivated by alkyl chains through Si-C bond has shown high stability

in the air or water The SAMs serve as diffusion barrier to protect surface from oxidization

and other chemical reaction The surface cannot be oxidized in the air for months In this

work we explore to use alkyl-SAM as alternative solution for the ALD issues addressed

above First SAMs could provide a well-defined interface surface and act as a barrier for

oxidant diffusion Second at the same time by using broad available organic chemistry

methods SAMs can be easily tailored with different chemical functional groups in order to

meet different applications It can enhance the nucleation process and promote a linear

growth dynamics on the top of SAMs with reactive terminal groups such as hydroxyl and

carboxyl group It can be also used as passivated inert layer with -CH3 termianl group to

block unwanted reactions

Besides the direct applications of SAMs in ALD process SAMs can be used as model

surface to study organic-inorganic interface Organic-inorganic interface plays increasing

important role in molecular electronics micro-interconnect technology such as

carbon-based low-k dielectrics and metallicinorganic diffusion barrier However

elucidation of fundamental interaction at the interface is very difficult and not well

understood because of nature of complexity and lack of precisions for the organic surface

(such as organic polymer surface having mixed terminal groups) High quality and

well-ordered organic SAMs [6] provide a known surface density of uniformly organized

functional groups at the interface and ability to tailor surface properties by varying terminal

functional group

In this study we apply ALD of Al2O3 on SAMs surface with different terminal groups

including OH- NH2- COOH- CH3- The controllable surface nucleation is studied

including both substrate-enhanced growth and substrate-inhibited growth The interaction

between functional groups and TMA precursor has been studied systematically in this

124

study Because the effect of substrate on the nucleation and growth is seen in the beginning

of the ALD growth our current study focuses on the very first 10 ALD cycles

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

SiH

SiSiH

SiSiH

SiSiH

SiSiH

SiSiH

SiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

SiSiH

SiSi

OOH OOH OOH OOH OOH OOH

ALD

High k Metal Oxide

hydrosylation

Figure 61 SAM Assisted Atomic Layer Deposition of Metal

Oxide on Silicon Surface

125

62 Experimental

621 Materials Float-Zone grown n-Si (111) from Silicon Valley Microelectronic (San Jose CA) was used

The silicon wafer was doped with p to a resistivity gt 30Ωcm and polished on both sides to

a thickness of 450 μm Si(111) samples are cut to a size of 15 x 38 cm in order to fit into

homemade sample holder for ALD chamber

The following chemicals were used as received except where noted octadecene (95)

undecylenic acid (98) 2M lithium aluminum hydride (LiAlH4) in THF solution

molecular sieve 3A anhydrous tetrahydrofuran (THF) dichloromethane (CH2Cl2) ethanol

2M oxalyl chloride in CH2Cl2 anhydrous DMF 05 M ammonia solution in dioxane

anhydrous triethylamine N-ethyl-N-(3-dimethylaminopropyl) carbodiimide

hydrochloride (EDC) N-hydroxysuccinimide (NHS) heterobifunctional crosslinker

p-maleimidophenyl isocyanate (PMPI) (all from Sigma-Aldrich Corp) Neat octadecene

was pretreated with molecular sieve for overnight and N2(g) bubbling for at least 1 hour in

order to remove the dissolved water and oxygen before use

622 Preparation of Self Assembled Monolayers

6221 Preparation of H- Terminated Si(111) Surface

The newly cut Si (111) sample is cleaned by sequential rinsing in acetone methanol and

deionized water (with resistivity gt 182 MΩ cm) After cleaning sample is then placed in

the hot piranha solution by mixing concentrated (96) sulfuric acid and 40 hydrogen

peroxide at the ratio 31 at 95Cdeg for at least 25 minutes to remove the surface

contamination following by thoroughly DI-water rinsing The cleaned sample was then

placed in the 10 HF (aq) for 30s and in 40 NH4F (aq) for 2 min 30s to etch away the

silicon oxide layer and produce an atomic flat H- terminated Si(111) surface During the

etching step the wafer was agitated occasionally to remove the bubbles formed on the

surface After NH4F etching sample is rinsed thoroughly with DI water and dried under a

stream of N2 (g) The sample was then immediately removed into N2 purged FTIR

126

spectrometer chamber for taking reference spectra or into a N2 purged glove box where all

further chemical functionalizations were conducted

6222 Preparation of ndashCH3 Terminated Si (111) Surface

Neat octadecene was pre-treated with 3Ǻ molecular sieve for overnight and then N2 (g)

bubbling for at least 1 hour right before the reaction It is very important to have N2

bubbling for enough time to get high quality SAMs with minimized oxidization of the

silicon surface After transferring the octadecene liquid into the three-necked flask loading

H-Si (111) sample and assembling the condenser tube in the N2 glove box the whole set

was sealed and moved out from N2 glove box to a hood The octadecene was then

continuously purged with N2 (g) for additional 30 min before heating it up to reaction

temperature at 200 degC using silicon oil bath

6223 Preparation of -COOH Terminated Si (111) Surface

Neat undecylenic acid was pre-deoxygenated for at least 1 hour while heating at 50 degC and

then cooled to room temperature The freshly prepared silicon H-Si (111) was then placed

under N2 in a Schlenk three-necked flask containing the previously deoxygenated neat

undecylenic acid and allowed to react at 120degC for 2 hours The excess nonreacted reagent

was removed after the reaction and sample was rinsed with THF dichloromethane hot

10 acetic acid and DI water and then dried under a stream of N2 (g)

6224 Preparation of ndashOH Terminated Si (111) Surface

-OH terminated SAM is reduced from a ndashCOOH SAM by immersing freshly prepared

ndashCOOH SAMSi(111) into the 01M LiAlH4 in anhydrous THF for 6 hours After pouring

out the excess reaction solution sample was rinsed with THF and dried under a stream of

N2 (g)

6225 Preparation of ndashNH2 Terminated Si(111) Surface

Two routes were developed to prepare ndashNH2 Terminated Si(111) surface Both are

derivatives of ndashCOOH SAM Method I first utilizes conversion of the acid functional

group to succinimidyl ester as following freshly prepared ndashCOOH SAM was activated by

127

immersing into NHSEDC solution (NHS 01M and EDC 04M in deionized water) and

allowed to react at room temperature for 1 hour to form Si-C10COONHS surface The

resulting surface was rinsed copiously with deionized water and then dried under a stream

of N2 (g) This reaction is pretty robust and the completion of conversion is confirmed by

FTIR spectroscopy Second the NHS-terminated surface was then reacted with

ammonium by immersing into 01M ammonium solution in dioxane for 2 hours with

formation of Si-C10-CONH2 a primary amide terminated surface After reaction the

sample was rinsed copiously with THF and then dried under N2 stream The last step was

involved reducing primary amide to primary amine by immersing ndashCONH2 SAM into

01M LiAlH4-THF solution for 6 hours followed by rinsing with THF 10 HCl aqueous

solution and deionized water then dried under a stream of N2

Method II is chlorination-based method The routine is shown in the Figure 62 In a

N2 purged glove box freshly prepared ndashCOOH SAM was convert to ndashCOCl by immersing

into 01M oxalyl chloride solution prepared by adding 100μL oxalyl chloride (2M in

CH2Cl2) into 20ml anhydrous CH2Cl2 then add one drop of anhydrous DMF for 3 hours

After reaction is completed the -COCl terminated sample rinsed copiously with anhydrous

CH2Cl2 and let it naturally dried in N2 glove box Then sample was transferred into a clean

test tube sealed in the N2 glove box and transferred to another glove box for further amine

modification or to FTIR chamber for IR measurement The operation process must be

careful to avoid exposure to air or moisture The freshly prepared ndashCOCl SAM sample was

placed in the Teflon beaker containing 5ml 05M NH3 dioxane solution and 2ml anhydrous

triethylamine The beaker was then covered with Para film and left for overnight in the N2

glove box After the reaction the excess solution was poured out and sample was rinsed

with deionized water and then dried in N2 flow

The chemical reactivity of -NH2 terminated SAM was confirmed by reacting it with

PMPI a common cross-linker used to covalently immobilizing DNA or protein on the

ndashNH2 terminated surface The freshly prepared -NH2 SAM was placed in the PMPI

solution prepared from dissolving 50 mg PMPI power in 20ml anhydrous acetonitrile for

24 hours Sample was rinsed with excess solvent acetonitrile and dried in a stream of N2

128

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OCl

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

OH2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

Si

H2N

SiH

PCl5

NH3

LiAlH4

Figure 62 Method- II to Prepare Amine Terminated SAM from COOH-SAM

623 Characterization of the Self-Assembled Monolayers Transmission Fourier transform infrared spectrometry (FTIR) was carried out in both

ex-situ and in-situ For ex-situ setup a Nicolet Magna 860 spectrometer equipped with

rotating sample holder and DTGS detector was used The spectra were recoded at 4 cm-1

resolution 1000 scans for each loop of total 5 loops The spectrometer was constantly

purged with N2 (g) while acquiring data

624 Atomic Layer Deposition Chamber Set Up UHV apparatus More detailed information with schematic drawing of ALD chamber and in-situ IR setup is

described in the Chapter 2

129

63 Results 631 Preparation and Characterization of COOH- terminated

SAMSi(111) (same as Chapter 5)

632 Reaction of TMA with SAMs Study of Interfacial Bonding Between TMA and COOH-SAMSi (111)

Figure 63 shows FTIR spectra of freshly-grafted COOH-SAMSi (111) after exposure to

TMA for various times and Figure 64 is zoomed in region of 1300-1900 cm-1 The spectra

are presented in the differential manner for each spectrum the reference is the one taken

after preceding exposure Upon the first 1s exposure to TMA at 100 degC the stretching

mode C=O of acid group at 1714 cm-1 completely disappears with appearance of two

strong bands centered at 1477 1581 cm-1 with a shoulder at 1610 cm-1 They can be

assigned to the stretching mode of C=O in acid salt structures [7] The peak at 1217 cm-1 is

assigned to symmetric deformation CH3 modes of Al-CH3 previously [2] [8-10] and it is

not Si-CH3 bonding The corresponding CH3 asymmetric mode at 1467 cm-1 is within the

strong peak of the stretching mode of C=O and cannot be distinguished from the spectra

The peak at 706 cm-1 is attributed to the CH3 rocking modes of Al-(CH3)3 [11 12] and small

positive features at 2800-3000 cm-1 is assigned to the C-H stretch of Al-(CH3)3

Further exposure to TMA the spectra have only slightly changes at the region around

1580 cm-1 indicating most reactions on the surface are completed after the first TMA

exposure It is possibly due to peak shift resulting from continuous change of environment

of C=O and forms more salt-like structures [7] There is no more change at 1217 cm-1

indicating no more Al-(CH3)3 absorbed or desorbed from the surface This self-terminating

growth is an important condition for ALD growth of TMA

In order to further investigate the surface bonding between Al-(CH3)3 and COOH-

group the 10s TMA exposed COOH-SAMSi (111) sample is removed from ALD

chamber and immersed into the hot HCl solution for 10 minutes Figure 65 shows the

FTIR spectra after 10s TMA exposure and HCL treatment Both are referenced relative to

fresh-grafted COOH- terminated Si (111) surface The features related to Al-CH3 706

1217 cm-1 did not appear due to the exposure of the sample to the atmosphere during the

sample unloading and

130

1000 1500 2000 2500 3000

δSiH

υCH3~706 υC=O

4th TMA 5s

3rd TMA 3s 2nd TMA 1s

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

TMA on COOH-SAM Saturation 10-18-2006

1200 Al-CH3

υCH2

1st TMA 1s

COOH-SAM

10-3

777

Figure 63 FTIR Differential Spectra of COOH- SAMSi(111) after exposure to

TMA for various time

Freshly-grafted COOH-SAMSi (111) were installed in the ALD chamber and exposed to TMA (gas phase) for various times FTIR Spectra are recorded in situ (except the bottom one) after each exposure with different exposure time using MCT-B detector The spectra are represented in a differential manner except the bottom one for each spectrum the reference is the one taken after preceding exposure The spectra on the bottom is recorded ex-situ at 74ordm incidence angle using DTGS detector and referenced against to freshly etched H-Si(111) surface

131

1500 2000

-0002

-0001

0000

0001

1200

C

OO

C

OO

Abs

orba

nce

Wavenumber (cm-1)

4th TMA 5s

3rd TMA 3s

2nd TMA 1s

1st TMA 1s

5x10-4

1477 1577

υC=O

Figure 64 Zoom In Region of Figure 63 shown the changes in the region 1300-1900

cm-1

132

transportation resulting in quick hydrolysis of Al-CH3 to Al-OH Several bands appear at

723 870 and 1056 cm-1 They are assigned to Al-O related compounds due to hydrolysis of

Al-CH3 The two strong bands centered at 1477 and 1558 cm-1 correspond to stretching

mode of C=O in the form of stable acid salt or -C (O) OAl The small broad peak centered

at ~870 cm-1 is assigned to C-O-Al After hot HCl solution treatment as shown in the

Figure 65c both bands at 1400-1600 cm-1 and 870 cm-1 completely disappeared and the

peak corresponding to stretching mode of C=O of -COOH reappears at 1714 cm-1 This

indicates that the surface is recovered to the initial COOH- terminated Si(111) surface

(Figure 65a) with ~30 reduced concentration comparing to the initial ndashCOOH

terminated surface (ie the integrated area of 1714 cm-1 is ~70 of initial integrated value)

The recovery of COOH- groups can be possibly explained as acid salt -COO-Al+ complex

is converted back to -COOH with formation of AlCl3 under strong acid environment This

strongly suggests that TMA forms ionic bond with surface carboxyl group Meanwhile the

fact that only ~70 -COOH recovers and the bands at 1056 and 723 cm-1 which are

assigned to the C-O and Al-O stretching mode of Al-O-C respectively (may be compared

with Si-O-Al) remain unchanged suggest that the ~30 COOH loss maybe due to

permanent breaking up C=O and formation C-O-Al bond when ndashCOOH react with TMA

molecule

133

1000 1500 2000 2500 3000

c

bC=O in salts

sim870sim723 υCH2υC=O

HCl posttreated TMA on COOH-SAM 10-18-2006

δSiH

υSiH2083

Hot HCl post treated

10s TMA

COOH-SAM

Wavenumber (cm-1)

Abso

rban

ce

a

10-3

Figure 65 FTIR Spectra of COOH- SAMSi(111) after TMA exposure and HCl

solution treatment

FTIR Spectra of COOH-C12 SAMSi(111) is recorded ex situ using DTGS detector After a freshly prepared ndashCOOH SAM b total 10s TMA exposure on COOH-SAM and c a post-treatment by immersing TMA exposed COOH-SAM sample into hot HCl solution for 10min at 80 degC All spectra are referenced relative to freshly etched H-terminated Si(111) surface The ratio of recovery of C=O at 1712 cm-1 is ~ 70 (A~00330046) The peaks at 723 cm-1 and 870 cm-1 appear after TMA exposure The 723 cm-1 remains while peak at 870 cm-1 disappeared after HCl treatment They may be assigned to Al-O-C and Al-OH respectively 723 cm-1 is not seen in the ndashCOOH-SAM but appears only after TMA exposure So it may not be due to C-C vibration from chains with four carbons and more (720-741 cm-1) [7] It may be related to the irreversible change during reaction between TMA and ndashCOOH SAM

134

633 ALD Growth of TMA on COOH-terminatedSi (111) ALD on polymer is one of its important applications However ALD on polymer is

complicated due to the absence of necessary chemical functional groups or co-existence of

various chemical groups Therefore ALD on a well defined organic self-assembly

monolayers with controllable surface chemical function groups may provide good model

for study of ALD of inorganic material on organic surface

The nucleation and growth of Al2O3 ALD on COOH- terminated Si (111) are studied

using in situ Fourier transform infrared spectroscopy COOH-terminated Si (111) has

negligible oxide formation during thermal grafting process with forming stable Si-C

surface bond in contrast to alkylated Si (111) where formation of oxide is a common

problem In addition the chemically active COOH- group can enhance nucleation of TMA and form a high quality Al2O3 film Due to the intrinsic steric limitation of alkyl chain

packing on Si (111) surface the packing density of COOH will be close to the density of

-CH3 terminated SAM TMA will not react with H-terminated silicon until 300 Cdeg at the

temperature in which interfacial oxidization becomes unavoidable By introducing

ndashCOOH SAM reactive terminal group can significantly reduce required temperature for

ALD growth to as low as 100degC in our current study which is far lower than the thermal

decomposition temperature 350degC for organic ndashCOOH SAM (Referring to previous

chapter)

Figure 66 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100

degC for the first 10 cycles All spectra except the one on the bottom are referenced to

freshly-grafted COOH- terminated Si (111) at 60degC The spectrum on the bottom is the

spectrum of freshly-grafted COOH-SAM referenced to clean H-Si (111) surface The

initial COOH terminated Si(111) surface shows its characteristic sharp peak at 1714 cm-1

the C=O stretching mode of acid group in the form of hydrogen bonded dimmer[7] After

first TMA 10s exposure at 100degC this peak completely disappears and two new bands

appear

135

1000 1500 2000 2600 2800 3000

-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

002

TMA 10cyc COOH-SAM S29A 10-13-2006

10 cyc5 cyc

D2O 3

TMA 3 D2O 2TMA 2D2O 1TMA 1

~700 ~930TOLO Al2O3

COOH-SAMHSi(111)

C=O in SaltsAl-CH31213 υC=O

υSiH

Ab

sorb

ance

Wavenumber (cm-1)

10-3

δSiH

(a)

00057

1000 1500 2000 2400 2600 2800 3000

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

1213

Abs

orba

nce

Wavenumber (cm-1)

Al-CH31213

OD~2743

TMA 10cyc COOH-SAM S29A Differential 10-13-2006

Al-O943

10 cyc

5 cyc

D2O 3

TMA 3

D2O 2

TMA 2

D2O 1

TMA 1

(b)

1213

Figure 66 FTIR spectra of TMA 10cyc ALD-Grown on COOH-SAMH-Si (111)

a all spectra are recorded in-situ using MCT-B detector and referenced against to pre-annealed COOH-SAM right before the first TMA exposure except the bottom one Bottom one is recorded ex situ using DTGS detector and referenced relative to fresh H-Si(111) b FTIR difference spectra of each different spectrum is referenced to the spectrum recorded immediately before the exposure

136

simultaneously at 1476 and 1581 cm-1 indicating that TMA immediately reacts with all

surface accessible COOH- groups and a homogenous nucleation may be achieved As we

have also shown earlier in the Figure 63 the extended exposure to TMA does not add more

TMA to the surface This is important for a high quality layer-by-layer atomic layer

deposition The 1217 cm-1 is clearly assigned to deposited -Al(CH3)The broad peak

centered at ~740 cm-1 could be assigned to mixed Al-O modes and CH3 rocking mode of

Al-CH3 After first D2O exposure a immediately decreasing in 1217 cm-1 (Al-CH3) and

~706 cm-1 indicates hydrolysis of Al-CH3 The peaks at 1476 and 1581 cm-1 slightly

decrease in intensity accompanying with two positive peaks appearing at ~1460 cm-1 and

~1624 cm-1 This could be explained as peak shifting of 1476 and 1581 cm-1 caused by the

environment changes of C=O after hydrolysis of Al-CH3 A broad weak band centered at

2743 cm-1 is assigned to OD stretching The introducing water pulse to TMA exposed

COOH-SAM doesnrsquot result in any subsurface oxidization This differs from the growth of

Al2O3 on HSi for which a significant oxidization was observed immediately after water

exposure [2]

TMA reacts with surface Al-OD groups to form Al-O-Al-CH3 after the second exposue

The peak shifting observed after first D2O exposure now shifts back to before D2O

exposure indicated by the negative peaks at ~1460 cm-1 and ~1624 cm-1 and positive peak

at 1476 and 1581 cm-1 The second D2O exposure then replaces Al-CH3 with Al-OD again

as expected[13] and the reversible peak shifting re-appears in the region 1400-1650 cm-1

In following ALD cycles the changes in the region 1400-1650 cm-1 are continuously

observed but becoming smaller with increasing number of TMAD2O cycles This strong

suggests that these features are attributed to interfacial bonding and become less affected

with increasing thickness of Al2O3 film Two bands at ~730 and ~930 cm-1 steadily

increase in intensity with the number of cycles and slightly blue shifts They could be

assigned to amorphous TOLO phonon mode of Al2O3 film The frequency of LO mode is

slightly lower than 954 cm-1 for amorphous Al2O3 ALD grown directly on silicon surface

[2]

No measurable modes are found in the range 1000-1200 cm-1 and ~800 cm-1 which are

assigned to Si-O or Al-O-Si Si-O-Si previously [14 15] And also there is no Si-CH3 mode

found at 1266 cm-1[9] These evidences clearly indicate neither TMA nor oxygen goes into

137

the siliconSAM interface All the ALD reactions are most likely strictly limited only to top

COOH- functional surface The SAM behaves as a good barrier for preventing diffusion of

oxidant into the interface In addition there is no apparent OD stretching observed at

2700-2800 cm-1 indicating no significant D2O incorporation into the Al2O3 film even after

10 ALD cycles

Figure 67 shows FTIR spectra of post-annealing effect on 10 cycles ALD grown Al2O3

film on COOH-SAM All spectra except the bottom one are referenced to the 10 cycles

ALD grown Al2O3 film prior to annealing The spectra on the bottom are referenced

relative to the pre-annealed (120degC) COOH-SAMSi(111) surface right before the first

TMA exposure The SAM is stable upon annealing at 300degC With increasing temperature

the SAM starts to degrade indicated by the negative peaks of carbon-hydrogen stretching

modes from alkyl chains of SAM at 2850- 2950 cm-1 and the biggest loss of CH2 occurs at

300-400 degC Concurrent with the loss of carbon hydrogen a small positive peak at 2055

cm-1 and negative peak at 2073 cm-1 appear at 400degC assigned to the interfacial H-Si

stretching modes perturbed by the environments and formation of new H-Si bond Further

annealing at higher temperature leads to the complete loss of surface H-Si

After annealed at 300degC the bands at 1400-1600 cm-1 assigned to C=O stretching

modes increases with simultaneously reduced intensity of bands at 1640-1700 cm-1

suggesting more interfacial bond formation after mild thermal treatment (differential

spectra is displayed for clarity) These bands then significantly reduced in the intensity

upon thermal treatment at 400 degC most likely caused by the removal of the whole alkyl

chains under this temperature It clearly shows no oxide silicon forms even when organic

SAM barrier already started to disassociate from the surface at 400 degC In fact the

simultaneous appearance of small positive peak at 2055 cm-1 and negative peak at 2073

cm-1 suggests that formation of surface H-Si generated from the disassociation of grafted

alkyl chains by breaking of Si-C bond is a desorption mechanism proposed under UHV

condition[16] In addition oxidized H-Si back-bond is not observed at 2200-2300 cm-1

Comparing to the results from post-annealing of pure COOH-SAMSi (111) in which the

loss of hydrogen and oxidization of silicon substrates occurs at lower temperature at

300-350 Cdeg the ALD-grown Al2O3 COOH-SAMSi (111) surface clearly shows the

138

higher resistance to oxidization the loss of hydrogen and oxidization of substrate occur at

400-500 degC This may be explained as the deposited Al2O3 film provides an additional

1000 1500 2000 2500 3000

10-3

600c

500c

400c

300c

10 cyc TMAD2O

υCH2υC=O υSiH

TOLO SiO2

TOLO Al2O3

~745

Abs

orba

nce

Wavenumer (cm-1)

~955

TMA 10cyc COOH-SAM Post anealed

(a)

-00054

Figure 67 FTIR Spectra of post-annealing of ALD-Grown Al2O3 on COOH-Si(111)

FTIR Spectra were recorded in-situ after annealing at each annealing temperature All spectra are referenced relative to the pre-annealed (120 degC) ndashCOOH SAMSi before ALD deposition SiO2 didnrsquot appear until 500degC when large amount of hydrocarbon chains were removed from the surface This is a clear evidence that organic SAM serve as diffusion barrier to prevent Si substrate from oxidization A negative peak centered at 2071 cm-1 with integrated peak area -0054 is attributed to surface H-Si The peak position is significantly lower than 2083 cm-1 for a fully covered H terminated Si (111) surface This indicates decoupled H-Si stretching (Note the integrated area measured at 70ordm incidence angle using MCTB inside ALD chamber is slightly different from what is measured outside using DTGS detector at 74ordm incidence angle)

139

1000 1500 2000 2500 3000

(b)

2078

υAl-O

300- 60 Co

Wavenumber (cm-1)

600-500 Co

500-400 Co

TMA 10cyc COOH-SAM Post annealed S29A Differential 10-13-2006 Ab

sorb

ance

400-300 Co

5x10-4

υSi-O-SI

2053

Figure 68 FTIR Spectra (differential) of ALD-Grown Al2O3 (10cyc) on

COOH-SAM H-Si(111) post-annealed in ultra pure N2 gas from 300 to 600 degC

The spectra are same as what displayed in the Figure 67 They are shown in a difference manner FTIR spectra were recorded at each annealing temperature and are referenced to the spectrum recorded immediately before the annealing The surface Si-H and hydrocarbon chains are completely removed from surface at 500degC

140

1800 1900 2000 2100 2200

A 000732073

10cyc + 600 Co

COOH-SAM

Abs

orba

nce

Wavenumber (cm-1)

2x10-4

10-3

H-Si(111)

S29A COOH-SAM TMA ALD + Anneal DTGS

A 001592083υSiH

Figure 69 FTIR Spectra of H-Si(111) sample obtained after functionalization with

COOH-SAM and following 10 cycle TMAD2O growth and 600 degC annealing

FTIR Spectra were recorded ex-situ for freshly etched H-Si(111) and after thermally functionalization with COOH-SAM The COOH-SAM is then used as substrate for 10 cycles TMAD2O ALD growth and annealed up to 600 degC All spectra are referenced relative to SiO2 surface The top spectrum is shown in the different scale as indicated in the figure After functionalization with COOH-SAM the original sharp H-Si stretching peak at 2083 cm-1 is broadened and red-shifted to 2073 cm-1 The integrated peak area is reduced by ~ 50 indicating nearly half of surface hydrogen is removed After anneal at 600 degC remaining hydrogen is completely removed

141

Oxygen diffusion barrier to protect silicon substrate from oxidization after SAM

disassociated at the lower temperature The D2O trapped in the film if there is any could

escape at the lower temperature before SAM starts to desorp from the surface The D2O

impurity incorporated in the high-k film during ALD processes might provide oxygen

source to the interfacial SiO2 formation during post-annealing [4]

Further annealing at higher temperature at 500 degC the silicon dioxide is formed as soon

as the interfacial H-Si starts to be removed (400-500 degC) The growth of silicon dioxide and

the loss of interfacial H-Si continue with increasing annealing temperature Tere is about ~

07 nm silicon oxide formed (integrated area ~030) after 600 degC annealing The LO

phonon mode of SiO2 is broader and weaker than what is observed for chemically oxidized

SiO2 from RCA cleaning procedure indicating that this interfacial oxide is not

homogeneous [4] The oxidization of silicon subsurface is probably due to oxidant from

either the gas impurity or water trapped in the Al2O3 film while annealing There is no

noticeable H-SiO3 species observed during the whole annealing process With increasing

annealing temperature the LO phonon mode of Al2O3 shifts from ~930 cm-1 (as deposited

at 100 degC) to higher frequency at ~ 955 cm-1 (600 degC ) and the shape of peak become

slightly sharper indicating denser Al2O3 film forms upon thermal treatment This is

consistent with that annealing would not significant increases the crystallizing of

amorphous Al2O3

In summary -COOH SAM is very reactive with TMA and the nucleation is almost

completely within the first TMA exposure Although the ndashCOOH SAM is less ordering

than -CH3 SAM little or no penetration has occurred and the reaction is well confined to

the top of the surface The SAM is a good barrier to prevent either TMA molecule or

oxygen penetration into the underneath siliconSAM interface No oxidization is observed

during the whole ALD process Even after post-annealing of Al2O3 film grown on ndashCOOH

SAM the significant oxidization of Si substrate occurs only at the temperature ~500 degC or

higher Interestingly the deposition of Al2O3 thin film on ndashCOOH SAM increases the

thermal stability of SAM itself as well

142

634 ALD TMA on ndashOH terminated SAMSi (111)

6341 Preparation of HO-SAM

HO-SAM is prepared by reducing carboxyl group of freshly-grafted ndashCOOH SAM to ndashOH

group The Si (111) sample terminated with ndashCOOH SAM is immersed into LiAlH4 BHF

solution for 2 hours The longer immersion time in LiAlH4 may cause degradation of the

SAM layers while the reaction may not be completed if exposure time is too short Figure

610 shows FTIR spectra of the final HO-SAM and the starting ndashCOOH SAMSi (111)

surface The top spectrum shows the spectral changes of the surface after reduction of acid

group ndashCOOH to hydroxyl group ndashOH It is clearly seen that the characteristic peak of

carboxyl group the stretching mode of C=O of acid group at 1716 cm-1 is almost

completely disappeared after LiAlH4 treatment while no other new peaks appear in the

nearby region 1400-1800 cm-1 Meanwhile a close-up check of region 2800-3000 cm-1

shows the increase of CH2 stretching modes in the intensity at 2826 and 2855 cm-1 This

evidence strongly indicates that -COOH group is reduced to ndashCH2OH with adding two

hydrogen atom to C=O (The small shoulder at 2960 cm-1 may be induced by the impurity

during the whole process)

The broad bands at 1184-1300 cm-1 are consisted of several modes It could be clearly

seen in the differential spectra After the reduction of acid group to the primary alcohol the

two negative bands at 1243 1289 cm-1 are observed along with a negative peak at ~1412

cm-1 they were previously assigned to C-O-H in plane mode of acid group At the same

time the sharp peak at 1263 cm-1 is unchanged and is clearly seen in the spectra after

ndashCOOH is reduced to ndashOH This peak could be assigned to in plane deformation of O-H

[17] The earlier study has shown that this mode is broad strong at higher frequency at

1300-1500 cm-1 for pure or concentrated alcohols and becomes narrow and weak at lower

frequency ~1250 cm-1 for the diluted primary alcohols [7] The corresponding stretching

mode of O-H which is expected at ~ 3640 cm-1 is too weak to be detected at current

sensitivity level

The broad bands 1000-1200 cm-1 might be consisted of contributions from multiple

modes the oxidization of interfacial silicon and C-O stretching mode of alcohols The total

143

integrated area ~002 is less than 10 of integrated area of chemically oxidized silicon

with ~1 nm-thick SiO2

6342 ALD of Al2O3 on HO-SAM

Figure 611 shows FTIR spectra of sequential exposure of Al (CH3)3 and D2O at 100 degC for

10 cycles on ndashOH SAM prepared directly by reducing fresh ndashCOOH SAM by LiAlH4 All

spectra are referenced relative to freshly-converted -OH SAMSi (111) at 60degC After the

first exposure of TMA the peaks corresponding to Al-CH3 are immediately seen in the

spectra including 2942 cm-1 (stretching) 1203 cm-1 (bending) and 706 cm-1 (rocking) The

integrated area of peak at 1213 cm-1 is 00034 only ~50 of that on the - COOH SAM and

SiO2 This indicates TMA nucleation on the ndashOH SAM surface is less than nucleation on

both - COOH SAM and SiO2 surface This is kind of expected from the preparation of -OH

SAM Since ndashOH SAM is converted from ndashCOOH SAM the resulting density of ndashOH

must be not higher than the density of ndashCOOH group which actually is physically limited

by the steric interaction of alkyl chains (only ~50 Si-H sites are grafted with alkyl chains)

Therefore the estimated surface density of ndashOH group of ndashOH SAM should be less than on

hydroxyl terminated regular SiO2 surface Second the reactivity of C-O-H at the end of

alkyl chain may be less than hydroxyl group Si-OH on the silicon dioxide surface Third

the spatial distribution of ndashOH on ndashOH SAM is more regular than ndashOH on the SiO2 surface

based on the preparation of ndashOH SAM This can be supported by the shape of Al-CH3 peak

is less well defined when Al-CH3 is grown on SiO2 surface (FWHM 1998 cm-1 when

grown on ndashOH SAM) The peak position of Al-CH3 is 1202 cm-1 on ndashOH SAM and 1212

cm-1 on SiO2 respectively After first TMA exposure a complicated spectra feature appears at 2800-3000 cm-1

including positive peaks at 2942 and 2889 cm-1 and two negative peaks at 2853 and 2923

cm-1 These could be interpreted as imposition of four modes The two positive peaks are

144

1000 1500 2000 2500 3000 3500

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

υSi-H

υCH2δCO-H

1263

υC=O

1716

2952 2926

differential

COOH-SAM

HO-SAM

S28A HO-SAMSi(111) Preparation

Wavenumber (cm-1)

A

bsor

banc

e

Figure 610 Preparation of HO-SAMSi(111) from COOH-SAM

FTIR spectra of HO-SAM prepared from reducing freshly-grafted COOH-SAM on H-Si(111) by using LiAlH4 solution The two spectra on the bottom are referenced against the freshly etched H-Si(111) surface The differential spectrum on the top of panel has shown that the spectroscopic changes after conversion from ndashCOOH SAM to ndashOH SAM Briefly negative peak at 1716 cm-1 indicates removal of C=O and positive peak at 2952 and 2926 cm-1 is attributed to the stretching mode of added -CH2 group by hydroboration

145

attributed to asymmetric and symmetric stretching mode of CH3 of Al-CH3 with

corresponding bending mode at ~1203 cm-1 The two negative peaks at the same position

of stretching modes of -CH2- from starting ndashOH SAM most likely are caused by

disturbance of original -CH2- vibration induced by the penetration of TMA precursor into

the SAM This disturbance is still presented after subsequent D2O exposure However this

spectral change is observed only in the first three TMAD2O cycles and become smaller

and smaller with increasing number of TMAD2O cycles As shown in the Figure 611b

(spectra displayed in the differential manner) after the 5 and 10 cycles of TMAD2O

exposure the changes in the region 2800-3000 cm-1 are barely seen These evidences

strongly suggest that the observed changes are mainly caused by the reaction on the

interface of SAMAl2O3 and a small amount of penetration of TMA into SAM possibly

occurs at the initial exposure The modes due to Si-CH3 at 2958 cm-1 (stretching) and 1270

cm-1 (bending) [2] are not seen in the spectra suggesting the TMA did not react at the

interface between silicon and SAM even though certain amount of TMA molecules more

or less penetrate into SAM After subsequent first D2O exposure there is no change at the

peak position 29272853 cm-1 corresponding to CH2 groups of alkyl chains of SAM while

the negative peaks are observed at the peak position 29372888 cm-1 indicating

hydrolysis of -CH3 groups of absorbed Al-CH3

As shown in the Figure 611a the sharp peak at 1264 cm-1 presented at the initial

HO-SAM (Figure 610) continually decreases in the intensity with the increasing number

of reaction ALD cycles Since this narrow peak is assigned to the O-H bending in the

primary alcohol C-OH it is reasonable to attribute this decrease to the replacement of

hydrogen with Al atom and formation of bond of C-O-Al between surface C-OH and

Al-CH3 This suggests that despite the evidence that penetration of TMA into SAM is

terminated within the first 3 cycles the nucleation of TMA on -C-OH surface is not

completed until 10 ALD cycles The incubation period is slightly longer than the growth

of TMA on ndashCOOH surface With increasing number of TMAD2O reaction cycles two

strong peaks at 690 and 908 cm-1 grow steadily in the intensity they are assigned to the

TOLO phonon modes of grown Al2O3 film respectively The integrated area of these two

modes is significantly less than that of Al2O3 film grown either on regular chemically

oxidized silicon surface or -COOH SAM terminated surface In addition the peak position

146

of LO mode of Al2O3 grown on HO-SAM located at 908 cm-1 is lower than LO mode of

Al2O3 film grown on regular silicon dioxide (940 cm-1) or COOH- SAM (938 cm-1) Since

LO phonon mode is sensitive to the density of Al2O3 film this evidence suggests Al2O3

films grown on the HO-SAM surface are less dense and have lower quality This is

consistent with HO-SAM that has fewer nucleation sites (ie surface HO- groups) than

SiO2 surface or less reactive chemicals than COOH- SAM The surface density of HO-

group converted from COOH- is no more than the initial surface density of ndashCOOH group

Even the converting reaction is not 100 completed the remaining -COOH still can react

with Al(CH3)3 precursor and hence cannot be used to explain the observed less dense

Al2O3 film formed on HO- SAM surface In summary both the number of nucleation sites

and chemical reactivity of surface function groups can affect the ALD film growth

147

1000 1500 2400 2600 2800 3000-0001

0000

0001

0002

0003

0004 (a)

~1264

minusOD2744 υCH2

ρCH3

TOLO Al2O3

10cyc TMAD2O

5cycTMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

Abs

orba

nce

Wavenumber (cm-1)

TMA 10cyc HO-SAMSi(111)

Al-CH3

~908~690

Al-CH32942

1203

1213

1000 1500 2000 2400 2600 2800 3000

-00008

-00004

00000

00004

00008

00012

00016

00020

1203

Abs

orba

nce

Wavenumber (cm-1)

υCH2υCH3

Al-CH3

TMA 10cyc HO-SAMSi(111) [Step Differential]

10cyc TMAD2O

5cycTMAD2OD2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA 1

(b)

1213

Figure 611 TMA 10cyc ALD-Grown on HO-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on HO-SAMSi(111) a all spectra are referenced against to the pre-annealed HO-SAM right before 1st TMA exposure b the same spectra are shown in a differential manner Each difference spectrum is referenced to the spectrum recorded immediately before the exposure

148

635 ALD TMA on ndashNH2 terminated SAMSi(111) 6351 Preparation of NH2 -SAM

Because the reactivity of ndashNH2 with hydrogen terminated silicon surface either formation

of Si-N bond or possibly -NH2 catalyzed oxidization of surface the bi-functional NH2

terminated alkene can not be directly applied to the H-terminated silicon surface We

developed a new simple two-step route to produce -NH2 terminated monolayer with stable

Si-C bond starting from COOH- terminated Si (111) surface

N-hydroxysuccinimide (NHS) reagents act as cross-linkers and activate the carboxyl

group by forming an NHS-ester intermediate This is a characteristic esteriication reaction

widely used to prepare NHS-activated esters Figure 613 displays the FTIR spectra of

chemically modified Si(111) at each intermediated step starting from freshly grafted

COOH-SAMSi(111) surface The acid-terminated surface is chemically activated by

NHSEDC for 1 hour at room temperature It shows complete disappearance of C=O

stretching vibration mode of acid group at 1712 cm-1 and appearance of new peaks at the

nearby region 1815 1787 1747 cm-1 they are characteristics of the succinimidyl ester

group and assigned to the stretching modes of carbonyl Two strong peaks at 1211 and

1070 cm-1can be assigned to the C-O-C stretching modes in the succinimidyl ester group

These evidences further confirm that the majority of ndashCOOH group instead of C=C is

extended away from the surface and available for the subsequent chemical modification

because there does not appear to be any residual absorption at ~1715 cm-1 that would be

expected if more than 10 of the monolayer was in the form of silyl ester The NHS

activated surface is further reacted with ammonium in the dioxane solution at room

temperature As shown in the Figure 613 the disappearance of all characteristic features

of NHS at 1700-1820 cm-1 and 1000-1200 cm-1 and appearance of two bands at 1670 and

1620 cm-1 which are assigned to amide I (carbonyl stretching) and II (N-H deformation) of

primary amide ndashCONH2 group indicate that NHS is completely replaced by the amine

group -NH2 and forms primary amide termination After immersing in the LiAlH4 THF

solution for 2 hours the amide I and II bands are significantly reduced indicating the

majority of C=O of ndashCONH2 is reduced to amine -CH2NH2 The small peaks remaining at

1670 cm-1 is due to the incomplete reduction reaction The conversion rate of primary

amide to primary amine is not 100 under current experimental condition However the

149

longer immersing time in LiAlH4 will not be able to further increase the conversion rate

but might lead to the degradation of SAM (data are not shown) We have checked the

chemical reactivity of the resulting amine- terminated surface by applying PMPI a widely

used cross-linker molecule used for biological molecular attachments

Figure 614 shows the FTIR spectra of freshly prepared amine- terminated Si(111)

from NHS method mentioned above and the change of surface after immersing in PMPI

solution for 24 hours The appearance of features of PMPI at 1720 cm-1 indicates the

attachments of PMPI to the amine-Si (111) surface By comparing the integrated area of

strongest peak at 1720 cm-1 to the case where PMPI absorbed on APTESSiO2 surface at

the same experimental condition (24 hours room temperature) (Lapinrsquos unpublished data)

we found that they both give similar integrated area of 1720 cm-1 suggesting that the amine

terminated Si (111) via a Si-C at least has the similar surface chemistry reactivity in term of

reactivity with PMPI Even though the conversation efficiency of amide to amine may not

be 100 the surface should have similar chemical reactivity for the further applications

NO O

OH

N-Hydroxysuccinimide NHS

150

1000 1500 2000 2500 3000 3500-0008

-0007

-0006

-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

-CH2NH2SAM

-CONH2SAM

-COCl SAM

-COOH SAM

Amide II

1715

1801

1460

υSiO

Wavenumber (cm-1)

υSiH υCH2

Amide I

υC=O

υC=O

S31A-11092006- NH2-SAM [COCl Method]

Abs

orba

nce

1670

Figure 612 Preparation ndashNH2 terminated SAM on H-Si(111) through COCl method (Method I) FTIR spectra were recorded after each step After chlorination of -COOH the C=O in COOH at 1715 is completely disappeared with simultaneous appearance of new sharp peak at 1801 cm-1 corresponding to C=O in ndashCOCl Further ammonia treatment converts -COCl to -CONH2 with replacement of Cl by NH2 indicated by appearing of two characteristic peaks of amide show at 1670 and 1610 cm-1 and disappearing of peak at 1801cm-1 Final hydroboration step adds two hydrogen to C=O in the amide group ndashCONH2 forming -CH2NH2 Although the monolayer of amine is too weak to be detected in the IR spectra the disappearance of amide I and II indicates C=O is converted to CH2

151

1000 1500 2000 2500 3000 3500-0012

-0010

-0008

-0006

-0004

-0002

0000

0002

0004

~1420υC-N

12111070

υCH2 υSiH

υC=O

NH2CO-SAM

S35A-11152006- NH2-SAM [NHS] A

bsor

banc

e

Wavenumber (cm-1)

NH2-SAM

NHS+EDC

COOH-SAM

Amide II ~1600 Amide I

1670

Figure 613 Preparation ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II )

FTIR Spectra of freshly prepared H-Si(111) after functionalization with undecylenic acid (-COOH SAM) and COOH surface is activated by NHS + EDC for 2hours (NHS-SAM) The NHS activated surface further reacts with ammonium in dioxane solution to form primary amide (CONH2-SAM) Finally the amide terminated surface is reduce to form NH2-SAM by LiAlH4 (NH2-SAM) All spectra are referenced against to freshly prepared H-Si(111)

152

1000 1500 2000 2500 3000 35000000

0001

0002

0003

0004

0005

0006

0007

0008

NH2-SAM

S30A-11062006- NH2-SAM [NHS] + PNPI

Wavenumber (cm-1)

PMPI

A

bsor

banc

e

Figure 614 Chemical activity of ndashNH2 terminated SAM on H-Si(111) through NHS+EDC method (Method II) are verified by reacting with PMPI

The FTIR spectra of fresh NH2 ndashSAM is referenced relative to freshly etched H-Si(111) surface while the top spectra is recorded after immersed in PMPI solution and referenced relative to NH2 ndashSAM before PMPI immersion

153

6352 ALD TMA on NH2-SAM Figure 615 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashNH2 SAM prepared directly by reduction of primary amide ndashCONH2

SAM by LiAlH4 The ndashCONH2 terminated SAM can be prepared from ndashCOOH SAM

through two different routes as described in the last preparation section All spectra are

referenced against the spectrum of freshly prepared NH2-SAM recorded at 60 degC Similar

to other surface with reactive termination groups -OH or -COOH after the first TMA

exposure the peaks corresponding to Al-CH3 are immediately seen in the spectra

including 2942 cm-1 (stretching) 1202 cm-1 (bending) and 706 cm-1 (rocking) The

integrated peak area of 1202 cm-1 is close to that of film grown on the ndashCOOH surface but

nearly twice higher than that of the film grown on the ndashOH SAM surface indicating higher

surface nucleation rate or higher surface chemical reactivity toward TMA for ndashCOOH and

ndashNH2 terminated surface than ndashOH terminated surface

After immediate exposure to TMA a negative peak at ~ 1676 cm-1 and two positive

peaks at ~1580 and ~ 1500 cm-1 appear simultaneously they are assigned to the changes

related with residual ndashCONH2 left from incomplete reduction of ndashCONH2 to -CH2NH2

during -NH2 SAM preparations After TMA exposure -CONH2 possibly reacts with TMA

forming -CONH- bond The N-H deformation (Amide II) is shifted from 1600 cm-1 for

primary amine to around ~1550 cm-1 for the secondary amine These spectral changes

become less noticeable with increasing ALD reaction cycles suggesting that the related

reactions are mainly limited to SAMAl2O3 interface

The small peak periodically appearing at 2740 cm-1 is unambiguously assigned to OD

stretching vibration It appears after every D2O exposure and disappears after every TMA

exposure indicating a nearly completed ALD TMA-D2O cycle reaction The integrated

peak area of LOTO phonon modes of Al2O3 increases steadily with increasing number of

ALD cycles indicating the steady growth of Al2O3 film After 10 cycles the peak of LO

phonon mode is as sharp as what observed for Al2O3 film grown on the chemical oxides

SiO2 surface or -COOH surface with peak position at 940 cm-1 These evidences suggest

the Al2O3 film grown on -NH2 surface may be as dense as Al2O3 film grown on other types

of reactive surface including ndashCOOH SAM or regular SiO2

154

1000 1500 2000 2500 3000-0006

-0004

-0002

0000

0002

0004

0006

υOD2740

Abs

orba

nce

Wavenumber (cm-1)

2854

1214

734

10cyc TMAD2O

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

TMA NH2-SAMSi-C Si(111) S30A 11-10-2006

TMA 1

940Well defined TOLO peak of Al2O3

2927

1211

Figure 615 TMA 10cyc ALD-Grown on NH2 -SAMH-Si(111) FTIR spectra of 10 cycles TMAD2O ALD-Grown on NH2-SAMSi(111) All spectra are referenced against to the pre-annealed NH2-SAM right before first TMA exposure A growth of Al2O3 is immediately observed after the very first TMAD2O cycle and has developed into a well-defined Al2O3 film indicating by the sharp peaks of LOTO mode at 734 and 940 cm-1 after 10 cycles The Al-CH3 mode at 1211 cm-1 is only slightly shifted to 1213 cm-1 with increasing number of ALD cycles This suggests initial bonding status of TMA at SAM interface is similar to bonding to the existing Al2O3 film

155

636 ALD TMA on ndashCH3 Terminated SAMSi(111)

Figure 616 shows FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC for

10 cycles on ndashCH3 SAM prepared directly by immersing freshly prepared H-Si(111)

sample into the deoxygenated neat alkene at 200 degC for 2 hours All spectra are referenced

against to the freshly grafted and pre-annealed at 120 degC ndashCH3 SAM All spectra are

recorded at 60degC Unlike other SAMs with the chemically reactive group the first

exposure of ndashCH3 SAM to TMA does not show any significant absorption of TMA on the

surface The characteristic peak of AlndashCH3 at ~1202 cm-1 is not seen There are minor

negative features observed in the region of 2800-3000 cm-1 the stretching modes of

hydrogen-carbon This is most likely caused by the perturbations induced by the small

amount of physically absorbed TMA trapped inside alkyl chains of SAM The result is

expected for ndashCH3 terminated SAM the reaction with AlndashCH3 requires overcome very

high energy barrier[18] and a small amount of TMA molecule could only physically

trapped inside the SAM without chemical reaction The first several TMAD2O cycles (1-3)

do not give apparent spectral features for growth of Al2O3 The only noticeable change is

that the peaks at 2800-3000 cm-1 corresponding to the stretching modes of -CH2- and -CH3

groups continue decreasing in the intensity with increasing numbers of ALD cycles This

suggests that more and more TMA molecule penetrates into the densely packed SAM and

perturb the original vibration modes of -CH2- The physically pre-absorbed TMA

molecules then serve as nucleation sites for the subsequent ALD growth In fact after 5

cycles TMA D2O exposure the phonon modes of Al2O3 film appear at lower frequency

region (~770 and ~ 880 cm-1) and increase in the intensity with increasing number of ALD

cycles After 10 cycles two dominated peaks appear at ~770 and 887 cm-1 assigned to the

TO and LO phonon modes of Al2O3 film respectively Comparing to the Al2O3 film grown

on ndashOH SAM -NH2 SAM and ndashCOOH SAM the broadened and lowered frequency of

peak of LO phonon mode indicates the film is more amorphous and less well defined It

might be due to the lack of nucleation sites on the -CH3 SAM surface at the beginning and

the nucleation sites occurring at physically absorbed TMA molecules are distributed in a

sporadic way Consequently the lower quality of Al2O3 film is obtained

With increasing number of ALD reaction cycles the continuous loss of intensity of

hydrogen-carbon stretching modes at 2800-3000 cm-1 suggests SAM might still not be

156

1000 1500 2000 2400 2600 2800 3000-0004

-0003

-0002

-0001

0000

0001

0002770 Al2O3

10cyc TMAD2O

5cyc TMAD2O

TMA 2

D2O 2

D2O 1

TMA 1

υOD

A

bsor

banc

e

Wavenumber(cm-1)

TMAD2O 10cyc CH3-SAM(C18) 10-24-2006

υCH887

Figure 616 TMA 10cyc ALD-Grown on CH3-SAMH-Si(111)

FTIR spectra of 10 cycles TMA ALD-Grown on CH3-SAMSi(111) All spectra are referenced against to the pre-annealed CH3-SAM right before first TMA exposure Unlike to SAM with reactive terminal group the feature of TMA is nearly not observed during the first two TMAD2O cycles A broad band attributed to LOTO mode of Al2O3 appears after 5 cycles with much less well-defined peaks Negative peaks appeare at 2800-3000 cm-1 and increase with increasing number of ALD cycles This suggests TMA precursor continuously penetrates into the SAM and leads to screeching of original C-H stretching modes

157

fully covered by previously deposited Al2O3 film and incoming TMA molecule could still

attack the SAM and get inside it The film may grow in a way of island-mode All these

evidence strongly suggests that a vertically inhomogeneous growth instead of a

homogenous layer-by-layer growth of Al2O3 film is undergoing on the ndashCH3 SAM

An increasing band centered at ~2740 cm-1 is assigned to OD stretching mode

indicating non-reacted D2O molecule trapped and accumulated inside the SAM layer and

the subsequent incoming TMA molecule cannot efficiently reach it This is not seen in the

ALD growth of TMA on the chemical reactive surfaces like SiO2 -NH2 -COOH

terminated SAMs) It is possibly due to the inaccessibility for some D2O trapped in the

SAMs During the 10 ALD reaction cycles there are no spectral features observed in the

region of 1000-1300 cm-1 indicating no interfacial silicon oxide forms on the SAMSilicon

interface TMA does not reach the SAMSilicon interface and react with the substrate at the

interface even though it may penetrate into SAM more or less This is reasonable as the

buried SAMSi(111) which is still partially hydrogen passivated lack of reactivity with

TMA at current reaction temperature 100degC Previous study has shown TMA will not react

with H-terminated silicon up to 300 degC [2] In summary the ALD growth of Al2O3 film on

non-reactive ndashCH3 SAM is observed 1) The physically absorbed TMA molecule during

the first several TMA exposures most likely initiates the subsequent growth The initial

absorption might be in a sporadic way with low absorption rate As a consequence the

growth is inhomogeneous and the grown-film has lower quality No spectral features found

for growth on the SAMSi suggests that the growth of TMA on the ndashCH3 terminated

surface may not be attributed to the reaction at the SAMSi interface

637 ALD Growth of TMA on SiO2

Figure 617 shows the FTIR spectra of sequential exposure of Al(CH3)3 and D2O at 100 degC

for the first 10 TMAD2O cycles on SiO2 surface All spectra are referenced relative to

freshly wet chemistry (RCA) cleaned silicon dioxide surface at 60degC The surface hydroxyl

group has density 7 x 1014 OHcm2 [19] After the initial TMA exposure at 100degC three

bands appear at 1100-1300 cm-1 The 1213 cm-1 can be assigned to Al-CH3 and it decreases

after the subsequent D2O exposure and reappears after TMA exposure The other two

bands 1270 and 1188 cm-1 didnrsquot change with increasing number of ALD cycles indicating

158

they are features attributed to the interface of SiO2 and Al2O3 They are assigned to Si-CH3

The peaks at 1084 and 1005 cm-1 are related to the Si-O-Si or Al-O-Si The broad

low-frequency band around 700-1000 cm-1 increasing with number of ALD cycles can be

assigned to grown- Al2O3 LO and TO phonon modes After 10 cycles the grown- Al2O3

film has similar spectral features of Al2O3 film at 700-1000 cm-1 as Al2O3 film grown on

the -COOH SAM surface indicating similar nucleation and growth of Al2O3 on both

surfaces

159

1000 1500 2000 2500 3000-0005

-0004

-0003

-0002

-0001

0000

0001

0002

0003

0004

0005

703 Al-O-SiSiO2

TOLO Al2O3

1088Al-CH3

10cyc5cyc

D2O 3

D2O 2

D2O 1

TMA 3

TMA 2

Abso

rban

ce

Wavenumber (cm-1)

10-3

TMA 1

TMA 10cyc on SiO2 11-01-2006

1005

933

1000 1500 2000 2500 3000-0002

-0001

0000

0001

0002

0003

0004

0005

10cyc TMAD2O on COOH-SAMSi(111)

10cyc TMAD2O on SiO2

Y A

xis

Title

X Axis Title

10-3

Figure 617 TMA 10cyc ALD-Grown on chemical oxidized SiO2Si surface (SC1SC2) and post annealed from 300 to 600c

FTIR spectra of 10 cycles TMA ALD-Grown on chemical oxidized SiO2 All spectra are referenced against to the pre-annealed SiO2 right before first TMA exposure 1213 cm-1 (Al-CH3) and 1270 cm-1 (Si-CH3) are seen after first TMA exposure 1000-1200 cm-1 regions are mixed with contributions from formation of Si-O-Al (positive) 1004 and 1088 cm-1 and destruction of Si-O-Si (negative) on the top of SiO2 layer The spectral features in this region(1000-1200cm-1 ) is unchanged with increasing number of ALD cycles indicating they indeed are features related to interface After10 cycles a similar quality of Al2O3 film forms as Al2O3 grown on ndashCOOH SAM as shown in the insert top-right panel

160

64 Discussion The FTIR spectra demonstrate that ALD TMA can proceed on all organic SAMs surface

with different terminal groups -COOH -OH -NH2 and ndashCH3 It is expected for the surface

terminated with chemically reactive groups like -OH -COOH and -NH2 But it is kind of

unexpected for chemically inert -CH3 terminal group

641 Initial Reaction Mechanism for ALD growth on ndashCOOH SAM The FTIR Spectra demonstrate that the freshly-grafted -COOHSAM on Si (111) surface

can react immediately with Al(CH3)3 (TMA) molecule and the nucleation process is nearly

completed within as short as 10 seconds All -COOH groups are reacted The reaction is

self-terminated and the absorption of TMA on the surface saturates within a few seconds

After HCl solution treatment significant percentage of ndashCOOH is recovered After 10

ALD cycles a high quality Al2O3 film forms indicated by the sharp LO and TO phonon

mode of Al2O3

Unlike deposition of Al atoms on -COOH SAM where 20-25 of COOH remain

unreacted [20] extremely reactive TMA is much more reactive than Al atoms toward

ndashCOOH group and react with all surface ndashCOOH groups The recovery of ndashCOOH peak

after strong acid HCl treatment and the intense bands at 1470 cm-1 (asymmetric stretching

of COOminus) and 1580 cm-1 (symmetric stretching of COOminus) which are typical characteristic

feature of C=O bond in carboxyl anion group [7] strongly suggests that the Al(CH3)3

forms acid-salt like complex on the interface as shown in the Scheme 3 The reaction of

TMA with hydroxyl terminated surface is presumed to go by an abstraction of hydrogen

atom from ndashOH group and combine with methyl group form methane (CH4) which

involved a Lewis acid-base interactions with a group III alkyl (TMA) acting as the Lewis

acid (electron receptor) and a group VI hydride (OH) acting as the Lewis base [18] The

reaction of TMA with ndashCOOH is undergoing similarly but much easier The disassociated

proton H+ from carboxylic acid easily combine with ndashCH3 forming methane (CH4) while

long pair electron of oxygen transfer to -Al(CH3)2 forming C(O)-O-Al(CH3)2 complex

Because after giving proton carboxylate ion has the negative charge spread out equally

over two oxygen atoms each oxygen atom bears half of negative charge The long pair of

161

electron of oxygen is harder to transfer to the electron receptor of Al atom in other words

the bond O-Al is more polar After strong acid post-treatment carboxylate ion exiting in

this complex can be converted back to carboxylic acid

In the meantime there also possibly co-exists a reaction between Al (OH)x and C=O

with formation of Al-O-C covalent bond In the study of aluminum atom on methyl Ester

terminated surface it is found that Al can react with the ndashCO2CH3 group

Al+

CH3 CH3

O-O

R

C

OO

C

OO

Asymmetric stretching symmetric stretching

Scheme 3 Possible interaction on TMA-COOH SAM interface

In addition the high quality Al2O3 film and limit surface number density of ndashCOOH

(because of steric restriction) suggest that most likely more than one TMA molecule can

bond to one ndashCOOH group initially

642 Mechanism for ALD growth on ndashCH3 SAM The observed growth of Al2O3 film on ndashCH3 SAM is not unexpected Although the

reaction between ndashCH3 or ndashCH2 groups and TMA can not take places in theory [21] The

previous studies proposed that a non-perfect SAM which cannot prevent ALD precursor

from reacting on underneath SAMsubstrate interface is accounted for the observed growth

A good quality SAM can efficiently block ALD growth [22]

Chen and coworkers investigated the block mechanism In their study of SAM as

barrier for blocking ALD of halfnium oxide it is found that the quality or packing density

of the ndashCH3 SAM layer determine the block efficiency[23] Only SAMs with carbon

chains longer than C12 can efficiently block the growth of halfnium oxide They attributed

162

the observed ALD growth to the defects and pinhole in the SAMs These defects and

pinhole make it possible for the precursor penetrates into the organic film and react with

active hydroxyl or oxygen atoms at the interface Furthermore they proposed a

deactivation mechanism in which alkyl monolayer hinders the nucleation at the interface

by removing the surface reactive sites (Si-H) and preventing oxidization of substrate[24]

Another study of ALD of Ti[N(CH3)2]4 on ndashCH3 terminated alkoxysilane SAM found that

the density of absorbed Ti is negatively correlated with the density of ndashCH3 SAM[25]

Hence they proposed that there is no reaction between Ti[N(CH3)2]4 and ndashCH3 terminal

groups of SAM the reaction confined completely to the SAMSiO2 interface and the

absorption rate of Ti depends on its ability to penetrate the SAM In both study the ALD

growth is attributed to the initial nucleation at the reactive organicsubstrate interface It

occurs whenever SAM resists is not sufficient to block the penetration

In our study we would like to propose another mechanism for the observed growth on

the inertial surface (-CH3) We believe that the growth is determined by the precursor and

SAM structure (conformation and defects) but it less depends on the reactivity of

underneath interface The reactivity of interface may play a role in shortening incubation

time and speeding nucleation but may not determine whether reaction occurs or not In

other words ALD growth can possibly occur on an organic SAMs surface with completely

non-reactive interface underneath

In our experiment the reaction on the SAMSilicon interface less likely occurs for the

following reasons first no Si-O-Al (1000-1100 cm-1) or Si-CH3 (1270 cm-1) modes are

observed in the FTIR spectra second at the interface of SAMsilicon the place where no

alkyl chain attached is still passivated by hydrogen and less reactive than SiO2 site in the

SAMSiO2 In the previous study it is found that TMA will not react with H- terminated

silicon until heating up to 300 degC [2] Thus at our current experiment condition (growth at

100degC) the reaction at interface is expected to not take place Also the IR signal of

absorbed TMA molecule is too weak to be detected in the first three cycles suggesting the

absorption process quite slowly In addition the spectral change of -CH2- stretching mode

due to the perturbation induced by the incorporation of TMA into the SAMs continuously

increases with increasing ALD cycles

163

In the mechanism we proposed here for ALD growth of Al2O3 on ndashCH3 SAM initially

TMA molecule is physically absorbed on the surface sporadically either staying on the

surface or penetrating into the SAMs This is supported by the evidence that a immediate

loss of -CH2 stretching mode at 29232853 cm-1 appears upon the first TMA exposure and

the loss of ndashCH3 stretching mode appears later and quickly increase with increasing

number of ALD cycles These pre-absorbed TMA molecules then serve as nucleation sites

for the subsequent ALD growth As suggested by the IR data the absorption rate is quite

low and nucleation sites are not available over the whole surface As a consequence the

grown Al2O3 film is quite patched and amorphous suggested by the broad not well

defined LOTO mode of Al2O3 film in the FTIR spectra This is similar to ALD growth of

Al2O3 on H- terminated surface where although no reaction take place after the initial

exposure TMA can physically absorb on the surface and serve as nucleation sites for the

subsequent ALD growth[3] In fact H-terminated silicon pretreated with longer time

exposure to TMA ie more physically absorbed TMA leads to more linear ALD growth

of Al2O3 film [3]

A detailed analysis of changes of hydrocarbon stretching mode at 2800-3000 cm-1 with

increasing TMAD2O ALD cycle reveals how TMA interact with ndashCH3 SAM As shown

in the Figure 618 the changes of hydrocarbon stretching mode in terms of relative ratio of

-CH3 to -CH2 at the first 5 cycle is quite different from the second 5 cycles (ie from 5-10

cycles) This suggested that initially TMA precursor gets inside the SAM and perturbs with

-CH2- groups of backbone and later on more perturbation occurs on the top of surface

with the ndashCH3 instead of going inside SAM This could be explained as with formation of

TMA islands on the SAM surface the incoming TMA gains more chances to grows on the

top of SAM and interacts with -CH3 groups instead of penetrating into the SAM Since

perfectly ordered SAM would not have sufficient space between chains to allow

penetration by 275Aring diameter Al atoms the diffusion mechanism for larger TMA

molecule complex must involve defects Two types are considered static and dynamic

[26]

In a model of SAMs with static defects one may expect the island growth in which

growth TMA occurs only at the defective or low-density area of the SAMs In this case [27

28] the density of these defects limits the initial deposition Therefor instead of assuming

164

2600 2700 2800 2900 3000-00002

-00001

00000

00001

00002

00003

υOD

υCH2

10-5th cyc

Abs

orba

nce

Wavenumber (cm-1)

TMA on CH3-SAM Differential Spectra

5 - 0 cyc

υCH3

Figure 618 Differential FTIR Spectra of hydrocarbon stretching mode at 2800-3000cm-1 for TMA Growth on -CH3 SAM FTIR spectra recorded after 5 cycles of TMAD2O and 10 cycles are referenced relative to the surface before the previous ALD growth Top spectra show the spectral net changes for last 5 cycles of total 10 cycles and bottom one shows changes of first 5 cycles of 10 cycles Clearly initial 5 cycles have more effects on -CH2- stretching modes and subsequent 5 cycles have more effects on -CH3 (which is on the top of SAM)

165

the diffusion occurs in the way of randomly and uniformly over the whole surface the

defects of SAMs determine the initial deposition

In a model of dynamic defects the defects appear transiently caused by the thermally

activated lateral hopping process of the SAsM (the deposition temperature is 100 degC) The

creation of transient holes allows transport of nearby TMA molecule to inside SAMs or

even reach the interface[20 26]

Currently we are not able to conclusively exclude any one of two types of defects We

have found post-treatment of -CH3 SAMSi(111) with HFNH4F has shown HF nearly has

no effect on the silicon oxide at the interface formed during thermal hydrosilylation This

suggests that SAM is densely packed and can efficiently prevent penetration of HF

Therefore it is reasonably presume there are no significant static defects exiting in the

SAM and SAM can equally efficiently prevent penetration of bigger TMA molecule at

room temperature The dynamic mode may mainly account for the trapping of precursor

inside the SAM at 100 degC

643 Initial Reaction Mechanism for ALD growth on ndashOH SAM On the one hand the ALD growth of TMA on ndashOH SAM should be similar to the other

type of regular hydroxylated surface because of presence of reactive ndashOH group Initial

TMA depositions on -OHSiO2 are both kinetically and thermodynamically favorable as

compared to those on H-terminated surface[29] Comparing to ALD TMA on H terminated

silicon surface the incubation period is reduced for OH-terminated Si(100) surface[30]

And it is also found that the Al2O3 films grown on OH-terminated surface have much

smoother morphology than those grown on H-terminated surface [30]

On the another hand however the ndashOH SAM is unique and different from other

ndashOHSi surface in term of surface distribution and number density of ndashOH group due to the

steric restriction existing in the alkylation of H-Si(111) The density of ndashOH should be

lower than hydroxylated silicon dioxide The spacing between adjunct reactive surface

ndashOH sites on SAM is estimated at least 72 Aring assuming converting efficiency from

ndashCOOH to -OH is 100 and initial ndashCOOH uniformly distributed over the whole surface

This spatial limitation makes some reaction pathway impossible for TMA absorption

on ndashOH SAM For example the ring closing reaction proposed on OHSi(100) surface [31]

166

where one TMA molecule could bond to two surface ndashOH sites forming two interfacial

Al-O bond (Figure 620II) will less likely appear on ndashOH SAM In addition since the

way of ndashOH distributed is more uniform (spatial orientation etc) on ndashOH SAM than SiO2

surface the absorbed TMA may have more uniform spatial distribution on the ndashOH SAM

surface It is indicated by the CH3 bending mode of Al-CH3 at ~1203 cm-1 which is

sensitive to how Al bond A very sharp single peak centered at 1203 cm-1 is observed on

ndashOH SAM surface On the SiO2 surface multiple bands appear at 1270 1213 1187 cm-1

after initial TMA exposure 1270 cm-1 is assigned to the Si-CH3 due to methyl transferring

to silicon [2] The fact that as the band at 1213 disappears after subsequent water exposure

it confirms the assignment of 1213 cm-1 to Al-CH3 In summary TMA on HO-SAM exist

in the structure as shown in Figure 620I with one TMA bond to one ndashOH while TMA on

hydroxylated silicon dioxide exists in the form II with one TMA bond to at least two ndashOH

and in other forms like Si-CH3 through ligand exchange as well

A further discussion is on correlating surface density ndashOH with growth rate[32 33]

They donrsquot have direct spectroscopic data to reveal which types of initial TMA reaction on

the surface with different surface ndashOH concentration One TMA molecule could bond to

one two or three ndashOH sites and also ligand exchange could lead to the formation of

Si-CH3 Our data clearly shows that both Al-CH3 and Si-CH3 existed on the chemical

oxidized surface after initial TMA exposure(Figure 617) Therefore the single model

(ligand exchange) given by Puurunen may not enough to explain the cause of steric

hindrance which accounting for saturation of precursor Instead it could be a mix of Model

II (ligand Si-CH3) and Model III (chemically absorbed precursor Si-O-Al-CH3) [33]

For chemical oxides the Si-OH density is most likely at the level of 7 x 1014 OHcm2

[19] (7 -OH per nm2 this number may vary with substrate temperature) For ndashCOOH SAM

the surface density of alkyl chains is quantified as ~27 x 1014 cm2 (27-OH per nm2) [17]

The lower concentration of surface ndashOH groups the lower growth-per-cycle (GPC) during

ALD growth of aluminum oxide[33] Based on the empirical linear relationship given by

Puurunen the growth of Al2O3 on SiO2 is estimated as much as three times more than

Al2O3 grown on ndashOH surface This is consistent with our infrared data as shown in Figure

624 where the integrated area of Al2O3 phonon modes at ~734 and 930 cm-1 is used to

estimate the total amount of absorbed Al2O3 layers

167

Comparing to regular chemically oxidized silicon surface ndashOH SAM provides ideal

model to control the concentration of surface ndashOH in order to study the correlation between

ALD growth and concentration of ndashOH It eliminates other side reaction such as formation

of Si-CH3 on silicon dioxide SAM-directed assembly makes it different from growth on

chemical oxide Different orientation (cubic hexagonal) could produce different saturation

coverage

644 Initial Reaction Mechanism for ALD growth on ndashNH2 SAM FTIR spectra demonstrated TMA immediately absorbs on the ndashNH2 SAM The

characteristic peaks of absorbed TMA are clearly seen at 2940 2888 cm-1 (-CH3

asymmetric and symmetric stretching) 1201 cm-1 (CH3 bending) and 703 cm-1 (CH3

rocking) They disappear upon subsequent water exposure The peak at 803 cm-1 is

previously assigned to Al-N bond The possible mechanism for TMA absorbed on the

ndashNH2 SAM is shown in Figure 621 This reaction mechanism is similar to that of TMA on

a hydroxyl-terminated surface Both are Lewis base-acid interaction with a group III alkyl

(TMA) acting as the Lewis acid and a group ndashNH2 or ndashOH acting as the Lewis base

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Si

OOH

SiH

Figure 619 -COOH SAM terminated Si(111)

~72 Aring

~72 Aring

168

O

Si

AlCH3 CH3

O

Si

Al

CH3

O

Si I Initial absorption II Closing ring

Figure 620 Possible absorbed TMA on ndashOH terminated Surface

NH2

AlCH3 CH3

CH3

AlCH3 CH3

NH

CH4 AlCH3

CH3

N

Al

CH3

CH3OR

Figure 621 Possible Initial Reaction of TMA on ndashNH2 SAM

Because of the way we prepared ndashNH2 is based on ndashCOOH SAM on Si(111) the spacing

between -NH2 group is similar with the sapceing of ndashOH groups of ndashOH SAM (shown in

the Figure 620) and with same surface density of reactive sites (limited by the chemical

conversation rate) However it may be possible that two TMA molecules bind to one ndashNH2

site and double the surface density of absorbed TMA The spectra have shown that the

integrated area of Al-CH3 peak at ~1210 cm-1 is nearly double for growth on ndashNH2 SAM

(~00064) than that on ndashOH SAM (~00035) which indirectly supports the initial reaction

mechanism that we proposed In addition a higher nucleation rate on ndashNH2 SAM is

consistent with the fact that higher quality Al2O3 film forms on ndashNH2 SAM surface than on

ndashOH SAM surface after 10 ALD cycles indicated by the sharper LOTO phonon mode of

amorphous Al2O3

By first look our experiment results seem not consistent with theoretical calculations

[18] in which ndashOH SAM is favor both thermodynamically and kinetically over the

169

reaction with ndashNH2 SAM toward TMA The reaction of TMA with ndashNH2 SAM is relative

slow compared to the on ndashOH SAM The initial probability of reaction is in the order of

SiO2 gt -OHgt -NH2 gt -CH3 In our study the film quality observed is like that in the order

of -COOHgt -NH2 gt SiO2 gt -OH gt -CH3 But the quality of ALD grown film is not solely

determined by how fast or easily TMA forms bond with surface groups but overall how it

nucleates on the surface The latter involves other consideration like available nucleation

sites etc Even though TMA can easily form bond with ndashOH the behavior of TMA on

ndashNH2 may be more complex than just forming Al-N bond as on ndashOH surface

Our experimental result is consistent with other on SAM with different terminal group

For instance ALD Ti[N(CH3)] on ndashNH2 SAM[34] found that comparing to ndashOH SAM and

ndashCH3 SAM -NH2 SAM gives the cleanest growth where essentially all reactivity could be

associated with the terminal amine group

645 Comparing Initial Reaction of TMA on SAMs with different

terminal group

The FTIR spectra of first several ALD cycles reveal the initial nucleation and growth on

the SAM surface in detail As shown in the Figure 622 for the ndashOH SAM we immediately

observe the spectroscopic features belong to Al-CH3 appear after the first TMA exposure

indicating absorption of TMA on the surface The symmetric deformation CH3 modes of

Al-CH3 is ~12001209 cm-1 for TMA absorbed on ndashNH2 SAM 1203 cm-1 for -OH SAM

1213 cm-1 for chemically oxidized silicon and 1215 cm-1 for ndashCOOH SAM The band

shape analysis has shown that nonoxygen-containing Si-Al-CH3 coexists on the H-Si(111)

surface at high TMA exposure On H-terminated Si surface a homogenous Al2O3

nucleation is achieved by extending the initial TMA exposure until methyl saturation

For ndashCOOH SAM the chemical reaction with acid group takes place immediately and

has no penetration The presence of two major peaks at 1400-1600 cm-1 suggests that

majority of C=O still remain and the recovery of COOH group after immersing in HCl

solution suggests the weak acid group react with weak base Al(OH) to form salt like

structure CO(δminus)-O-Al(δ+) The formation of this complex on the surface blocks the

170

penetration The C=O is not 100 recovered may be due to the reaction of C=O with

Al(OH) forming C-O-Al

When penetration happens C-H stretching mode shows a blue shift and loss in the

intensity at the original position The blue shift indicates the alkyl chains undergo

significant disordering upon interaction with TMA The decreasing in the intensity of C-H

stretching mode indicates a possible screening effect due to the incorporation of TMA into

SAM The disorder presumably is induced by repulsive steric interactions between

neighboring TMA clusters For ALD on SAM with reactive terminal groups (-COOH

-NH2 -OH) the nucleation occurs immediately and no incubationinduction period

646 Comparing the Nucleation and Al2O3 films grown on the different

substrate

By comparing the FTIR spectra of a Al2O3 film grown on the SAMs with different

terminal groups the peak position peak area and peak shape of LOTO phonon mode of

Al2O3 films reveal the dependence of film quality and properties on the terminal groups

Figure 624 shows the comparison of FTIR spectra of 10 TMAD2O cycles grown Al2O3

film on all surfaces The C-H stretching mode of alkyl chains of SAM at 2800-3000 cm-1 is

used as indicator of the reactions As shown in the Figure 624b for the ndashCOOH SAM

there is no significant spectral change observed in this region indicating little or no

penetration occurrs and reaction is well confined to the terminal groups on the top of

surface For the ndashNH2 and ndashOH SAM a little spectral changes indicate a few more

penetrationsinterference may occur For the non-reactive ndashCH3 SAM the change is

observed immediately upon first TMA exposure and keep increasing with increasing

number of ALD cycles Al2O3 nucleation occurs slowly at the sites (may near surface but

not necessary must be at SAMSilicon interface) where precursor are trapped Because of

the dense packing of well ordered solid-like alkyl SAM the penetration is relatively

difficult for a large size of TMA molecule and only limited amount of TMA could be

trapped into SAM at each exposure (Figure 623)The detailed diffusion mechanism need

to be further elaborated and beyond the study here

171

1000 1500 2000 2600 2800 3000 3200

Wavenumber (cm-1)

00038

00067

00060

~ 1210Al-CH3

-CH3

SiO2

1st TMA on SAMs with different functional group

Abso

rban

ce

-OH

-COOH

-NH2

initial TMA exposure

29392894

Al-CH3

00039

5x10-4

Figure 622 Comparison of FTIR Spectra of initial reaction of TMA on the surface with different terminal groups

In-situ FTIR spectra were recorded immediately after first 10 second TMA exposure All reactive surfaces show sharp peak at ~1210 cm-1 attributed to Al-CH3 The exact peak position depends on Al-CH3 bond to surface 1213 cm-1 (on SiO2) 1203 cm-1 (on HO-SAM) 1200 cm-1 (on NH2 ndashSAM) and 1215 cm-1 (on COOH-SAM) The corresponding stretching mode of CH3 of Al-CH3 is seen at 2894 and 2939 cm-1

172

We could apply the diffusion mechanisms proposed earlier time for metal atom diffusion

on SAM-Au system to our system

The Al2O3 nucleation cluster can only form near around the sites with pre-trapped

TMA Even after 10 cycles the coalescence of Al2O3 cluster is still undergoing locally and

no continuous film forms on the top of SAM Incoming TMA molecule is still able to

diffuse into the uncovered SAM However after 5 cycle growth the larger percentage of

TMA starts to nucleate at the region near the top of SAM These can be seen from change

of C-H stretching mode and the formation of very broad LOTO mode of Al2O3 in the IR

spectra

The reactivity of terminal group toward TMA has largely determined the efficiency of

diffusion blocking As we have shown earlier time the ndashCOOH SAM apparently is less

ordered than ndashCH3 SAM due to the interaction of ndashCOOH groups However as we can see

here the diffusion is still completely blocked by ndashCOOH SAM even though it possibly has

larger free volume between more disordered alkyl chains comparing to ndashCH3 SAM

Si Si I with reactive terminal group II with ndashCH3 terminal group

Figure 623 Mode for Al2O3 ALD on SAM with different terminal Group

Al2O3 nucleation clusters forming from D2O reaction with TMA trapped in the near surface region but this absorption rate is processed slowly [35]

173

1000 1500 2000 2400 2600 2800 3000

-0006

-0004

-0002

0000

0002

0004

0006

0008(a)

2740υOD

TOLO Al2O3

Al-CH3 υCH2

S30A

10 cyc TMAD2O on SAMs with different functional group

Wavenumber

Abs

orba

nce

-OH

-CH3

SiO2

-COOH

-NH2

2500 2600 2700 2800 2900 3000

-00010

-00005

00000

00005

00010

υCH2υOD

10 cycle TMAD2O

SiO2

-COOH

-NH2

-OH

Abso

rban

ce

Wavenumber (cm-1)

-CH3

(b)

Figure 624 Comparison of ALD TMA on the surface with different termination group

174

65 Conclusion The ALD of high-κ metal oxide (TMA TEMAH) on the silicon functionalized with

self-assembled monolayers (SAMs) processing -COOH -OH - NH2 and -CH3 terminal

groups have been investigated using in situ infrared spectroscopy As a comparison the

data of ALD of TMA on silicon oxide is examined as well The ALD process are found to

depend on all following factors 1) chemical reactivity of terminal groups 2) polarity of

group charges 3) surface number density 4) chains packing density and 5) subsurface

reactivity (ie SAMsilicon interface)

These studies demonstrate that the terminal grous of the SAM significantly affect the

selectivity toward TMA adsorption and subsequent ALD of Al2O3 These studies can be

also extended to ALD deposition of other high-k metal oxide for example TEMAH

Understanding the underlying reactions between precursors and various surface functional

groups gives us the control over these surface reactions that eventually leads to a technique

to tailor the ALD As a model system our current study can be further applied to study

ALD nucleation organic-inorganic interface for future nanoelectronics and molecular

electronics applications

175

Bibliography

1 Frank MM et al Enhanced initial growth of atomic-layer-deposited metal

oxides on hydrogen-terminated silicon Applied Physics Letters 2003 83(4) p 740-742

2 Frank MM YJ Chabal and GD Wilk Nucleation and interface formation mechanisms in atomic layer deposition of gate oxides Applied Physics Letters 2003 82(26) p 4758-4760

3 Frank MM et al Hydrogen barrier layer against silicon oxidation during atomic layer deposition of Al2O3 and HfO2 Journal of the Electrochemical Society 2007 154(2) p G44-G48

4 Ho MT et al In situ infrared spectroscopy of hafnium oxide growth on hydrogen-terminated silicon surfaces by atomic layer deposition Applied Physics Letters 2005 87(13)

5 Zhang X et al Stability of HF-etched Si(100) surfaces in oxygen ambient Applied Physics Letters 2001 79(24) p 4051-4053

6 Ulman A Formation and Structure of Self-Assembled Monolayers Chem Rev 1996 96(4) p 1533-1554

7 CONLEY RT Infrared Spectroscopy Second ed 1972 Allyn and Bacon Inc 8 Glass JA EA Wovchko and JT Yates Reaction of Methanol with Porous

Silicon Surface Science 1995 338(1-3) p 125-137 9 Fidelis A F Ozanam and JN Chazalviel Fully methylated atomically flat (111)

silicon surface Surface Science 2000 444(1-3) p L7-L10 10 Puurunen RL et al Successive reactions of gaseous trimethylaluminium and

ammonia on porous alumina Physical Chemistry Chemical Physics 2001 3(6) p 1093-1102

11 Ferguson JD AW Weimer and SM George Atomic layer deposition of Al2O3 films on polyethylene particles Chemistry of Materials 2004 16(26) p 5602-5609

12 Gow TR et al Decomposition of trimethylaluminum on silicon(100) Chem Mater 1989 1(4) p 406-411

13 Ott AW et al Al3O3 thin film growth on Si(100) using binary reaction sequence chemistry Thin Solid Films 1997 292(1-2) p 135-144

14 Mozgawa W M Sitarz and M Rokita Spectroscopic studies of different aluminosilicate structures Journal of Molecular Structure 1999 512 p 251-257

15 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

16 Sung MM et al Thermal Behavior of Alkyl Monolayers on Silicon Surfaces Langmuir 1997 13(23) p 6164-6168

176

17 Faucheux A et al Well-defined carboxyl-terminated alkyl monolayers grafted onto H-Si(111) Packing density from a combined AFM and quantitative IR study Langmuir 2006 22(1) p 153-162

18 Xu Y and CB Musgrave A DFT Study of the Alltsubgt2ltsubgtOltsubgt3ltsubgt Atomic Layer Deposition on SAMs Effect of SAM Termination Chem Mater 2004 16(4) p 646-653

19 Haukka S and T Suntola Advanced materials processing by adsorption control Interface Science 1997 5(2-3) p 119-128

20 Fisher GL et al The interaction of vapor-deposited Al atoms with CO2H groups at the surface of a self-assembled alkanethiolate monolayer on gold Journal of Physical Chemistry B 2000 104(14) p 3267-3273

21 Xu M et al Mechanism of interfacial layer suppression after performing surface Al(CH3)(3) pretreatment during atomic layer deposition of Al2O3 Journal of Applied Physics 2006 100(10)

22 Chen R et al Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics Applied Physics Letters 2004 84(20) p 4017-4019

23 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

24 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

25 Killampalli AS PF Ma and JR Engstrom The reaction of tetrakis(dimethylamido)titanium with self-assembled alkyltrichlorosilane monolayers possessing -OH -NH2 and -CH3 terminal groups Journal of the American Chemical Society 2005 127(17) p 6300-6310

26 Hooper A et al Chemical Effects of Methyl and Methyl Ester Groups on the Nucleation and Growth of Vapor-Deposited Aluminum Films J Am Chem Soc 1999 121(35) p 8052-8064

27 Alam MA and ML Green Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO[sub 2] gate dielectric layers Journal of Applied Physics 2003 94(5) p 3403-3413

28 Puurunen RL and W Vandervorst Island growth as a growth mode in atomic layer deposition A phenomenological model Journal of Applied Physics 2004 96(12) p 7686-7695

29 Halls MD and K Raghavachari Atomic Layer Deposition Growth Reactions of Alltsubgt2ltsubgtOltsubgt3ltsubgt on Si(100)-2amp2151 J Phys Chem B 2004 108(13) p 4058-4062

30 Lee SS et al Reduction of Incubation Period by Employing OH-Terminated Si(001) Substrates in the Atomic Layer Deposition of Alltsubgt2ltsubgtOltsubgt3ltsubgt J Phys Chem B 2004 108(39) p 15128-15132

31 Ghosh MK and CH Choi The initial mechanisms of Al2O3 atomic layer deposition on OHSi(1 0 0)-2 x 1 surface by tri-methylaluminum and water Chemical Physics Letters 2006 426(4-6) p 365-369

32 Puurunen RL Surface chemistry of atomic layer deposition A case study for the trimethylaluminumwater process Journal of Applied Physics 2005 97(12)

177

33 Puurunen RL Correlation between the growth-per-cycle and the surface hydroxyl group concentration in the atomic layer deposition of aluminum oxide from trimethylaluminum and water Applied Surface Science 2005 245(1-4) p 6-10

34 Killampalli AS PF Ma and JR Engstrom The Reaction of Tetrakis(dimethylamido)titanium with Self-Assembled Alkyltrichlorosilane Monolayers Possessing -OH -NHltsubgt2ltsubgt and -CHltsubgt3ltsubgt Terminal Groups J Am Chem Soc 2005 127(17) p 6300-6310

35 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

178

7 Chapter 7 Summary

71 General Conclusions In the work described above we have demonstrated that 1) FTIR provides adequate

spectral information for interfacial Si-O-Si bond formation for silane-based SAMs on

silicon oxide surfaces and for chain effects on head group cross-linking 2) three

functionalization routes can be used for HSi(111) surfaces to produce -NH2 terminated

surfaces with high free -NH2 group surface density and with higher stability in aqueous

solution for biological sensor applications than on silicon oxide substrates 3) carboxyl-

terminated SAMs have higher thermal stability than CH3-SAM and both types of SAMs

are thermally stable during the ALD growth conditions and 4) ALD of metal oxide can be

performed on a variety of SAM-terminated Si surfaces in a controllable manner without

formation of unwanted interfacial SiO2

Surface-sensitive transmission infrared spectroscopy captures the spectral changes of

SiO2 surfaces after modification with trichlorosilane A substrate-adsorbate mode at

1200-1260 cm-1 is attributed to the LO phonon mode induced by the coupling of newly

formed Sis-O-Si bonds with the Si-O-Si network of SiO2 Formation of high density

Sis-O-Si is equivalent to adding a layer to SiO2 thus increasing the thickness of the SiO2

layer Consequently the LO mode of SiO2 is blue-shifted and its intensity is increased [1

2] For an organosilane with alkyl chain steric restrictions prevent the formation of dense

head group cross-linking Si-O-Si and interfacial Sis-O-Si suppressing the vibrational

coupling between the siloxane layer and SiO2 substrate In this case the Si-O-Si mode is

decoupled from the SiO2 LO phonon Such spectroscopic information and understanding

provides a tool towards controlling the interfacial structure and a means to better define the

growth mechanisms operative for the silaneSiO2 system In particular this tool will make

it possible to develop method to achieve a densely packed SAMs for use as dielectrics

Among the many known organosilane molecules used for SAMs amino-terminated

SAMs have been used for the fabrication of patterned surfaces Such surfaces are important

to promote the absorption of enzymes and peptides and to achieve selective electroless

179

deposition of metals and nano-particles on semiconductors An immense problem exiting

in fabrication of amino- terminated surfaces either on SiO2 or on H-terminated Si surfaces

is the acid-base interaction between NH2 head group of precursor and the surface silanol

groups on SiO2 surfaces or catalyzed oxidization of H-Si surfaces These side reactions

degrade the stability and quality of the films In this study we have developed three new

approaches to prepare high quality and stable amine-terminated surfaces and verified the

presence of free amine by monitoring its reactivity with PMPI linker molecules It enables

controllable specific attachment of biomolecule to the surface

From FTIR spectra of CnH2n-1-terminated and COOH-(CH2)10-terminated surfaces

spectral features have been identified which could be ascribed to the Si-H stretching mode

confirming that a Si-H group is present on the alkylated surface The peak corresponding to

Si-H stretching mode is centered at 2073 cm-1 ie red-shifted from its common position at

2083 cm-1 for freshly prepared H-Si(111) surface[3] and also much broader than the sharp

feature seen on the ideal flat H-Si(111) surface indicating that Si-H is isolated (surrounded

by atomsmolecules other than H) as is expected if the surface functionalization is uniform

and incomplete The integrated area of this peak is ~25 and ~55 of the integrated area

(0014 cm-1) of Si-H on freshly prepared H-Si(111) surfaces for CnH2n-1-terminated and

COOH-(CH2)10 terminated surfaces respectively Since a lower value of the Si-H

integrated area is correlated with a higher degree of partial oxidization COOH-terminated

SAMs can therefore form denser layers than CH3-terminated SAMs All these observations

confirm that Si-H bonds can react to for Si-C bonds but only partially (up to 50) due

steric interactions [4]

In situ FTIR spectroscopy makes it possible to compare the thermal decomposition

pathways of CnH2n-1-terminated and COOH-(CH2)10-terminated Si(111) surfaces The

simultaneous appearance of H-Si bonds at ~2076 cm-1 with reduction of CH2 mode

intensity on both surfaces indicates that the chain removal proceeds though βminuselimination

by cleavage of the Si-C bond instead of the C-C bond with formation of Si-H The higher

decomposition temperature of COOH-terminated SAMs indicates that they have a higher

thermal stability than CH3-terminated SAMs Both SAMs are thermally stable during the

ALD growth condition (typically from 100-150 degC)

180

In situ infrared spectroscopy also reveals that the ALD of high-κ metal oxide

(TMAH2O) can be performed on the silicon functionalized with self-assembled

monolayers (SAMs) with -COOH -OH - NH2 or -CH3 terminal groups The study shows

that the nature of the end groups significantly affects the selectivity toward TMA

adsorption and subsequent ALD growth of Al2O3 These studies can be also extended to

ALD deposition of other high-k metal oxides such as HfO2 using TEMAH and H2O to

understand the mechanism of growth of interface formation

The ALD process on SAM is found to depend on all following factors 1) the chemical

reactivity of terminal groups 2) the polarity of terminal group charges 3) the end group

surface density 4) the chain packing density and 5) the accessibility and reactivity of the

siliconASM interface The results show that Al2O3 can grow on all surfaces but in a

different manner forming more homogeneous film on the -NH2 and -COOH surfaces and

very non-homogeneous films on -CH3-terminated surfaces due to a lack of initial reactive

nucleation sites Silicon functionalization with all SAMs not only efficiently eliminates the

formation of unwanted interfacial silicon oxide during ALD growth but also prevent SiO2

formation during post-annealing up to 400 degC (ie SAM decomposition temperature) This

provides a potential method to avoid the formation of SiO2 during ALD processing

The understanding of underlying reactions between precursors and various surface

functional groups obtained from this study gives us a better control over these surface

reactions that may constitute an important method to tailor ALD growth for fabricating

nanoelectronic devices These studies of ALD on SAMs also establish a foundation to

understand the ALD nucleation and growth on more complicate organic films such as

ALD on polymers [5] and selective ALD on patterned surfaces [6 7] In addition the

stability of ultra-thin metal oxide films grown on SAMs demonstrated here provides a basis

for the development of a protective nano-coating method

In contrast to conventional methods using thermal pretreatment to control the ndashOH

density on silica and alumina surfaces SAMs provide a convenient way to control the

surface reactivity and surface density of reactive sites which can be applied to a number of

systems

181

Bibliography

1 Queeney KT et al Infrared spectroscopic analysis of the SiSiO2 interface structure of thermally oxidized silicon Journal of Applied Physics 2000 87(3) p 1322-1330

2 Quayum ME et al Formation of organic monolayer on a hydrogen terminated Si (111) surface via silicon-carbon bond monitored by ATR FT-IR and SFG spectroscopy Effect of orientational order on the reaction rate Chemistry Letters 2002(2) p 208-209

3 Higashi GS Chabal YJ Trucks GW and Raghavachari K Ideal Hydrogen Termination of the Si-(111) Surface Appl Phys Lett 1990 56 p 656

4 Sieval AB et al Amino-terminated organic monolayers on hydrogen-terminated silicon surfaces Langmuir 2001 17(24) p 7554-7559

5 Wilson CA RK Grubbs and SM George Nucleation and growth during Al2O3 atomic layer deposition on polymers Chemistry of Materials 2005 17(23) p 5625-5634

6 Chen R et al Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition Chemistry of Materials 2005 17(3) p 536-544

7 Chen R and SF Bent Chemistry for positive pattern transfer using area-selective atomic layer deposition Advanced Materials 2006 18(8) p 1086-+

182

CURRICULUM VITAE

Meng Li Rutgers University

Department of Physics amp Astronomy Piscataway NJ 08856 Phone (732) 910-7247

mengliphysicsrutgersedu EDUCATION PhD in Physics (Surface Science) Oct 2007 GPA 37540 Rutgers University New Brunswick NJ (Advisor Prof Yves J Chabal Director of Laboratory for Surface Modification) Master of Science in Computational physics Oct2003 GPA 37340 Rutgers University New Brunswick NJ (Advisor Dr Bernie Yurke Bell Labs) MS and BS in Physics Jun 19991997 GPA 8810 Nanjing University Nanjing PR CHINA (Advisor Prof Qiuhe Peng) WORK EXPERIENCE Thick Film Group AZ Electronic Materials USA Corp Branchburg Process Engineer Jul 2007 ~ Aug 2007 (Internship) bull Develop and test photoresists for thick film applications

- Developed and optimized the formulation of thick film photoresists for desired performance

- Test performance of thick film photoresists on different substrates including silicon copper and gold using standard micro lithography methods

Department of Physics amp Astronomy Rutgers University New Brunswick NJ Lab Instructor (Aug2000 ~ May 2003) bull Instructed over 50 engineering students and 120 college students in classical and

modern physics experiments computational physics and experimental data analysis using MatlabExcel

bull Tutored high school students in advanced mathematics and physics and helped them succeed in gaining admission to Princeton Stanford and Cornell

183

RESEARCH EXPERIENCE Research Assistant (Advisor Prof Yves J Chabal) Laboratory for Surface Modification Rutgers University New Brunswick NJ Aug 2003 ~ Aug 2007 bull FTIR study of Atomic layer deposition (ALD) of high-kmetal oxide on semiconductor

surface modified by organic Self-Assembled Monolayers (SAMs) - Examined the chemical and thermal stability of SAMs under the conditions of

ALD processes - Characterized the ALD-grown HfO2 and Al2O3 on organic SAMs with different

functional termination - Investigated ALD precursors chemistry involved in the HfO2 and Al2O3 at

SAMsSilicon interface and optimized the reaction conditions - Studied mechanistically the effects of surface active sites on nucleation and

growth dynamics of ALD high-k metal oxide by using SAMs as model with controllable surface chemistry

- Tested condition of SAM-assisted area-selected ALD on silicon bull Investigation of phase transition in Poly(N-isopropylacrylamide) (PNIPAM) films on

silicon surfaces for applications in controllable micro- nano- fluidic systems - Characterized thin Poly(N-isopropylacrylamide) (PNIPAM) film end-tethered on

surface and investigated the conformational changes during phase transition using in-situ FTIR spectroscopy

bull Modification and characterization of silicon surfaces using organic self-assembled

monolayers for applications in optimization of attachment of biomolecules to silicon surface and nanoelectronics - Fabricated silicon surface with a variety of SAMs through Si-O-Si or Si-C surface

linkages - Studied surface morphology and chemical properties of silicon surface modified

by SAMs - Analyzed chemical bonding and interfacial structure of SAMsSilicon - Developed novel surface chemistry to prepare high quality bio-reactive surface

for biotechnology Research Assistant (Advisor Dr Bernie Yurke) Bell Laboratories Lucent Technologies Murray Hill NJ Apr 2003 ~ Apr 2004 bull DNA Self-Assembly

- Designed DNA strands for formation of DNA nano-complexes - Assembled and Analyzed DNA complexes and imaged the resulting

nano-structures - Developed computer programs to assist designing and optimizing desired

nano-patterns

184

Research Assistant (Advisor Dr Bernie Yurke) California Institute of Technology Computation and Neural Systems Pasadena CA (Prof Eric Winfreersquos Lab) Jun 2003 bull DNA Self-Assembly and Algorithmic principles in biological systems

- Designed DNA strands to generate nano-patterns through DNA self-assembly - Utilized biochemistry techniques to prepare DNA samples and to form synthetic

nano-complexes quantified DNA samples using UV spectrometer formed complicated DNA building blocks to achieve nano-patterns in Polymerase chain reaction (PCR) machine checked structure formation using Gel electrophoreses imaged DNA self-assembled nano-patterns on mica surface using Atomic Force Microscopy (AFM)

- Assembled bio-inorganic nano-systems a periodic gold nano-particle arrays (with L~25nm) using the self-assembled DNA lattice as scaffold imaged the pattern using AFM

EXPERIMENT SKILLS Clean Room Fabrication bull Semiconductor wafer clean and processing bull Micro lithography Suss Coater ACS300 FLEXIFAB coater ASM-L Stepper

Nanospec 8000 film thickness system Suss MA200 Aligner OptiTrac CoaterDeveloper Amray 4200L SEM

Surface Characterization bull Fourier Transform Infrared Spectrometry (FTIR) using a variety of probing

geometries bull Scanning Probe Microscopy (SPM AFM) tapping amp contact mode (in air and in

liquids) bull Scanning Electron Microscopy (SEM) bull Rutherford Back-Scattering (RBS) bull X-Ray photoemission spectroscopy (XPS) bull UHV systems   ChemistryOrganic Polymer Synthesis bull Wet Chemical methods semiconductor sample cleaning (RCA Piranha HF) and

specialized sample handing in inert atmosphere using a glove box bull Functionlization of silicon surfaces with organic material (using both gas and liquid

phase methods) bull Chemistry for thin film deposition (CVD ALD) Computer Skills bull Computer Language C++FortranJava Matlab bull Scientific Software Origin Labview Latex bull Office application MS office 2000

185

bull Operating System UNIX Linux Windows XPNT200098 SUN Solaris on Sparc5Ultra30 workstations SGI R4400 Dell Precision workstation cluster

PUBLICATION bull Meng Li Min Dai Yves J Chabal ldquoIn-situ infrared spectroscopy of Atomic Layer

Deposition high-κ dielectrics on organic self assembled monolayersrdquo Applied Physics Letter 2007 (manuscript in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoSpectroscopic Signature of Self Assembled Monolayer SAMSilicon interfacerdquo 2007 (manuscripts in preparation)

bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFTIR study of Self Assembly of Aminopropyltriethoxysilane on Atomic Flat Hydrogen Terminated Silicon(111) surfacerdquo 2007 (manuscripts in preparation)

bull Guillaume Paumier Anne-Marie Gue Franccediloise Vinet Meng Li Yves J Chabal Alain Estegraveve Mehdi Djafari-Rouhani Jan Sudor ldquoDynamic control of electrokinetic flows on stimuli-responding Surafcerdquo 2007 Langmuir (Acceped )

bull Meng Li Xinlian Luo Qiuhe Peng Zou ZG Chou CK ldquoA new method to determine the thickness of spiral galaxies Apply to Galaxy M31rdquo Chinese Physics Letter 2000 17 (6) 466-468

PRESENTATIONS bull Meng Li Sandrine Rivillon Yves J Chabal ldquoFormation of Aminosilane

self-assembled monolayers on Hydrogen terminated Si(111) surfacerdquo 2006 20th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Alexandra Langner Sandrine Rivillon Yves J Chabal ldquoFTIR Study of Structure and Growth (3-Aminopropl) Triethoxysilane and Triethoxysilane Self Assembly on Silicon Investigated by FTIRrdquo 2005 19th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

bull Meng Li Bernie Yurke Yves J Chabal ldquo DNA templated nanofabricationrdquo 2004 18th Annual Symposium of Laboratory for Surface Modification (LSM) Rutgers University

PROFESSIONAL AFFILITIES bull Member of American Physics Societies (since 2005) bull Member of American Chemistry Societies (since 2006) REFERENCES Available upon request

  • CoverMeng-fromLatex
  • MengLi_Final_NoCover_10012007
Page 7: MODIFICATION OF SILICON BY SELF …
Page 8: MODIFICATION OF SILICON BY SELF …
Page 9: MODIFICATION OF SILICON BY SELF …
Page 10: MODIFICATION OF SILICON BY SELF …
Page 11: MODIFICATION OF SILICON BY SELF …
Page 12: MODIFICATION OF SILICON BY SELF …
Page 13: MODIFICATION OF SILICON BY SELF …
Page 14: MODIFICATION OF SILICON BY SELF …
Page 15: MODIFICATION OF SILICON BY SELF …
Page 16: MODIFICATION OF SILICON BY SELF …
Page 17: MODIFICATION OF SILICON BY SELF …
Page 18: MODIFICATION OF SILICON BY SELF …
Page 19: MODIFICATION OF SILICON BY SELF …
Page 20: MODIFICATION OF SILICON BY SELF …
Page 21: MODIFICATION OF SILICON BY SELF …
Page 22: MODIFICATION OF SILICON BY SELF …
Page 23: MODIFICATION OF SILICON BY SELF …
Page 24: MODIFICATION OF SILICON BY SELF …
Page 25: MODIFICATION OF SILICON BY SELF …
Page 26: MODIFICATION OF SILICON BY SELF …
Page 27: MODIFICATION OF SILICON BY SELF …
Page 28: MODIFICATION OF SILICON BY SELF …
Page 29: MODIFICATION OF SILICON BY SELF …
Page 30: MODIFICATION OF SILICON BY SELF …
Page 31: MODIFICATION OF SILICON BY SELF …
Page 32: MODIFICATION OF SILICON BY SELF …
Page 33: MODIFICATION OF SILICON BY SELF …
Page 34: MODIFICATION OF SILICON BY SELF …
Page 35: MODIFICATION OF SILICON BY SELF …
Page 36: MODIFICATION OF SILICON BY SELF …
Page 37: MODIFICATION OF SILICON BY SELF …
Page 38: MODIFICATION OF SILICON BY SELF …
Page 39: MODIFICATION OF SILICON BY SELF …
Page 40: MODIFICATION OF SILICON BY SELF …
Page 41: MODIFICATION OF SILICON BY SELF …
Page 42: MODIFICATION OF SILICON BY SELF …
Page 43: MODIFICATION OF SILICON BY SELF …
Page 44: MODIFICATION OF SILICON BY SELF …
Page 45: MODIFICATION OF SILICON BY SELF …
Page 46: MODIFICATION OF SILICON BY SELF …
Page 47: MODIFICATION OF SILICON BY SELF …
Page 48: MODIFICATION OF SILICON BY SELF …
Page 49: MODIFICATION OF SILICON BY SELF …
Page 50: MODIFICATION OF SILICON BY SELF …
Page 51: MODIFICATION OF SILICON BY SELF …
Page 52: MODIFICATION OF SILICON BY SELF …
Page 53: MODIFICATION OF SILICON BY SELF …
Page 54: MODIFICATION OF SILICON BY SELF …
Page 55: MODIFICATION OF SILICON BY SELF …
Page 56: MODIFICATION OF SILICON BY SELF …
Page 57: MODIFICATION OF SILICON BY SELF …
Page 58: MODIFICATION OF SILICON BY SELF …
Page 59: MODIFICATION OF SILICON BY SELF …
Page 60: MODIFICATION OF SILICON BY SELF …
Page 61: MODIFICATION OF SILICON BY SELF …
Page 62: MODIFICATION OF SILICON BY SELF …
Page 63: MODIFICATION OF SILICON BY SELF …
Page 64: MODIFICATION OF SILICON BY SELF …
Page 65: MODIFICATION OF SILICON BY SELF …
Page 66: MODIFICATION OF SILICON BY SELF …
Page 67: MODIFICATION OF SILICON BY SELF …
Page 68: MODIFICATION OF SILICON BY SELF …
Page 69: MODIFICATION OF SILICON BY SELF …
Page 70: MODIFICATION OF SILICON BY SELF …
Page 71: MODIFICATION OF SILICON BY SELF …
Page 72: MODIFICATION OF SILICON BY SELF …
Page 73: MODIFICATION OF SILICON BY SELF …
Page 74: MODIFICATION OF SILICON BY SELF …
Page 75: MODIFICATION OF SILICON BY SELF …
Page 76: MODIFICATION OF SILICON BY SELF …
Page 77: MODIFICATION OF SILICON BY SELF …
Page 78: MODIFICATION OF SILICON BY SELF …
Page 79: MODIFICATION OF SILICON BY SELF …
Page 80: MODIFICATION OF SILICON BY SELF …
Page 81: MODIFICATION OF SILICON BY SELF …
Page 82: MODIFICATION OF SILICON BY SELF …
Page 83: MODIFICATION OF SILICON BY SELF …
Page 84: MODIFICATION OF SILICON BY SELF …
Page 85: MODIFICATION OF SILICON BY SELF …
Page 86: MODIFICATION OF SILICON BY SELF …
Page 87: MODIFICATION OF SILICON BY SELF …
Page 88: MODIFICATION OF SILICON BY SELF …
Page 89: MODIFICATION OF SILICON BY SELF …
Page 90: MODIFICATION OF SILICON BY SELF …
Page 91: MODIFICATION OF SILICON BY SELF …
Page 92: MODIFICATION OF SILICON BY SELF …
Page 93: MODIFICATION OF SILICON BY SELF …
Page 94: MODIFICATION OF SILICON BY SELF …
Page 95: MODIFICATION OF SILICON BY SELF …
Page 96: MODIFICATION OF SILICON BY SELF …
Page 97: MODIFICATION OF SILICON BY SELF …
Page 98: MODIFICATION OF SILICON BY SELF …
Page 99: MODIFICATION OF SILICON BY SELF …
Page 100: MODIFICATION OF SILICON BY SELF …
Page 101: MODIFICATION OF SILICON BY SELF …
Page 102: MODIFICATION OF SILICON BY SELF …
Page 103: MODIFICATION OF SILICON BY SELF …
Page 104: MODIFICATION OF SILICON BY SELF …
Page 105: MODIFICATION OF SILICON BY SELF …
Page 106: MODIFICATION OF SILICON BY SELF …
Page 107: MODIFICATION OF SILICON BY SELF …
Page 108: MODIFICATION OF SILICON BY SELF …
Page 109: MODIFICATION OF SILICON BY SELF …
Page 110: MODIFICATION OF SILICON BY SELF …
Page 111: MODIFICATION OF SILICON BY SELF …
Page 112: MODIFICATION OF SILICON BY SELF …
Page 113: MODIFICATION OF SILICON BY SELF …
Page 114: MODIFICATION OF SILICON BY SELF …
Page 115: MODIFICATION OF SILICON BY SELF …
Page 116: MODIFICATION OF SILICON BY SELF …
Page 117: MODIFICATION OF SILICON BY SELF …
Page 118: MODIFICATION OF SILICON BY SELF …
Page 119: MODIFICATION OF SILICON BY SELF …
Page 120: MODIFICATION OF SILICON BY SELF …
Page 121: MODIFICATION OF SILICON BY SELF …
Page 122: MODIFICATION OF SILICON BY SELF …
Page 123: MODIFICATION OF SILICON BY SELF …
Page 124: MODIFICATION OF SILICON BY SELF …
Page 125: MODIFICATION OF SILICON BY SELF …
Page 126: MODIFICATION OF SILICON BY SELF …
Page 127: MODIFICATION OF SILICON BY SELF …
Page 128: MODIFICATION OF SILICON BY SELF …
Page 129: MODIFICATION OF SILICON BY SELF …
Page 130: MODIFICATION OF SILICON BY SELF …
Page 131: MODIFICATION OF SILICON BY SELF …
Page 132: MODIFICATION OF SILICON BY SELF …
Page 133: MODIFICATION OF SILICON BY SELF …
Page 134: MODIFICATION OF SILICON BY SELF …
Page 135: MODIFICATION OF SILICON BY SELF …
Page 136: MODIFICATION OF SILICON BY SELF …
Page 137: MODIFICATION OF SILICON BY SELF …
Page 138: MODIFICATION OF SILICON BY SELF …
Page 139: MODIFICATION OF SILICON BY SELF …
Page 140: MODIFICATION OF SILICON BY SELF …
Page 141: MODIFICATION OF SILICON BY SELF …
Page 142: MODIFICATION OF SILICON BY SELF …
Page 143: MODIFICATION OF SILICON BY SELF …
Page 144: MODIFICATION OF SILICON BY SELF …
Page 145: MODIFICATION OF SILICON BY SELF …
Page 146: MODIFICATION OF SILICON BY SELF …
Page 147: MODIFICATION OF SILICON BY SELF …
Page 148: MODIFICATION OF SILICON BY SELF …
Page 149: MODIFICATION OF SILICON BY SELF …
Page 150: MODIFICATION OF SILICON BY SELF …
Page 151: MODIFICATION OF SILICON BY SELF …
Page 152: MODIFICATION OF SILICON BY SELF …
Page 153: MODIFICATION OF SILICON BY SELF …
Page 154: MODIFICATION OF SILICON BY SELF …
Page 155: MODIFICATION OF SILICON BY SELF …
Page 156: MODIFICATION OF SILICON BY SELF …
Page 157: MODIFICATION OF SILICON BY SELF …
Page 158: MODIFICATION OF SILICON BY SELF …
Page 159: MODIFICATION OF SILICON BY SELF …
Page 160: MODIFICATION OF SILICON BY SELF …
Page 161: MODIFICATION OF SILICON BY SELF …
Page 162: MODIFICATION OF SILICON BY SELF …
Page 163: MODIFICATION OF SILICON BY SELF …
Page 164: MODIFICATION OF SILICON BY SELF …
Page 165: MODIFICATION OF SILICON BY SELF …
Page 166: MODIFICATION OF SILICON BY SELF …
Page 167: MODIFICATION OF SILICON BY SELF …
Page 168: MODIFICATION OF SILICON BY SELF …
Page 169: MODIFICATION OF SILICON BY SELF …
Page 170: MODIFICATION OF SILICON BY SELF …
Page 171: MODIFICATION OF SILICON BY SELF …
Page 172: MODIFICATION OF SILICON BY SELF …
Page 173: MODIFICATION OF SILICON BY SELF …
Page 174: MODIFICATION OF SILICON BY SELF …
Page 175: MODIFICATION OF SILICON BY SELF …
Page 176: MODIFICATION OF SILICON BY SELF …
Page 177: MODIFICATION OF SILICON BY SELF …
Page 178: MODIFICATION OF SILICON BY SELF …
Page 179: MODIFICATION OF SILICON BY SELF …
Page 180: MODIFICATION OF SILICON BY SELF …
Page 181: MODIFICATION OF SILICON BY SELF …
Page 182: MODIFICATION OF SILICON BY SELF …
Page 183: MODIFICATION OF SILICON BY SELF …
Page 184: MODIFICATION OF SILICON BY SELF …
Page 185: MODIFICATION OF SILICON BY SELF …
Page 186: MODIFICATION OF SILICON BY SELF …
Page 187: MODIFICATION OF SILICON BY SELF …
Page 188: MODIFICATION OF SILICON BY SELF …
Page 189: MODIFICATION OF SILICON BY SELF …
Page 190: MODIFICATION OF SILICON BY SELF …
Page 191: MODIFICATION OF SILICON BY SELF …
Page 192: MODIFICATION OF SILICON BY SELF …
Page 193: MODIFICATION OF SILICON BY SELF …
Page 194: MODIFICATION OF SILICON BY SELF …
Page 195: MODIFICATION OF SILICON BY SELF …