mems testing project

32
Project MICRO ELECTRO MECHANICAL SYSTEM (MEMS) TESTING Digital Systems Testing EE8505 Prepared by: ATUL DAVE Student Id : 500530985 Prepared on: 25/03/2013 Prepared for: PROF. GUERKOV

Upload: atul-dave

Post on 01-Dec-2015

45 views

Category:

Documents


6 download

DESCRIPTION

this project may be useful to the students for their submission.

TRANSCRIPT

Page 1: MEMS Testing Project

Project

MICRO ELECTRO MECHANICAL SYSTEM (MEMS) TESTING

Digital Systems Testing

EE8505

Prepared by: ATUL DAVE Student Id : 500530985

Prepared on: 25/03/2013Prepared for: PROF. GUERKOV

Page 2: MEMS Testing Project

MICRO ELECTROMECHANICAL SYSTEM (MEMS) TESTING:

INTRODUCTIONHuman knowledge is doubling every 10 years. In the past decade, more scientific knowledge has been created than in all human history. This has resulted in a growing artificial world, where we are becoming more and more dependent on electronics, computers and computing systems.

Contents

1 Introduction........................................................... 1-22 Mems and Applications.......................................... 3-42.1 Open Problems in MEMS Testing ...................... 5-72.2 Failure modes and Analysis................................ 82.3 Mems Devices.................................................. 92.4 Resonator Application..................................... 10 2.5 Test Generation for MEMS................................ 122.6 Fault Modeling and Simulation of MEMS........ 143 CARAMEL……………………………………… 153.1 Comb Accelerometer....................................... 163.2 Micro fluidic Bio Chip.................................... 173.3 Continuous Flow Bio Chip................................ 18-194 Concluding Remarks ...........................…………. 205. References…………………………………........ 21

ABSTRACT

Micro electro mechanical Systems (MEMS) has existed as a technical field since the early 1980s. Past research has primarily focused on developing new process technologies to support specific

Page 3: MEMS Testing Project

applications. As stable process technologies have emerged, many research efforts have shifted towards the design of systems containing hundreds or even thousands of mixed-domain components. As a result, there is a growing need for CAD tools that shorten the design and development time for MEMS-based products. Success in this area depends greatly on new design methods that allow complex micro systems of mechanical, electrical, thermal, fluidic, and optical components to be designed and integrated. MEMS layout synthesis allows rapid design optimization and layout generation from engineering performance specifications. In addition, CAD tools capable of assessing and preventing faulty MEMS behaviour are also necessary to ensure the end quality of complex MEMS-based products. Here, we describe the integration of our MEMS synthesis and test tools to meet the functional and test objective through synthesis. Both current and expected applications of MEMS tend to be in sensing and actuation applications where the device will lay a mission critical role. Examples here are pressure sensors for aircraft engine control, vehicle braking, vessel pressure in reactors and medical implants. These devices will also tend to have mechatronic interfaces or at the least, non-electrical inputs and limited access for test. Production test, self test and on-line data validation will all become critical specifications for these devices. To achieve fault simulation and testability analysis in these devices, it is necessary to model both the mechatronic and electrical elements within the same simulation environment to ensure the efficient injection and analysis of faults. In most cases, the fault simulation process must be carried out in closed loop configuration to allow non ideologies that can affect fault coverage such as process variations, noise, mode coupling and resolution limitations to be handled correctly. FMEA+ was first proposed by Olbrich [I]. The technique relies on integrating top down failure analysis and bottom-up fault simulation. The top-down technique, Failure mode and effect analysis (FMEA) [2], is well accepted by the system design industries whereas fault simulation tends to be restricted to low level components. To illustrate the need for the integration of the two methods, a brief analysis of the types of faults that can occur in MEMS devices reveals the following categories:

- Local defects - Parameters out of tolerance- Wear (especially in devices with movable parts)- Environmental hazards- Problems due to imperfection in the design process (i.e. design validation poor compared to mixed-signal designs) - Mode coupling / structure oscillation in incorrect modes- System level faults (for example crosstalk between signals of different modules)Micro electromechanical systems (MEMS) are miniature electromechanical sensor and actuator systems developed from the mature batch-fabricated processes of VLSI technologies. Projected growth in the MEMS market requires significant advances in CAD and manufacturing for MEMS.These advances must be accompanied with testing methodologies that ensure both high quality and Reliability. Effectiveness of any testing methodology depends on the accuracy of the fault models Applied. So, the first step towards development of comprehensive testing methodology involves Generating accurate fault models for MEMS creating accurate fault models requires a

Page 4: MEMS Testing Project

complete knowledge of all the possible failure mechanisms in MEMS A systematic study of the impact of these failure mechanism so on the final functionality of MEMS devices provides important guidelines for MEMS fault model generation.

A CAD tool called CARAMEL contamination And Reliability Analysis of Micro electro mechanical Layout) has been developed that can be used for fault model generation for MEMS. CARAMEL is based on the IC contamination analysis tool CODEF and is capable of analyzing the impact of contamination particles on the geometrical and material properties of micro electromechanical systems. Output generated by CARAMEL indicates that a wide range of defective structures are possible due to the presence of particulate contaminations. Moreover, electromechanical simulations of CARAMEL in ’such representations of defective layout has revealed that a wide variety of faulty behaviors are associated with these defects.

Several hundred contamination simulations were performed using CARAMEL on the surface Micro machined comb-drive resonator. The results obtained were classified under three broad fault classes: catastrophic, parametric, and harmless. The defect statistics generated by CARAMEL indicates the comb drive as the most defect-prone region of the micro resonator. Most of the comb drive defects resulted in the catastrophic failures. Contaminations introduced during Poly O deposition caused the maximum number of faults found in the micro resonator. Such defect-to-fault mappings provide an essential tool for the MEMS fault model generation process. Simulation results presented in this report confirm that CARAMEL can be used as an effective tool for development of realistic fault models for MEMS.

MEMS devices are miniature electromechanical sensors and actuators fabricated using VLSI processing techniques. Typical sizes for MEMS devices range from nanometers to millimeters (100 nm to 1000 μm).

MEMS enhances realization of system-on-chip (SOC) by integration of mixed domain technologies such as electrical, optical, mechanical, thermal, and fluidics. Typical examples for commercial MEMS devices include Analog Devices’ ADXL series accelerometers, Free Scale Semiconductor’s pressure sensors and accelerometers, Texas Instruments’ digital light processing (DLP) displays, and Knowles Electronics’ SiSonic MEMS microphone.

Micro fluidics-based biochips, also referred to as lab-on-a-chip, are replacing cumbersome and expensive laboratory equipment for applications such as high-throughput sequencing, parallel immunoassays, protein crystallization, blood chemistry for clinical diagnostics, and environmental toxicity monitoring.

Page 5: MEMS Testing Project

To ensure the testability and reliability of these MEMS-based SOCs, MEMS devices need to be thoroughly tested, particularly when used for safety-critical applications such as in the automotive and healthcare industry. Therefore, there is a pressing need for design for testability (DFT) and built-in self-test (BIST) of MEMS. MEMS devices necessitate special considerations during fabrication processes such as handling, dicing, testing, and packaging.

The micromechanical parts need to be protected from shock and vibration during transport and packaging. Extreme care must be taken to avoid particle contamination at various processing steps involved in MEMS fabrication. As a common practice in MEMS industry, the backside of a fully processed wafer is attached to an adhesive plastic film and then mounted in a rigid frame for dicing at the wafer-processing facility. MEMS devices often require packaging before dicingthat is, 0-level packaging at the wafer level by either wafer-to-wafer bonding or local bonding of miniature caps (e.g., Si or glass) over the MEMS structure using a hermetic sealing ring.

Page 6: MEMS Testing Project

MEMS test methods and instrumentation vary depending on whetherThe testing is performed at the wafer level (i.e., unpackaged die) or on packaged devices. Wafer-level testing is carried out using precision-controlled wafer probers that step from die to die on the wafer, making electrical contact using needle probes. Fully packaged MEMS devices can be tested with the electrical and non-electrical inputs

required for the sensor to function. A variety of environmental test methods commonly used for testing packaged ICs can be directly employed for testing packaged MEMS devices.

Many standard tests are common to both ICs and MEMS, such as thermal cycling, high temperature storage, thermal shock, and high humidity. However, many MEMS packages need to fulfill additional specifications.

Test Instrumentation for testing MEMS MEMS encompass a wide variety of applications such as inertial sensors (accelerometers and

gyroscopes), RF MEMS, optical MEMS, and bio or fluidic MEMS. Test instrumentation depends on the specific type of MEMS device and the desired

performance characteristics. For example, inertial MEMS sensors require different test instrumentation than RF MEMS.

Functionality Testing vs. Reliability/Failure Testing MEMS testing can be categorized as (1) functionality and performance testing and (2)

reliability/failure testing. In functional testing, the characteristic performance parameters are measured and compared

against benchmark specifications to verify the intended operation of the MEMS device. In reliability/failure testing, the performance degradation over sustained operation or shelf life and eventual failure of the device are investigated. Quite often the borderline between functional testing and reliability testing is not always clear. Have emerged as a successful technology by utilizing the existing infrastructure of the integrated circuit (IC) industry.

Electrical Test Method Electrical tests are one of the most important methods employed to characterize MEMS. A typical electrical test setup consists of a probe station interfaced with the required test instrumentation. A wide range of electrical test equipment used for VLSI testing is commonly used to perform electrical characterization of MEMS devices.Typical electrical test instrumentation includes current, voltage, and resistance measurement systems, capacitance-voltage measurement systems, impedance analyzers for low-frequency characterization, network analyzers for high-frequency characterization, and signal analyzers. Probe lengths and wire types (shielded and unshielded) must also be carefully considered. For instance, resistance measurements must include a means for reducing contact errors. Capacitance measurements need to take into account the stray capacitance in test lines.

Electrical Test A typical experimental setup used for testing an electro statically actuated MEMS relay is

shown below. The setup shown consists of an Agilent 33220A function generator, Krohnit 7600 Wideband Amplifier, HP 54501A Oscilloscope, MM8060 Micromanipulator Probe Station, and HP3468A 4-wire Multi meter.

The basic test setup described here can be used to test a variety of actuators including electrostatic, thermal, and piezoelectric

Page 7: MEMS Testing Project

Optical Test Methods MEMS actuators typically include mechanical motion associated with the electrical signals. Optical profilometers, such as an optical microscope, confocal microscope, optical

interferometers, and laser Doppler vibrometer, are useful for making static and dynamic measurements of MEMS devices.

An optical microscope equipped with high-resolution objectives and accurate graticule can be used to measure MEMS features in a two dimensional plane view.

Modern confocal microscopes employ low-cost lasers and computers to scan a thin slice through the specimen.

The optical interferometers make use of white light (e.g., a sodium lamp) or of coherent monochromatic light (a laser light). Optical interferometers are useful for measuring noncontact three-dimensional Profiles of MEMS devices.

Examples of optical interferometers include Wyko series manufactured by Veeco Instruments, NewView 6000 series manufactured by Zygo, PhotoMap 3-D profilometers by Fogale nanotech, and the Xi-100 developed by Ambios Technology

Page 8: MEMS Testing Project

Optical Test Setup – Laser Doppler Vibrometer (LDV) Laser Doppler vibrometry (LDV) is based on the modulation of laser interference fringes

caused by motion of the device under test (DUT). The fringe pattern in a Doppler vibrometer is moving at a rate proportional to the device motion.

By measuring the time rate of change in distance between successive fringes, a vibrometer can measure displacement as well as velocity. The direction of motion can be determined by observing the Doppler Effect on the modulation frequency. LDV is useful for measuring transient and steady-state responses of MEMS devices.

A wide variety of LDVs for MEMS applications are available such as Polytec’s MSA-400 Micro system Analyzer, which uses white light interferometry for static surface topography, laser Doppler vibrometry for measuring out-of-plane vibrations, and stroboscopic video microscopy for measuring in-plane motion.

Page 9: MEMS Testing Project

Failure Modes and Analysis MEMS have specific failure modes, such as fatigue, wear, fracture, and stiction. Several kinds of test structures are commonly used to study materials related reliability issues such as fatigue. Typically, samples with a preformed notch are used, such that the growth of

a crack during functioning can be studied, either by direct optical observation or by a study of the influence on the Eigen-frequency of a beam or similar structure, for example

Surface roughness can affect issues such as stiction, wear, contact degradation, and contact resistance. Contact profilometers such as Dektak stylus profilers can be used to measure the surface roughness and the thickness of thin films. Atomic force microscopy (AFM) is a useful tool for measuring surface roughness. It should

be pointed out that the roughness of the top surface of a moving MEMS part is not necessarily the same as the roughness of the bottom surface.

To measure the bottom side roughness, the moving part can simply be removed destructively or in some cases even cut with a focused ion beam (FIB) and examined. An AFM can also be used to obtain information on mechanical parameters, contact resistance as a function of

force or even tribological information such as adhesion forces. Also nanoindentor systems are frequently used to study MEMS: they can provide information on the Young's modulus of materials by physically indenting them, and they can also be used to obtain force-displacement curves of moving parts. Several failure analysis (FA) techniques that are conventionally used for chips and packages can also be used for MEMS. Especially useful is the scanning electron microscope (SEM) for inspection and the focused ion beam (FIB) to make local cross sections. Additional techniques include transmission electron microscopy (TEM), photon emission microscopy (PEM), scanning acoustic microscopy (SAM), infrared inicroscopy (In), x-ray, and, Raman spectroscopy. They can be modeled as second order systems consisting of: proof mass spring damping

System dynamics modeled by: where x1(t) is the input; x2(t) is the output

Page 10: MEMS Testing Project

RF MEMS Devices MEMS employed in radio-frequency (RF) applications are called RF MEMS. These

represent a new class of devices and components that exhibit low insertion loss, high isolation, high Q, small size and low power consumption; and enable new system capabilities.

The application of MEMS in RF technology can be broadly classified into two categories: active (moving) devices, which involve mechanical motion (e.g., RF MEMS switch, RF MEMS capacitors, RF MEMS resonators, etc.) and static (non-moving) components (e.g., micro machined transmission lines, resonators, etc.).

RF MEMS Switches MEMS relays are more preferable than other conventional semiconductor based switching

devices such as field effect transistors, due to low-loss, low power consumption, absence of intermodulation distortion and broad-band operation from DC to the microwave frequency range.

An ohmic contact switch uses a metal-to-metal contact between the two electrodes for signal transmission.

Ohmic Contact Switches The operating voltage required to obtain electrical continuity can be obtained from measuring

the R-V characteristics using the experimental setup discussed in Electrical Testing. RF characteristics of RF MEMS switches are obtained by measuring the S-parametersin both

the ON and OFF states of the switch. S-parameters are most commonly used for electrical characterization of devices, components and networks operating at RF and microwave frequencies.

Capacitive Contact Switches In a capacitive contact switch, a thin dielectric layer is present between the two electrodes. Capacitive contact RF MEMS switches can be characterized by measuring the capacitance-

voltage (C-V) characteristics. A C-V meter or an impedance analyzer equipped with a bias-T can be used in conjunction with a probe station to obtain C-V characteristics. The pull-down voltage can be determined from the C-V characteristics.

RF characteristics of RF MEMS switches are obtained by measuring the S-parameters using a network analyzer

Reliability of RF MEMS The reliability of MEMS switches has been a major concern that limits the use of MEMS in

real world applications. Ohmic contact MEMS switch reliability issues, such as failure due to stiction and contact degradation, have been observed to be the key failure modes.

In capacitive contact type MEMS switches, reliability issues such as stiction due to charge accumulation in the dielectric layer and capacitance degradation with actuation are commonly encountered failure modes.

A low frequency electrical test setup for reliability testing of RF MEMS switches is shown in the figure below. The setup consists of two signal generators, a filter, and a demodulator. The RF MEMS switches are driven by an actuation signal from Generator 1. A low frequency RF signal from Generator 2 is superimposed on the actuation signal.

The modulated signal is detected using a demodulator to obtain switch characteristics such as pull-in voltage, rise-time, fall-time, and capacitance change for capacitive switches or contact resistance change for ohmic switches. Reliability of switches can be quantified by measuring the drift in any of these parameters.

Page 11: MEMS Testing Project

Resonators A mechanical filter is composed of multiple coupled lumped mechanical resonators. Mechanical filters transform electrical signals into mechanical energy, perform a filtering function, and then transform the remaining output mechanical energy back into an electrical signal. MEMS technology has been applied to the miniaturization of mechanical resonators and

filters. MEMS resonators and filters are characterized by measuring the frequency response

characteristics. The performance parameters such as the resonant frequency, Q-factor and bandwidth are obtained from the measured frequency response characteristics. The equivalent circuit parameters can be extracted from the measured frequency response characteristics.

Resonators – Disk Resonator Example A MEMS disk resonator in a one-port configuration is shown in Figure (a). The contourmode disk resonator consists of a resonating circular disk, two input electrodes, and a bottom output

bias electrode. A typical test setup for testing a one-port contour-mode disk RF MEMS resonator is shown

in Figure (a). The required test instrumentation includes a network analyzer, a DC voltage source, a bias-T and a vacuum chamber.

The measured transmission spectrum obtained from a one-port measurement of a 156 MHz disk resonator is shown in Figure (b). From the measured results, the equivalent circuit model (shown in Figure (c)) parameters have been extracted to be Rx = 22.287 kW, Lx = 70.15 mH, Cx=14.793 aF, and Co = 57.78 fF.

MEMS Pressure Sensor

Page 12: MEMS Testing Project

Pressure sensors are one of the most successful MEMS devices with a wide-range of applications in automotive systems, industrial process control, environmental monitoring, medical diagnostics and monitoring.

A MEMS pressure sensor consists of a mechanical membrane present at the interface between a sealed cavity and the external environment. The pressure difference between the sealed cavity and the surrounding environment produces a deflection of the diaphragm.

Pressure sensors are characterized by measuring the output response for various applied pressures. The measurement setup for testing capacitive pressure sensors is shown in the Figure below.

The setup consists of two components: 1) a custom made pressure chamber which can withstand large pressures, and 2) the signal conditioning circuitry. The pressure chamber is made of Teflon with dimensions of 9.5_× 8.5_× 3_. A pressure gauge is used to monitor the pressure inside the chamber. The pressure sensor is placed inside the chamber. When the pressure inside the chamber exceeds the atmospheric pressure, the movable diaphragm starts deflecting downwards, thereby increasing the capacitance between the top and bottom electrodes. The signal conditioning board (MS3110BDPC from Micro sensors Inc.) outputs a voltage corresponding to a change in the sensor capacitance.

Dynamic MEMS Devices Dynamic MEMS devices are micro machines that possess one or more members that respond to an applied force by acceleration, resulting in mechanical motion. The applied force could be internally generated, such as the force resulting from a micro actuator, or externally generated, such as the force resulting from interaction with the environment. A number of MEMS sensors can be accurately described as dynamic MEMS devices, including microphones, accelerometers, and gyroscopes.Test Stimuli for MEMS BIST

Page 13: MEMS Testing Project

Diversity of stimuli for MEMS devices acceleration pressure heat chemical concentration, etc. In most cases it is not convenient to generate real input test stimuli for MEMS devices. Alternative test stimuli (such as electrical voltage) which are somewhat equivalent, but easier to generate, will be used for MEMS BIST. MEMS BIST: using voltage-induced electrostatic force Example: in-field BIST of ADXL comb accelerometers

Electrostatic force induced by self-test voltage is used to mimic the effect of input acceleration. Calibration needed, not good for manufacturing test.MEMS BIST: using electrically induced pneumatic actuation Example: self-testing of a piezo resistive pressure sensor

Pulse voltage applied to resistor heater embedded in cavity The air inside the cavity is heated: air pressure increased Output response sensed by piezo resistive gauge in the membrane and compared with good device response

Page 14: MEMS Testing Project

MEMS BIST: using electrically induced resistor heating to mimic thermal radiation input of infrared imager

Electrical voltage applied to heating resistor on suspended membrane of each pixel Membrane is heated up as by incident infrared radiation in normal operationMEMS BIST: Oscillation-based Test Methodology (OTM)Measuring indirect parameters was demonstrated for a MEMS magnetometer Direct parameters (e.g., sensitivity) are effective to verify the device function, but not always easy to measure. Electrically induced Lorentz force in magnetic field is used as test stimuli. The DUT is reconfigured into an oscillating device with a feedback circuit. Some indirect parameters (e.g., oscillation frequency and amplitude) which are easier to observe, are measured for testing the MEMS device. Defect leading to a structure asymmetry of the device.Overview of DFT and BIST for MEMSMEMS BIST: Symmetry testing based on device structure symmetry.Most MEMS devices have certain degree of structure symmetry (e.g., left-right, top-bottom or rotation symmetry). Symmetry BIST is effective in detecting local defects which change the device symmetry. No calibration needed, can be used for manufacturing test. Example: symmetry BIST for a pressure sensor with internal redundancy [Rosing 2000a] The movable shuttle is activated twice: first toward left and then toward right. The output responses from both activations are compared. Any difference indicates the existence of a local defect leading to a structure asymmetry of the deviceSymmetry BIST for CMOS MEMS accelerometers [Deb 2002] Movable shuttle of the accelerometer is divided into two conductors which are physically connected by an insulator layer while electrically insulated from each other. By comparing the voltage outputs from both conductors of the movable shuttle, structure asymmetry caused by local, hard-to-detect defects is detected. Symmetry BIST that divides fixed instead of movable parts of symmetrical capacitive MEMS devices [Xiong 2005a]. Good for MEMS devices (e.g., ADXL accelerometers, comb resonator) in which the movable parts are not divided.MEMS BIST: Pseudo-random MEMS BIST [Mir 2006]

Page 15: MEMS Testing Project

Voltage pulses applied to a heating resistor on the cantilever. The cantilever deflects due to the induced heat. Deflection measured by piezo resistor Wheatstone Bridge in anchor. Pseudo-random maximum-length binary sequences are generated byLinear-feedback-shift-registers (LFSRs). The output bridge voltage is converted to digital values and analyzed forInput-output cross-correlation function (CCF). Test signature compared with expected values for Go/No-Go decision.MEMS BIST Example Accelerometer is used to explain basic idea of MEMS BIST, because it is widely used in industry. ADXL series, such as ADXL190, ADXL 330 of Analog Devices, all implemented BIST. A voltage Vs activates self-test pin, an electrostatic force is generated and results in about 20% of full-scale acceleration. A voltage change can be observed from output pin. This BIST technique can be used for in-field testing where external test equipment are unavailable. BIST for accelerometers is used to discuss basic working principles of MEMS BIST.MEMS BIST: how to generate test stimulus? How to analyze output response? Most BIST methods for accelerometers generate test stimuli using electrostatic input, thermal input, or real acceleration input, or pseudo-random input. Test response w.r.t. the actuation is measured using a sensing circuit and compared with expected response. This discussion is mainly focused on surface micro machined comb accelerometers due to its popularity in industry.MEMS Fault Modeling and Simulation Carnegie Mellon University (USA) CARAMEL (contamination and reliability analysis of micro-electromechanical layout) [Kolpekwar 1998a] Lancaster University (United Kingdom) FMEA (failure modes and effect analysis) approach [Rosing 2000a] Inductive fault analysis [Shen 1985] TIMA (France) Failure mechanisms and fault classes for CMOS MEMS [Castillejo 1998]Carnegie Mellon Approach Fault analysis method was developed as a tool called CARAMEL (contamination and reliability analysis of microelectromechanical layout).

Page 16: MEMS Testing Project

In CARAMEL, a defective MEMS structure is represented by a 3-D representation, which is then extracted to mesh netlist for mechanical simulation. Faults considered include: stiction for ADXL75, particulate contamination for microresonator, vertical stiction, foreign particles, etch variation for resonators and accelerometers. Effects of these faults to resonant frequency was also identified.Lancaster University Approach This technique integrates qualitative analysis and quantitative fault simulation to generate realistic faults for MEMS transducers. Industrial failure modes of sensor/actuator are analyzed and simulated by inductive fault analysis (IFA) and finite element simulation. Analog and mixed-signals are also simulated using inductive fault analysis (defect-related faults) and process variation analysis (parametric faults). Faults are then described by a behavioural model for test purpose. Major faults considered: local defects, global and local parameters out of tolerance, wear, environmental hazards, and problems from imperfection in design process.TIMA Approach Instead of using IFA, fabrication process of MEMS is analyzed to determine realistic defects or failure mechanisms. Failure mechanisms are divided into those occurred in CMOS process, and those occurred in micromachining process. Defects are classified into gauge (e.g., sending circuit) faults and microstructure faults. Each class is further divided into catastrophic faults and parametric faults. Gauge faults: shorts, opens, or changes in width, length and metal resistivity. Microstructure faults: break-around-gauge, stiction, non released microstructure, asymmetrical microstructure, or change of Young’s modulus.BIST Structure of Comb Accelerometer Simplified comb accelerometer structure for BIST functions M1-M8: movable fingers Ms: central mass D1-D8: fixed driving fingers S1-S8: fixed sensing fingersTest Stimulus Generation Test stimulus generation: use electrostatic force Fd to mimic the effect of inertial force. Voltage Vd applied to fixed driving fingers {D1, D3, D5, D7} Nominal voltage Vnom applied to Ms and {D2, D4, D6, D8}

Induced electrostatic force Fd on movable mass Ms: Displacement of mass x=Fd /k Measure the resulted differential capacitance change and compare with expected goodDevice valueNormal operation mode Modulation voltage Vmp=V0sqrt(ωt) applied to {S1, S3, S5, S7} Modulation voltage Vmn=-V0sqrt(ωt) applied to {S2, S4, S6, S8} Input acceleration a results in displacement of movable mass x=-Ms·a/k The voltage in the movable massV Ms=(x/d0)V0 sqr(ῳt) Measuring the voltage level VMs in the movable mass, we know the value of displacement x, hence the acceleration a.

Page 17: MEMS Testing Project

Comb Accelerometer: Symmetry BIST [Deb 2002] Movable mass is divided into two (left and right) equal conductors connected by an insulator layer. Movable mass activated by electrostatic force as in sensitivity BIST Modulation voltage Vmp=V0sqrt(ωt) applied to {S1, S3, S5, S7} Modulation voltage Vmn=-V0sqrt(ωt) applied to {S2, S4, S6, S8} The difference between voltage Vs1 from left movable fingers {M2, M3} and voltage Vs2 from right movable fingers {M6, M7} is sensed by a differential amplifier.

Any local defect changing device left-right symmetry results in difference between Vs1 and Vs2 and will be detected.Comb Accelerometer: Symmetry BIST [Xiong 2005a] For comb accelerometers in which the movable mass is not divided (e.g., ADXL accelerometers), symmetry BIST needs to be implemented in a different way [Xiong 2005a] Movable mass activated by electrostatic force as in sensitivity BIST Modulation voltage Vmp=V0sqrt (ωt) applied to {S1, S5} Modulation voltage Vmn=-V0sqrt (ωt) applied to {S3, S7} Due to device symmetry, capacitance C1 between {S1, S5} and {M2, M3} should always equal to capacitance C2 between {S3, S7} and {M6, M7}.Hence, for good device, VMs=0.

Any local defect changing device left right symmetry results in non-zero VMs and will be detected. It divides fixed instead of movable capacitance plates.

Page 18: MEMS Testing Project

Motivation for Microfluidic Biochips:

GoalCarry out biochemical laboratory experiments on a microchip Advantages Higher throughput Minimal human intervention Smaller sample/reagent consumption Higher sensitivity Increased productivityApplications of Biochips: Clinical diagnostics, e.g., healthcare for premature infants, point-of-care diagnosis of diseases “Bio-smoke alarm”: environmental monitoring Massive parallel DNA analysis, automated drug discovery, protein crystallizationRobust test techniques needed: Outcome of biochemical results must be reliable Testing must be low-cost: disposable devices ($1/chip)

Page 19: MEMS Testing Project

Electrical Detection Mechanism: Minimally invasive Easy to implement

(alleviate the need for external devices) Fault effect should be unambiguous Electrically control and track test stimuli droplets:

• If there is a droplet, output=1; otherwise, output=0• Fault-free: there is a droplet between sink electrodes •Faulty: there is no droplet.Continuous-flow biochips: Permanently etched micro channels, micro pumps and micro valves Digital micro fluidic biochips: Manipulation of liquids as discrete droplets

Page 20: MEMS Testing Project

Advantages No bulky liquid pumps are required Electro wetting uses microwatts of power Can be easily battery powered Standard low-cost fabrication methods can be used Continuous-flow systems use expensive lithographic techniques to create channels Digital micro fluidic chips are possible using solely PCB processes

Test Planning Methods: Euler-path based testing Manipulate single test droplet to transverse the microfluidic array

Page 21: MEMS Testing Project

Testing and Diagnosis: Summary “Edge-dependent” nature of some defects Testing based on the Hamiltonian path is not sufficient Formulate the test planning problem in terms of the Euler circuit and Euler path problems Key idea: Model array as an undirected graph; use Euler Theorem to find an efficient test flow Path

Concluding Remarks:A majority of micro electro mechanical systems (MEMS) devices are inherently mechanical in nature and therefore require some special considerations during various manufacturing stages and testing. This chapter discussed some of the important handling considerations during dicing, packaging, and testing. A wide variety of test methods, such as electrical, optical, mechanical, and environmental, for characterization of various MEMS devices. This is reviewed with the instrumentation, typical setup, and important characteristics for testing a wide variety of MEMS devices, including accelerometers, gyroscopes, humidity sensors, RF MEMS, optical MEMS, pressure sensors, and microphones Micro fluidics-based biochips have a great potential for replacing cumbersome and expensive laboratory equipment. Test techniques for digital micro fluidic chips have been discussed.

Most BIST techniques estimate the mechanical movement of the microstructure by performingElectrical measurements. In practice, such measurements are difficult, if not impossible to perform because of the presence of noise. Setting the range for fault-free behavior, as in analog testing, is a difficult task. Mistakes in setting such thresholds may result in information loss that degrades test quality. This problem can be tackled by analyzing various failure mechanisms and the resulting deviations in the final functionality. Knowledge of such correlations will lead to more effective BIST techniques (like modification of structural and material properties, selection of better measurement techniques) and aid in MEMS fault diagnosis.Therefore, our work in MEMS testing first centers on exploring a wide range of defects and the faulty MEMS behaviour associated with them. MEMS DFT/BIST techniques and examples have been discussed. It should be noted that the diversity of MEMS devices and their principles remain a challenge in developing universal DFT and BIST solutions

Page 22: MEMS Testing Project

References:[l] T. Olbrich, "Design-for-Test and Built-In Self-Test for Integrated Systems, Ph.D. Thesis, Lancaster University, Lancaster, U.K., September 1996.[2] British Standard Institute, BS5760, "Reliability of systems, equipment and components", part 5. "Guide to failure modes, effects and criticality analysis (FMEA and FMECA)", 1991.[3] J.E. Vandemeer, "Nodal Design of Actuators and Sensors (NODAS)"', MSc. thesis, Department of Electrical and Computer Engineering, Carnegie Mellon University, May 1998.[4] X. Zhang and W.C. Tang, Viscous Air Damping in Laterally Driven Microresonators", Sensors and Materials, Vol. 7, No. 6, pp 145-430, 1995.[5]. M. Brunbjerg Olesen, J. Bay, and J. Branebjerg, “Functional Testing and Calibration of Microsystems atWafer Level,” Micro Structure Workshop, Balsta, Sweden, March 2002, p. B 13.1.[6]. A. Chandrakasan, R. Amirtharajah, S. Cho, J. Goodman, G.Konduri, J. Kulik, W. Rabiner, and A. Wang, “Design Considerations for Distributed Microsensor Systems,” in Proc. IEEECustom Integrated Circuits Conference, Piscataway, NJ, USA, 1999, pp. 279–286.[7]. B. Charlot, S. Mir, F. Parrain, and B. Courtois, “Generation of Electrically Induced Stimuli for MEMS Self-Test,” Journal of Electronic Testing: Theory and Applications, vol. 17, No. 6,pp. 459–470, 2001.[8] N. Deb and R.D. Blanton, “Built-In-Self-Test of CMOS-MEMS Accelerometers,” in Proc. of the International Test Conference, Baltimore, MD, USA, Oct. 2002, pp. 1075–1084.[9]. A. Dhayni, S. Mir, and L. Rufer, “MEMS Built–In-Self-Test Using MLS,” in IEEE European Test Symposium, Ajaccio, Corsica, France, May 2004, pp. 66–71.[10] LABVIEW Version 7, National Instruments, 2004. [11]. MATLAB Version 7 (R14), The Mathworks Inc., 2004.[12]. P.M. Osterberg and S.D. Senturia, “M-test: A Test Chip for MEMS Material Property Measurement Using Electro statically Actuated Test Structures,” J. of Micro electro mechanical Systems, vol. 6, No. 2, pp. 107–118, 1997.[13]. C.Y. Pan and K.T. Cheng, “Pseudorandom Testing for Mixed-Signals Circuits,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 10, pp. 1173–1185,1997.[14]. R. Puers, D. De Bruyker, and A. Cozma, “A Novel Combined Redundant Pressure Sensor with Self-Test Function,” Sensors and Actuators A, vol. 60, pp. 68–71, 1997.[15]. L. Rufer, “La mod´elisation des microsyst`emes ´electrom´ecaniques,” Chapter in Conception des microsyst`emes sur silicium, Edited by S. Mir Paris, (Ed.) Herm`es, Trait´eEGEM, 2002.[16]. L. Rufer, C. Domingues, and S. Mir, “Behavioural Modelling and Simulation of a MEMS-Based Ultrasonic Pulse-Echo System,” in Proc. of the Design, Test, Integration and Packaging ofMEMS/MOEMS, Cannes, France, May 2002, pp. 171–182.[17]. L. Zimmermann, J. Ph. Ebersohl, F. Le. Hung, J.P. Berry, F.Baillieu, P. Rey, B. Diem, S. Renard, and P. Caillat, “Airbag Application: AMicrosystem Including a Silicon Capacitive Accelerometer, CMOS Switched Capacitor Electronics and True Self-Test Capability,” Sensors and Actuators A, vol. 46–47, pp. 190–195, 1995.