نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - semnan...

61
داﻧﺸﮕﺎه ﺳﻤﻨﺎن ﻣﻬﻨﺪﺳﻲ داﻧﺸﻜﺪه ﻛﺎﻣﭙﻴﻮﺗﺮ و ﺑﺮق ﻣﻨﻄﻘﻲ ﻣﺪارﻫﺎي آزﻣﺎﻳﺸﮕﺎه دﺳﺘﻮرﻛﺎر ﻣﻨﻄﻘﻲ ﻣﺪارﻫﺎي آزﻣﺎﻳﺸﮕﺎه دﺳﺘﻮرﻛﺎر

Upload: others

Post on 24-Dec-2019

4 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

سمناندانشگاه برق و كامپيوتردانشكده مهندسي

دستوركار آزمايشگاه مدارهاي منطقيدستوركار آزمايشگاه مدارهاي منطقي

Page 2: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

سازي مدارهاي به نحوي تهيه شده است كه دانشجو عالوه بر توانايي طراحي و پياده دستوركار حاضرقطعات قابل سازي مدار با استفاده از بين، توانايي طراحي و پياده منطقي با استفاده از قطعات منطقي جلي

گيتي از 200000اين آزمايشگاه، نمونه مورد استفاده در FPGA. دست آورده نيز ب را ريزي برنامه .است) Xilinxمحصول شركت ( Spartan IIخانواده صورت موضوعي انجام شده است و ممكن است زمان مورد نياز براي ه بندي آزمايشها ب تقسيم

ريزي زماني براي انجام آزمايشات بنابراين الزم است در هنگام برنامه. آزمايشهاي مختلف، متفاوت باشد .به اين موضوع توجه شود

ها در سي هاي موجود در آزمايشگاه در انتهاي دستوركار ضميمه شده و استفاده از اين آي سي ت آيليسهاي مورد استفاده نيز در انتهاي سي همچنين اطالعات فني تعدادي از آي. باشد ها مجاز مي طراحي

العات برگه اطهرچند براي دستيابي به جزئيات بيشتر الزم است به . دستوركار ضميمه شده است .مراجعه شود مربوطه

هاي اطالعاتي، ليست نسخه الكترونيكي دستوركار، مستندات فني مربوط به مباحث آزمايشگاه، برگهي خانگي آزمايشگاه قابل دستيابي هاي پيشنهادي از جمله مواردي است كه با مراجعه به صفحهپروژه .مراجعه نماييد http://sun.semnan.ac.ir/~malekiبدين منظور به آدرس .است

87علي مالكي بهار

Page 3: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

مقدمهاولين مدار مجتمع ديجيتال پا به عرصه وجود گذاشت، شركتهاي مختلف 1959بعد از آنكه در سال

ه و به بازارهاي الكترونيك سرازير كردند كه در اين انواع گوناگوني از اين سري مدارات را طراحي كردمهندسان الكترونيك قرار گرفت و اين بود كه ساير سازندگان فراوانميان بعضي از آنها مورد استقبال

قطعات الكترونيكي نيز دست به توليد مدارات مشابه زدند و براي آنكه بتوانند توليدات خود را به له كپي اكم مس كم. ظير كدهاي مورد استفاده مبتكران اوليه، استفاده كردندفروش برسانند از كدهايي ن

عنوان يك خانواده بزرگ از مدارات ديجيتال نام ه كردن از بين رفت و از اين گونه مدارات مجتمع ب TTLكه مبتكر طرح مدارات مجتمع Texas Instrumentsتوان از شركت عنوان مثال ميه ب. برده شد

ناميد و پس از SN7400بود NANDم برد كه اولين محصول خود را كه شامل چهار گيت باشد نا ميهستند را در خط TTLدادند و از نوع را كه توابع ديگر را انجام مي …و SN7401 ،SN7402آن

، National Semiconductor ،Motorolaپس از آن شركتهاي ديگر مانند . توليد خود قرار دادFairchild هاي مشابه نامگذاري كردند هاي خود را تحت عنوان سي آي … و.

مانند سرعت، توان مصرفي، ايمني در مقابل TTLدر همين ايام براي تغيير دادن بعضي از مشخصات ، تغييرات كوچك و بزرگي در طرح اوليه داده شد و چون شكل خارجي و روابط منطقي در …نويز و

TTL هاي پيشرفته ها تحت عنوان خانواده بود، اين طرح TTLه اصلي ها درست همانند خانواد اين طرح)TTL ،شاتكيTTL مصرف، شاتكي پيشرفته، كمTTL نامگذاري شدند) …سريع و.

از آنجا كه در مصارف نظامي نياز به قطعات الكترونيكي با ضريب اطمينان بيشتر و تحمل درجه بندي آنها كامالً مشابه ها و نوع بسته جديدي كه پايه هاي باشد، خانواده حرارت و رطوبت باالتر مي

).54(است معرفي گشت كه فقط دو شماره اول آن متفاوت بود 74خانواده سي از لحاظ نظامي يا تجاري بودن، كارخانه سازنده، تكنولوژي و عملكرد نوع آي(سي مشخصات آي

كمك يك يا ه توان ب همچنين مي. نمود رقمي روي آنها تعيين -كمك كدهاي حرفيه توان ب را مي) آنو نوع ) …اي ، سراميكي و فلزي، پالستيكي، شيشه(سي، جنس روكش آيكد دو حرف سمت راست

ها بدون خم اي ، پايه اي و در آرايش دايره در دو خط موازي ، در چهار خط موازي ، ده پايه(بندي بستهبر ) دو رقم براي سال و دو رقم براي هفته(نيز سي تاريخ توليد آي. را تشخيص داد) …و شعاعي و

.گردد روي آن درج ميها، در محل پايه شماره يك عالمت خاصي در سمت چپ و منظور تشخيص صحيح شماره پايهه ب

.شود هاي ساعت انجام مي هاي بعدي در جهت عكس عقربه شمارش پايه. پايين وجود دارد

Page 4: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

سي مورد نياز است مشخصات استاتيك ن مدار و استفاده از آياز جمله اطالعاتي كه به هنگام بست) غتشاش و توان مصرفيهاي ورودي و خروجي، ظرفيت خروجي ، ظرفيت ورودي ، امنيت ا مشخصه(

باشد مي)4زمان نگهداريو 3سازي زمان آماده، 2، زمانهاي انتشار1هاي گذار زمان( يناميك و مشخصات د .توان به آن دست يافت مي ندهبرگه اطالعات سازكمك ه كه ب

:در هنگام انجام آزمايش بايد به نكات زير توجه نمود بين قطعات جِليكنند و ولت كار مي 5با منبع ولتاژ ثابت TTLخانواده بين قطعات جِلي •

.كنند ولت كار مي 15تا 3با منبع ولتاژ بين CMOSخانواده .ن يا منبع ولتاژ وصل شودگاه نبايد مستقيماً به زمي خروجي آي سي هيچ •كش سي ها روي بردبورد دقت كافي مبذول شود و براي درآوردن آنها از آي سي در نصب آي •

.گردداستفاده ها و قطعاتي كه اتصاالت زيادي با هم دارند، بايد بر روي بردبوردها در كمترين فاصله سي آي •

.ا كندممكن نسبت به هم باشند تا طول سيمها به حداقل كاهش پيد

1 transition times 2 propagation times 3 setup time 4 hold time

Page 5: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

ه شوند تا حد ممكن كوتاه باشند و بر روي بردبورد ب سيمهايي كه براي اتصاالت استفاده مي •سي رد شود تا در صورت لزوم، هيچ سيمي نبايد از روي آي. طور مماس با آن نصب شوند

.آساني انجام شوده سي ب تعويض آيمفتول مسي داخل سيم . ندسعي كنيد از سيمهاي مرغوب استفاده كنيد تا بردبورد صدمه نبي •

زند و برعكس درصورتيكه نبايد بيش از حد ضخيم باشد زيرا به فنرهاي بردبورد صدمه ميخوبي برقرار نشوند و با قطع و وصل شدن ه بيش از حد نازك باشد، ممكن است اتصاالت ب

.يابي مدار بسيار مشكل گردد بعضي اتصاالت، عيبورد اصلي جعبه كمك آموزشي، خط مربوط به آن را در نقشه با اتصال هر سيم در بردبورد يا ب •

راحتي ه گذاري كنيد تا نهايتاً بتوان از اتصال تمام خطوط مدار ب بندي عالمت دياگرام سيم .اطمينان حاصل كرد

:باشد ارزيابي دانشجويان در درس آزمايشگاه بر اساس موارد زير مي

كار گزارش • امتحان تئوري • ترم پروژه پايان •گزارش در ابتداي جلسه، تسلط كافي بر عملكرد مدار، ارائه پيش(كيفيت كار در آزمايشگاه •

).يابي تميز بستن مدار و استقالل در عيب

:باشد پيش گزارش شامل موارد زير مي هاي مورد نياز در آزمايش انجام مطالعات و بررسي •

هاي مورد نياز در آزمايش انجام طراحي •با اين استمنطقي طرحبندي همان سيم ينقشه(بندي سيم ينقشهبصورت ارائه نتيجه طراحي •

).اند گذاري شده و تمام اتصاالت مشخص گرديده ها شماره ها و پايه تفاوت كه كليه تراشه .سازي كامپيوتري مدارهاي مورد آزمايش و ارائه نتايج آن شبيه •

Page 6: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

:هاي زير باشد كار بايد حاوي بخش گزارش نواني ع صفحه •

مقدمه و اهداف آزمايش •

ها، نتايج ها و طراحي شامل نتايج مطالعات و تحقيقات انجام شده، تحليل(متن گزارش • )سازي و نتايج آزمايشگاهي شبيه

سازي و نتايج آزمايشگاهي در مقادير عددي نتايج تحليلي، نتايج شبيه(گيري بندي و نتيجه جمع • .)ورد بررسي قرار گيردآوري شود و موارد اختالف م جدولي جمع

)نظرات و پيشنهادات شما در مورد آزمايش(ي پيشنهادات ميزان كارآيي آزمايش و ارايه •

ي گزارش از آنها استفاده هاي اينترنتي كه براي تهيه ها و سايت ها، كتاب جزوه(مراجع • .)ايد نموده

ها پيوست •

Page 7: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

CMOSو TTL هاي خانوادههاي تعيين مشخصه: 1آزمايشجهت CMOSو TTL هاي خانواده هاي سي هاي الكتريكي آي بدست آوردن مشخصه :ف آزمايشهد .برداري صحيح بهره

، 4584و 4049هاي سي جعبه كمك آموزشي، اسيلوسكوپ، آي :وسايل و المانهاي مورد نياز .، ده كيلو و يك كيلو اهم22و مقاومتهاي nF 1كيلواهم، خازن 1پتانسيومتر

.را مطالعه نماييد» كمك آموزشي جعبهآشنايي با :پيوست الف«

.آن مطالعه نماييد 1را از برگه اطالعات 7400سي مشخصات آي

7400سي هاي موجود در آي برگه اطالعات، مدار الكترونيكي و منطقي گيتبا استفاده از : 1الوس VOH ،VOL ،VIH ،VIL ، NMHهمچنين مقادير . دنماييم يرسترا

2 ،NML3 ،IOH ،IOL ،IIH ،IIL ،

TPHL وTPLH جداولي از برگه اطالعات ( .را تعريف و مقدار عددي آنها را از ديتابوك يادداشت كنيد .)كه مقادير عددي فوق از آنها استخراج شده است در پيوست گزارش آورده شود

TTL ي از خانوادهخروجي گيت -مداري جهت تعيين مشخصه ورودي 1شكل

ولت تغيير داده 5را از صفر ولت تا Vinبا تنظيم پتانسيومتر، ولتاژ . را ببنديد 1مدار شكل: ش آزماي را رسم Vout-Vinنمودار .مقادير ولتاژ خروجي را به همراه مقادير ولتاژ ورودي متناظر ثبت نماييد و

ست آورده و با ده را ب NMLو VOH ،VOL ،VIH ،VIL ،NMHمقادير ،كمك اين نموداره ب. كنيد

:ها را از آنجا برداريد عبارتند از سي توانيد برگه اطالعات آي هاي اينترنتي كه مي از جمله سايت 1

www.datasheetcatalog.com www.datasheetarchive .com www.chipdocs.com 2 High-state Noise Margin 3 Low-state Noise Margin

Page 8: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

شود را كه خروجي نوساني مي Vinاي از محدوده. مقايسه كنيد ارائه شده در برگه اطالعاتمقادير .نماييد تعيين

علت نوسانات چيست؟: 2الوس

بدين . را رسم نماييد) Vout-Vinنمودار (، مشخصه گيت 1سازي مدار شكل با شبيه: 1سازي شبيه وده و مشخصهسازي نم مدار الكترونيكي آن پياده را به همراه افزار مدل گيت موجود در نرممنظور، .نتايج را با هم مقايسه كنيد .خروجي آنها را بر روي هم ترسيم نماييد -ورودي

TTLهاي خانواده مداري جهت تعيين زمان انتشار در گيت 2شكل

كمك آن ميانگين زمان ه شكل موج خروجي را رسم كنيد و ب. را ببنديد 2-مدار شكل: آزمايش :گردد به صورت زير تعريف مي TP .را بدست آوريد TPانتشار سيگنال

2PLHPHL

PTTT +

=

.شرح دهيد به دقت را) باشد كه يك اسيالتور مي( 2طرز كار مدار شكل: 3الوس

نس اسيالتور،گيري فركا با اندازه ها دارد؟ اي با تاخير گيت فركانس اسيالتور چه رابطه: 4الوس .محاسبه كنيد ميانگين زمان انتشار را

سازي نماييد و با استفاده از آن، مدار صورت زيرمدار پيادهرا به 7400مدار الكترونيكي : 2سازي شبيه ي يك نقطه از مدار ي نوسان مدار الزم است شرط اوليه براي مشاهده. سازي كنيد را شبيه 2شكل

.تعيين گردد

VDD=5voltرا براي VILو VOH ،VOL ،VIHمقادير . را مطالعه نماييد 4049سي آي برگه اطالعات .يادداشت كنيد

ي را براي تغذيه NMLو NMHي اطالعات داده شده است بر اساس مقاديري كه در برگه: 5سوال VDD=5volt ي مقادير بدست آمده را با مقاديري كه قبال براي خانواده. تعيين كنيدTTL ي از برگه

گيري شما چيست؟ نتيجه. اطالعات تعيين شده است مقايسه نماييد

Page 9: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

خروجي و نمودار توان مصرفي -مداري جهت تعيين مشخصه ورودي 3شكل

CMOSگيتي از خانواده

بايد به 4049 سي آي نشده هاي استفاده توجه كنيد كه ورودي. را ببنديد 3مدار شكل: آزمايش ولتاژ ولت تغيير داده و 12را از صفر ولت تا Vinبا تنظيم پتانسيومتر، ولتاژ . دوصل شون VDDزمين يا

-Voutنمودارهاي . متناظر ثبت نماييد را به همراه ولتاژ ورودي اهم 22 خروجي و ولتاژ روي مقاومت

Vin وI-Vin مقادير ،كمك اين نمودارهاه ب. را روي هم رسم كنيدVOH ،VOL ،VIH ،VIL ه را ب .مقايسه كنيد داده شده در برگه اطالعاتست آورده و با مقادير د

.را توجيه كنيد I-Vinشكل منحني ،سي با توجه به مدار داخلي آي: 6الوس

با هاي اين خانواده گيت يمصرفتوان با توجه به شكل اين منحني توضيح دهيد كه چرا : 7الوس .شود باال رفتن فركانس زياد مي

را نشده هاي استفادهگيري منحني جريان مصرفي الزم است ورودي موقع اندازه چرا در: 8الوس .كنيم VDDزمين يا

شود يا زياد؟ ، جريان مصرفي آنها كم ميCMOSهاي سي با زياد شدن ولتاژ تغذيه آي: 9الوس چرا؟

ابوك را تعريف و مقدار عددي آنها را از ديت TPLHو TTHL ،TTLH ،TPHLمقادير : 10الوس .يادداشت كنيد

CMOSگيتي از خانواده تاخيرهاي گيري زمان مداري جهت اندازه 4شكل

Page 10: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

مدار 4049سي مربوط به آي TPLHو TTHL ،TTLH ،TPHLهاي گيري زمان براي اندازه: آزمايش يت ، يك گ4584اشميت تريگر NOTاين مدار شامل يك اسيالتور با استفاده از گيت . را ببنديد 4شكلتغذيه . باشد مي) 4049(اسيالتور از طبقه بعدي و گيت مورد آزمايش سيونجهت ايزوال 4584شكل موج خروجي و ورودي را با هم بر روي . شود ولت انجام مي+ 5ها توسط ولتاژ سي آي

اده شده در برگه اطالعاتگيري و با مقادير د اسيلوسكوپ مشاهده كنيد و زمانهاي ذكر شده را اندازه .مقايسه كنيد

را با هم CMOSو TTLهاي هاي انتشار خانواده گيري شده براي زمان مقادير اندازه: 11سوال گيري شما چيست؟ نتيجه. مقايسه كنيد

Page 11: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

CPLDسازي طرح در آشنايي با نحوه پياده :2آزمايش تركيبي هايمدارسازي و پياده Altium Designerافزار ، آشنايي با نرمCPLDآشنايي با :هدف آزمايش

. CPLDبر روي تمام جمع كنندهجمع كننده و نيمنصب شده است، DIPبه PLCCكه بر روي مبدل CPLD ي تراشه :هاي مورد نياز وسايل و المان

روي آن Altium Designer 6افزار كامپيوتري كه نرم و JTAGريز ، كابل برنامهي كمك آموزشي جعبه .نصب است

ها و برداريد و به دقت مطالعه نماييد تا با توانايي 1را از سايت اينترنتي سازنده XC9572برگه اطالعات .آشنا شويد CPLDهاي اين ويژگي

در چيست؟ XC9500XLو XC9500هاي تفاوت اصلي خانواده: 1سوال Altium Designerافزار را در نرم» نيم جمع كننده«در قسمت اول اين آزمايش قصد داريم مدار ساده

نشان 2، و شماتيك آن در شكل 1بلوك دياگرام اين مدار در شكل .نماييم سازي و ارزيابي طراحي، پياده .داده شده است

بلوك دياگرام مدار نيم جمع كننده 1شكل

شماتيك مدار نيم جمع كننده 2شكل

1 www.xilinx .com >> Documentation >> Datasheets >> XC9500 (XC9500XL)

Page 12: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

:براي طراحي اين مدار الزم است مراحل زير را دنبال نماييد

يا ( دو بار كليك كنيد Altium Designer 6 كامپيوتر بر روي آيكون Desktopدر صفحه •Start >> All Programs >> Altium Designer 6 >> Altium Designer 6 را از مسير

.شود باز مي Altium Designer 6پنجره .)ي شروع ويندوز انتخاب نماييد دكمه

در پانل .را انتخاب كنيد File >> New >> Project >> FPGA Project ي منوي گزينه •Projects ي جديدي با نام ، پروژهFPGA_Project1.PrjFpg شود نمايش داده مي.

را انتخاب File >> Save Project Asي منوي در حالي كه نام پروژه انتخاب شده است گزينه •ي خاب نماييد، پوشهي اختصاصي خود را انت شهشود مسير پو اي كه باز مي در كادر مكالمه. كنيد

نام پروژه در . ذخيره نماييد HalfAddپروژه را با نام ايجاد كنيد و در آن، Lab2جديدي با نام .گردد اصالح مي Projectsپانل

<< Add New to Projectي ، بر روي نام پروژه كليك راست كنيد و گزينهProjectsدر پانل •

Schematic اتيك جديدي با نام را انتخاب نماييد تا فايل شمSheet1.SchDoc به پروژه اضافه .را انتخاب نماييد …Save Asي بر روي نام اين شماتيك كليك راست كنيد و گزينه. گردد

.ذخيره نماييد HalfAddفايل شماتيك را با نام

از . باز شود Librariesدر سمت راست صفحه كليك كنيد تا پانل Librariesي روي دكمه • INVعبارت . را انتخاب نماييد FPGA Generic.IntLibي زشوي اين پانل، كتابخانهليست با

توانيد مستقيما آن را از مي(را در فيلد زير آن وارد كنيد تا گيت معكوس كننده انتخاب گردد در باالي اين پانل كليك كنيد و Place INVي بر روي دكمه). ليست قطعات انتخاب نماييد

حالت . ي شماتيك جايگذاري نماييد ار كليك كردن در محل مناسب، در صفحهگيت را با يك بگر ماوس مشاهده ي جديدي به صورت چسبيده به اشاره جايگذاري هنوز فعال است و قطعه

ديگر قطعات . ي شماتيك جايگذاري كنيد ي ديگري از اين قطعه در صفحه نمونه. گردد مي .حاصل گردد 3اتيكي مانند شكلمورد نياز را نيز اضافه نماييد تا شم

Page 13: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

هاي مورد نياز براي مدار نيم جمع كننده جايگذاري گيت 3شكل

در محل مناسبي در . كليك كنيد Place Port ي بر روي دكمه ،ها براي جايگذاري پورت •روي صفحه Tabكليد . ي ابتدايي پورت جايگذاري گردد ي شماتيك كليك كنيد تا نقطه صفحه

ي دكمه. انتخاب نماييد Input نيز را I/O Type. پر كنيد Aرا با Nameد و فيلد كليد را بزنيOK گر ماوس را در محل مناسبي كليك اشاره. ي شماتيك بازگرديد را كليك كنيد تا به صفحه

هاي و پورت Bپورت ورودي الزم است. كنيد تا شماتيك مناسبي براي پورت ايجاد گردد .حاصل گردد 4فه نماييد تا شماتيكي مانند شكلرا نيز اضا Cو Sخروجي

هاي ورودي و خروجي جايگذاري پورت 4شكل

بندي طرح را سيم. بندي شويد كليك كنيد تا وارد حالت سيم Place Wire ي روي دكمه • .كنيد تا شماتيك به صورت زير درآيد

Page 14: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

بندي طرح سيم 5شكل

تخاب كنيد تا تخصيص نشانگر عناصر انجام را ان Tools >> Annotate Quietي منوي گزينه •شود و تعداد نشانگرهايي كه الزم است بهنگام شوند را به شما اي باز مي كادر مكالمه. گردد

. دهد شماتيك نهايي طرح را نشان مي 6شكل. اعمال تغييرات را تاييد نماييد. كند اعالن مي .ذخيره گردد را انتخاب كنيد تا شماتيك File >> Saveي منوي گزينه

شماتيك نهايي طرح پس از تخصيص نشانگر عناصر 6شكل

را Add New to Project >> Constraint Fileي بر روي نام پروژه كليك راست كنيد و گزينه •ايجاد شده و به نمايش Constraint1فايل محدوديت كاربر جديدي با نام . انتخاب نماييد

.ي خود ذخيره نماييد در مسير پروژه Const9572اين فايل را با نام . آيد درمي

ي را انتخاب نماييد تا پنجره Design >> Add Modify Constraint… >> Partي منوي گزينه •Choose Physical Device ي خواهيم از تراشه در اين آزمايش مي. به نمايش درآيدXC9572

ي گزينه Vendorsدر بخش . است Xilinxو محصول شركت CPLDاستفاده نماييم كه يك XILINX در بخش . را انتخاب نماييدFPGA Families ي گزينهXC9500 را انتخاب كنيد .

Page 15: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

براي . را انتخاب نماييد Commercial Gradeي گزينه Temperature Gradesبراي بخش » پايهتاخير پايه تا «ي مورد استفاده براي همان مقداري كه بر روي تراشه Speed Gradesبخش

توانيد نهايتا در بخش مياني اين پنجره مي. را انتخاب كنيد) نانو ثانيه 15مثال (ذكر شده است و سطر XC9572بر روي محل تقاطع ستون . بندي را انتخاب نماييد ي تراشه و نوع بسته شمارهPC44 ي گزينه. كليك كنيد تا مشخصات اين تراشه در قاب پايين اين بخش نمايش داده شود

OK كند به فايل محدوديت كاربر اضافه محدوديتي كه تراشه را مشخص مي. را كليك كنيد ).7شكل(گردد مي

محدوديت قطعه در فايل محدوديت كاربر 7شكل

را انتخاب نماييد تا Design >> Import Port Constraints From Projectي منوي گزينه • ).8شكل(ايجاد گردد ها بر اساس شماتيك پروژه محدوديت پورت

ها بر اساس ايجاد خودكار محدوديت پورت. فايل محدوديت كاربر 8شكل

شماتيك پروژه

ي گزينه. را انتخاب نماييد Design >> Add/Modify constraint… >> Portي منوي گزينه •FPGA_PINNUM را براي فيلدConstraint Kind فيلدهاي . انتخاب نماييدTarget وConstraint Value را به ترتيب باA وP1 ي يك تراشه به پورت ي شماره پر كنيد تا پايهA

Page 16: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

. اختصاص دهيد Cو B ،Sهاي را به پورت 4و 3، 2هاي به همين ترتيب، پايه. اختصاص يابد .اين فايل را ذخيره نماييد. در خواهد آمد 9فايل محدوديت كاربر به صورت شكل

ها ربر پس از تعيين قطعه و پايهفايل محدوديت كا 9شكل

ي را انتخاب نماييد تا كادر مكالمه Project >> Configuration Managerي منوي گزينه •Configuration Manager for HalfAdd.PrjFpg در بخش . به نمايش در آيد

Configuration ي در پايين اين پنجره، روي دكمهAdd ي كليك كنيد و در كادر مكالمهNew

Configuration مثال (، نام پيكربندي جديدHA9572 (را وارد نماييد .OK را كليك كنيد تاكادر انتخاب مربوط به فايل محدوديت . پيكربندي جديد در پنجره نمايش داده شود

const9572 10شكل (را براي اين پيكربندي انتخاب كنيد .(OK را كليك كنيد تا پنجره بسته .شود

ايجاد يك پيكربندي جديد و اختصاص فايل محدوديت به آن 10شكل

.باز شود Devicesي كليك كنيد تا پنجره Open the Device View Page ي روي دكمه •

ي آن را بر اتصاالت تغذيه. ي كمك آموزشي نصب كنيد را روي جعبه CPLDمبدل حاوي •نيم جمع كننده Bو Aهاي كه ورودي 2و 1هاي پايه. ي اطالعات آن برقرار نماييد اساس برگه

نيز كه 4و 3هاي پايه. روي جعبه كمك آموزشي متصل كنيد S1و S0باشند را به كليدهاي ميجعبه متصل L1و L0جمع كننده هستند را به نمايشگرهاي نيم Cو Sهاي به ترتيب خروجي

.ر گرددي مدار برقرا ي كمك آموزشي را روشن كنيد تا تغذيه جعبه. كنيد

Page 17: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

ي سمت چپ و باالي اين پنجره در گوشه Liveروي كادر انتخاب Devicesي در پنجره •در اين صورت، نمايشگر باالي اين كادر . افزار برقرار گردد كليك كنيد تا ارتباط زنده با سخت

دقت كنيد . گردد در كنار آن مشاهده مي Connectedي و نوشتهآيد انتخاب به رنگ سبز در مي. در كادر مياني نمايش داده شود XS9500 XC9572-15PC44Cاي با نام بايد تصوير تراشه كه

ي در صورتي كه نام تراشه غير از اين است روي آن كليك راست نموده و با انتخاب گزينهswitchي مطلوب را انتخاب نماييد ، تراشه.

سازي كنيد تا مراحل پيادهدر كادر مياني اين پنجره كليك Program CPLDي روي گزينه •يكي پس از ديگري انجام ) افزار كامپايل، سنتز، ايجاد فايل پيكربندي و ارسال آن به سخت(

توانيد با استفاده از كليدهاي روي اكنون مي. سازي گردد افزار پياده شده و طرح روي سختها را روي يهاي مختلفي را به مدار اعمال نموده و خروج ي كمك آموزشي، ورودي جعبه

. نمايشگر مشاهده نماييد

.صحت عملكرد مدار را مورد ارزيابي قرار دهيد

يا روي آن كليك راست نموده و (روي تصوير تراشه دو بار كليك كنيد Devicesي در پنجره •در اين پانل . به نمايش درآيد Instrumentتا پانل ) را انتخاب كنيد …Instrumentsي گزينه

توانيد وضعيت شود كه در آن مي پانلي باز مي. كليك كنيد JTAG Viewer Panelي روي دكمهها و روي تصوير تراشه را به صورت ليست، روي شماتيك يكي از بانك FPGAهاي پايه

ي كليك راست نموده و گزينه Enable Live Updateروي عنوان ستون . مشاهده نماييدDisable all را تيك بزنيد تا اين 4تا 1هاي انتخاب مربوط به پايه سپس كادر. را انتخاب كنيد

اكنون . در پايين اين پنجره را نيز تيك بزنيد Live Updateكادر انتخاب . ها فعال شوند پايههاي مختلف روي ها را به طور زنده مشاهده نموده و با اعمال ورودي توانيد وضعيت پايه مي

.بي كنيدافزار، صحت عملكرد طرح را ارزيا سخت

. سازي و ارزيابي نماييم مدار تمام جمع كننده را طراحي، پياده در قسمت دوم اين آزمايش قصد داريم

و ) S( جمع جمع شده و حاصل) C( با رقم نقلي ورودي ) Bو A( تمام جمع كننده، دو ورودي در ام جمع كننده يك بيتي، و بلوك دياگرام مدار تم 11شكل. كند را توليد مي) CO( رقم نقلي خروجي

.دهد مدار منطقي آن را نشان مي 12شكل

Page 18: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

بلوك دياگرام مدار تمام جمع كننده 11شكل

طرح منطقي مدار تمام جمع كننده 12شكل

ها با استفاده از جدول درستي، تابع هر يك از خروجي. جدول درستي مدار را رسم كنيد: 2سوال . نماييد ها نوشته و ساده را بر حسب ورودي

به صورت ي فوق را كننده تمام جمع ايد مدار آزمايش آموخته اينطبق آنچه در قسمت قبل . كنيد سازي پيادهها در فايل محدوديت، آن را نموده و پس از تعيين تراشه و پايه توصيف شماتيك

قرار ابيارزي هاي مختلف و تكميل جدول درستي، صحت عملكرد طرح را مورد سپس با اعمال ورودي .دهيد

و اطالعات مفيدي كه از آنها قابل استنتاج است را را به دقت مطالعه نمودهسازي پيادههاي گزارش .گزارش نماييد

فيوز و ، آنتي SRAM( ريزي هاي مختلف قطعات قابل برنامه در مورد تكنولوژي: 3سوال EEPROM/FLASH (تحقيق كنيد.

ها است؟ ين آزمايش مبتني بر كداميك از اين تكنولوژيمورد استفاده در ا CPLD: 4سوال

Page 19: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

، SIP1 ،ZIP2 ،DIP3، SOIC4 ،QFP5هاي مختلفي از جمله بندي قطعات منطقي در بسته: 5سوال PLCC6، PGA7 وBGA8 گذاري هر كدام از ي شماره در مورد شكل ظاهري و نحوه. شوند ارايه مي .ها تحقيق كنيد بندي اين بسته

1 Single In-line Package 2 Zig-zag In-line Package 3 Dual In-line Package 4 Small Outline 5 Quad Flat Package 6 Plastic Leaded Chip Carrier 7 Pin Grid Array 8 Ball Grid Array

Page 20: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

كننده چهار بيتي جمع -مدارهاي تركيبي: 3آزمايشسلسله مراتبي طرح، استفاده از توصيفآشنايي با مفهوم ، FPGAآشنايي با برد :هدف آزمايش

FPGAكننده چهار بيتي روي سازي مدار جمع گذرگاه در شماتيك و پيادهروي آن نصب Altium Designerافزار و كامپيوتري كه نرم FPGAبرد :هاي مورد نياز وسايل و المان

.است

.آشنا شويد FPGAهاي برد را به دقت مطالعه نماييد تا با قابليت» FPGAآشنايي با برد : پيوست ب«

اي بسازيم كننده ها كاربرد دارد بنابراين مناسب است كه ماژول جمع عمليات جمع در بسياري از طراحيمدار تمام جمع كننده اي از نماد صفحهآزمايش، ابتدا در اين . تا در كاربردهاي بعدي قابل استفاده باشد

و سپس با استفاده از آن، يك مدار جمع كننده چهار بيتي طراحي و كنيم ايجاد مييك بيتي آزمايش قبل هاي كننده چهار بيتي با استفاده از بلوك سازي جمع بلوك دياگرام پياده 1شكل. خواهيم نمودسازي پياده

.دهد بيتي را نشان مي تمام جمع كننده يك

هاي تمام كننده چهار بيتي با استفاده از بلوك سازي جمع بلوك دياگرام پياده 1شكل

جمع كننده يك بيتي

مراتبي چيست؟ سلسله توصيفمنظور از : 1سوال

مراتبي چه مزايايي دارد؟ طرح به صورت سلسله توصيف: 2سوال :را دنبال نماييدسازي طرح الزم است مراحل زير براي پياده

ي اختصاصي در پوشه lab3اي با نام ايجاد نماييد و آن را در پوشهجديدي FPGA ي پروژه • .خود ذخيره كنيد

.ذخيره نماييد FA4جديدي به پروژه اضافه نموده و آن را با نام فايل شماتيك •

هاي تمام جمع ي چهار بيتي را با استفاده از بلوك خواهيم تمام جمع كننده از آنجا كه مي •ي آزمايش قبل كننده سازي نماييم، فايل شماتيك تمام جمع ي يك بيتي آزمايش قبل پياده كننده

Page 21: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

)FullAdd.SchDoc (ي را در پوشهlab3 سپس بر روي نام پروژه كليك راست . كپي نماييداي كالمهبا استفاده از كادر م. را انتخاب نماييد …Add Existing to Projectي نموده و گزينه

.به پروژه اضافه نماييدكه باز خواهد شد فايل شماتيك تمام جمع كننده را

ي شماتيك خالي آن دو بار كليك كنيد تا صفحه Projectsدر پانل FA4.SchDocروي آيكون • .باز شود

در . را انتخاب كنيد Design >> Create Sheet Symbol From Sheet or HDLي منوي گزينه •را انتخاب كنيد و FullAdd.SchDocفايل شماتيك Choose Document to Placeي پنجره

OK شود و نظر شما را در مورد معكوس كردن جهت اي باز مي پنجره. را كليك نماييد .را انتخاب نماييد Noي گزينه. پرسد خروجي مي/هاي ورودي پورت

آن را در محل مناسبي در . رددگ گر ماوس ظاهر مي اي به صورت چسبيده به اشاره نماد صفحه • ).2شكل(ي شماتيك قرار دهيد صفحه

.كند اي كه به شماتيك تمام جمع كننده اشاره مي جايگذاري نماد صفحه 2شكل

ي شماتيك جايگذاري ي ديگر از اين نماد صفحه در صفحه كردن و چسباندن، سه نمونه با كپي •ي نماد توانيد اندازه صورت تمايل، مي در .بدست آوريد 3نماييد تا شماتيكي مشابه شكل

با دو بار كليك كردن بر روي هر .صفحه را مجددا تنظيم كنيد تا شماتيك زيباتري حاصل شودنشان داده شده است تنظيم 3هاي نماد صفحه، نشانگر آن را مطابق آنچه در شكل يك از نمونه

.نماييد

Page 22: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

نده و تعيين نشانگر آنهاي تمام جمع كن قرار دادن چهار نماد صفحه 3شكل

انتخاب نموده و در محل نشان داده FPGA Generic.IntLibي را از كتابخانه J4S_4Bي قطعه •ورودي تكي است و خروجي آن يك 4اين قطعه داراي . جايگذاري نماييد 4شده در شكل

.باشد بيتي مي 4گذرگاه

را با NAMEفيلد .د را بزنيدصفحه كلي Tabكليد . كليك كنيد Place Portي روي دكمه •S[3..0] و براي فيلد پر كنيدI/O Type ي نيز گزينهOutput پورت گذرگاه .را انتخاب نماييد

.جايگذاري نماييد 4را مطابق شكل

الزم است از گذرگاه Sبه پورت خروجي J4S_4Bي براي اتصال گذرگاه خروجي قطعه •كليك نموده و گذرگاه را ترسيم Place Busي براي اين كار روي دكمه. استفاده نماييد

.كنيد

.نشان داده شده است شود 4بندي كنيد تا طرح شما مانند آنچه در شكل مدار را سيم •

Page 23: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

ي چهاربيتي كننده استفاده از گذرگاه و پورت گذرگاه براي خروجي جمع 4شكل

ده و در محل نشان داده انتخاب نمو FPGA Generic.IntLibي را از كتابخانه J4B_4Sي قطعه • .جايگذاري نماييد 5شده در شكل

از برچسب شبكه اتصال براي برقراري اتصال الكتريكي استفاده خواهيم در اين قسمت مي • O0روي خروجي . كشي شويد كليك كنيد تا وارد حالت سيم Wireي روي دكمه. نماييماي تا قطعه ت جلو جابجا نماييدماوس را اندكي به سم. بااليي كليك كنيد J4B_4Sي قطعه

روي صفحه كليد را دو بار بزنيد تا ESCماوس را كليك كنيد و سپس كليد . سيم كشيده شود .كشي خارج شويد از حالت سيم

ي اتصال به صورت چسبيده يك برچسب شبكه. كليك كنيد Place Net Labelي روي دكمه •پر كنيد AA0را با Netفيلد . ه كليد را بزنيدصفح TABكليد . گردد گر ماوس ظاهر مي به اشاره

. ايد بچسبانيد ترسيم كرده O0برچسب را به تكه سيمي كه در خروجي . را انتخاب كنيد OKو تواند راهنماي خوبي براي جايگذاري دقت كنيد كه ضربدر قرمز رنگ هنگام جايگذاري مي

.صحيح باشد

.درآيد 5د تا طرح شما به صورت شكلبراي بقيه موارد هم همين روند را دنبال كني •

Page 24: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

برقراري اتصال الكتريكي با استفاده از برچسب شبكه اتصال 5شكل

.حاصل گردد 6طرح را كامل كنيد تا شماتيكي همانند شكل •

.را انتخاب نماييد تا نشانگر عناصر تخصيص يابد Tools >> Annotate Quietي منوي گزينه • .ببنديد شماتيك را ذخيره نموده و آن را

ي چهاربيتي كننده شماتيك نهايي مدار جمع 6شكل

Page 25: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

.ها را انجام دهيد فايل محدوديت جديدي به پروژه اضافه نموده و تعيين قطعه و تخصيص پايه •، ورودي دوم را به {Sw1, Sw2, Sw3, Sw4}ها، ورودي اول را به كليدهاي براي انتساب پايه

. متصل نماييد PB1م نقلي را به كليد فشاري و رق {Sw5, Sw6, Sw7, Sw8}كليدهاي و {LED1, LED2, LED3, LED4}ها و رقم نقلي خروجي را نيز به ترتيب به خروجيLED8 متصل كنيد.

.پيكربندي جديدي براي پروژه ايجاد نموده و فايل محدوديت را براي آن تعيين كنيد •

پيكربندي خروجي را دنبال نماييد و ، مراحل كامپايل، سنتز و ايجاد فايلDeviceي در پنجره • .در صورت وجود خطا، آن را برطرف كنيد

برد ي ها هاي مختلف از طريق كليد اعمال وروديبا . اييدسازي نم پياده افزار روي سختطرح را FPGA هاي ها را روي نمايشگر ، خروجيLED مشاهده نموده و صحت عملكرد مدار را بررسي كنيد.

فايل شماتيك اين پروژه را دقيقا مورد . 1افزار باز كنيد هاي نرم ي مثال را از پوشه Interconnectي پروژه هاي مختلف اتصال گذرگاه و سيم به يكديگر را بر اين اساس، روش. بررسي و مطالعه قرار دهيد

.بندي نماييد جمع

ي قطعات قابل ها مورد استفاده در اين آزمايش مبتني بر كداميك از تكنولوژي FPGA: 3سوال ريزي است؟ برنامه

و Spartan ،Spartan XL ،Spartan II ،Spartan-3 ،Virtex-4هاي هاي خانوادهFPGA: 4سوال Virtex-5 كنند؟ كار مي) ولتاژهايي(هر كدام با چه ولتاژ

1 Drive Letter >> Program Files >> Altium Designer 6 >> Examples >> FPGA Design Tips >> Bus Interconnect

Page 26: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

قسمتي نمايشگر هفت ديكدر: 4آزمايشقسمتي نمايشگر هفت ديكدر سازي پياده، )HDL(افزار آشنايي با زبان توصيف سخت :هدف آزمايش

.)FPGAبراي HDL، توصيف شماتيك و توصيف 7447سي آي(هاي مختلف به شيوهي كمك جعبهقسمتي آندمشترك، ، نمايشگر هفت7447سي آي :هاي مورد نياز وسايل و المان

.روي آن نصب است Altium Designerافزار و كامپيوتري كه نرم FPGAبرد آموزشي، صفر و (نشان داديم كه به صورت باينري LEDدر آزمايش قبل، خروجي مدار را روي نمايشگرهاي

خواهيم خروجي را به صورت در اين آزمايش مي. شد نمايش داده مي) يك يا خاموش و روشن بودنبديهي است كه نمايش خروجي روي نمايشگر هفت . عدد روي نمايشگر هفت قسمتي نمايش دهيم

تر همچنين ارتباط ماشين با انسان به صورت سريع. تر است از نظر مشاهده كننده بسيار مطلوبقسمتي رسد كار كردن به صورت نظر ميه اينكه ب با وجود. باشد ميتر شده و با اشتباه كمتري توام و دقيق

ن ولي با توصيف اين طرح به صورت شماتيك پي خواهيد برد كه ممك !شماتيك ساده و راحت استهاي در اين شرايط معموال استفاده از زبان. است گاهي اوقات اين كار بسيار پيچيده و مشكل باشد

.گذارد افزار، ابزاري بسيار موثرتر و كاراتر جهت توصيف مدار در اختيار مي توصيف سخت

مشترك بودن و مشترك يا آند قسمتي از نظر كاتد روشي جهت تعيين نوع نمايشگر هفت: 1سوال .هاي آن ارائه كنيد مچنين شناسايي پايهه

شماتيك اتصاالت در نمايشگر هفت قسمتي آند مشترك و كاتد مشترك 1شكل

سي قسمتي يعني آي بين ديكدر نمايشگر هفت اين آزمايش قصد داريم با نمونه جلي اولدر قسمت .آشنا شويم) 7446( 7447

.را مطالعه كنيد 7447سي قابليتهاي آي، ويژگيها و برگه اطالعات سازندهبا مراجعه به

Page 27: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

7447سي هاي آي مداري جهت آشنايي با قابليت 2شكل

، totem-pole هايتواند به صورتقطعات ديجيتال مي هايخروجيدانيد همانطور كه مي: 2سوال open-collector يا tri-state هاي هر كدام توضيح دهيددر مورد ويژگي. شدبا.

الزم 1ديودهاي نورافشانمنظور محدود كردن جريان ه بمدار نمايشگر هفت قسمتي، در : 3سوال . صورت سري با هر يك از قطعات نمايشگر قرار گيرنده است مقاومتهاي محدودكننده جريان ب

مانند آنچه در ( ، بين تغذيه و پايه مشترك، بدين منظور استفاده نمودRتوان از يك مقاومت همچنين مي ها چيست؟ نقاط ضعف و قوت هر كدام از اين روش). گردد هده ميمشا 2شكل

آمپر از آن عبور ميلي 40ولت، جريان 5كه براي تغذية به نحوي تعيين كنيدرا Rمقاومت : 4سوال ).ولت است 7/1دود ديود نورافشان در حالت هدايت حولتاژ ( كند

ي كمك را به كليدهاي جعبه BI/RBOو LT ،RBIهاي پايه. را ببنديد 2مدار شكل: آزمايش ورودي هاي مختلف به ا اعمال وروديب. قرار دهيد highوده و آنها را در وضعيت آموزشي متصل نم

.كنيد نموده و گزارش مشاهده را خروجي ،مدار

يك صفحه نمايش با چندين هاي قسمتسالم بودن تمام ارائه كنيد تا بتوان روشي: 5الوس .را تست كرد اند درايو شده 7447كه با قسمتي نمايشگر هفت

توان با استفاده از يك اسيالتور با پهناي پالس متغير و بدون تعويض مقاومتها، چگونه مي: 6الوس را كم و زياد كرد؟ قسمتي نمايشگرهاي هفتنور

توان بدون استفاده از گيت اضافي، صفرهاي اضافي سمت چپ صفحه نمايش چگونه مي: 7الوس اين (دهند، خاموش كرد؟ طور رديفي عددي را نشان ميه ب قسمتي نمايشگر هفتزماني كه چندين را،

).توان براي صفرهاي اضافي سمت راست بعد از نقطه اعشار هم انجام داد كار را مي 1 Light Emitting Diode (LED)

Page 28: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

ي چهار بيتي بر كننده جمعدر قسمت دوم آزمايش قصد داريم ديكدر نمايشگر هفت قسمتي را به همراه

.دهد نشان مي ي طرح رابلوكنمودار 3شكل. سازي نماييم پياده FPGAروي

ك دياگرام مدار جمع كننده چهار بيتي با خروجي بر روي نمايشگر لوب 3شكل

هفت قسمتي

Active Highترك بوده و به صورت ، كاتد مش)S0(تكي تعبيه شده روي برد نمايشگر هفت قسمتي ) Com(ي مشترك آن روي نمايشگر هفت قسمتي الزم است پايهبراي نمايش مقدار بر .كند عمل مي

.كند عمل مي Active Highاين پايه نيز به صورت . نيز فعال شود

اين بلوك، خروجي . را ترسيم نماييد نمايشگر هفت قسمتي جدول درستي بلوك ديكدر: 8سوال ، a ،b ،cموده و هفت بيت را به عنوان ورودي دريافت ن) بيت حاصل جمع 4(جمع كننده چهار بيتي

d ،e ،f وg رودي روي نمايشگر نمايش يابدوكند تا معادل عددي را توليد مي.

11111110 1101 1100 1011101010011000011101100101 0100 00110010 0001 0000

.به صورت شماتيك طرح نماييد را نمايشگر هفت قسمتيبلوك ديكدر : 9سوال ي براي جمع ا نماد صفحهتوصيف شماتيك جمع كننده چهار بيتي آزمايش قبل، هاي استفاده از فايلبا

.يدكنايجاد اي براي ديكدر نمايشگر هفت قسمتي صفحههمچنين نماد . كننده چهار بيتي ايجاد نماييد، طرح ديكدر نمايشگر هفت قسمتيي نماد صفحهجمع كننده چهار بيتي و ي نماد صفحهبا استفاده از

.را در ويرايشگر شماتيك ايجاد نماييد 3شكل

Page 29: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

، ورودي دوم را به كليدهاي {Sw1, Sw2, Sw3, Sw4}ها، ورودي اول را به كليدهاي براي انتساب پايه{Sw5, Sw6, Sw7, Sw8} و رقم نقلي را به كليد فشاريPB1 هاي خروجي. ماييدمتصل ن a تاf را به

.متصل كنيد )dp( آن نقطه اعشارو خروجي نقلي را نيز به S0نمايشگر هفت قسمتي fتا aهاي پايه

ها، هاي مختلف و مشاهده خروجي با اعمال ورودي .سازي نماييد پياده FPGAطرح را بر روي .يدكنصحت عملكرد آن را ارزيابي

.گذرگاه استفاده كنيد تا شماتيكي مرتب و قابل فهم حاصل شوداز تا حد ممكن سعي كنيد

. X[3..0]هنگام تعيين كردن نام گذرگاه، آن را از باال به پايين مشخص كنيد مثل

سازي نماييم با اين تفاوت كه اين بار را پياده 3آزمايش قصد داريم مجددا طرح شكل سومدر قسمت سازي طرح روال پياده. توصيف نماييم HDLرا با قسمتينمايشگر هفت خواهيم بلوك ديكدر مي

ي ديكدر توصيف كننده HDLاي از فايل مشابه قسمت قبل است تنها با اين تفاوت كه بايد نماد صفحه :مراحل زير را دنبال نماييد براي اين بخش از كار،. گرددنمايشگر هفت قسمتي ايجاد

.ي جديدي ايجاد كنيد پروژه •

.شماتيك سطح باالي طرح خواهد بود ،اين فايل. جديدي به آن اضافه نماييدفايل شماتيك •

جمع اي از نماد صفحه .ي چهاربيتي را به طرح اضافه نماييد هاي مربوط به جمع كننده فايل • .ايجاد نموده و در شماتيك سطح باالي طرح جايگذاري كنيدي چهار بيتي كننده

Add New to Project >> VHDLي منوي نهو گزي روي نام پروژه كليك راست كنيد •

Document فايل . را انتخاب نماييدVHDL كد زير را در آن وارد كنيد. شود جديدي باز مي. library IEEE; use IEEE.std_logic_1164.all; entity SegDec is port( INPUT: in STD_LOGIC_VECTOR(3 downto 0); LED: out STD_LOGIC_VECTOR(6 downto 0) ); end SegDec; architecture SegDec_arch of SegDec is begin with INPUT select LED <= "0000110" when "0001", --1 "1011011" when "0010", --2 "1001111" when "0011", --3 "1100110" when "0100", --4 "1101101" when "0101", --5 "1111101" when "0110", --6 "0000111" when "0111", --7 "1111111" when "1000", --8 "1101111" when "1001", --9 "1110111" when "1010", --A "1111100" when "1011", --B

Page 30: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

"0111001" when "1100", --C "1011110" when "1101", --D "1111001" when "1110", --E "1110001" when "1111", --F "0111111" when others; --0 end SegDec_arch;

روي نام اين فايل در سلسله مراتب پروژه . ذخيره نماييد segdec.vhdرا با نام VHDLفايل •را انتخاب كنيد تا فايل Compile Document segdec.vhdي كليك راست كنيد و گزينه

.خطاهاي احتمالي را برطرف نماييد. كامپايل شود

ي منوي گزينه. تا فعال شود كليك كنيدشماتيك سطح باالي طرح روي نام Projecsدر پانل •Design >> Create Sheet Symbol From Sheet or HDL اي را انتخاب كنيد تا نماد صفحه

.نماد صفحه را جايگذاري نموده و اتصاالت الزم را برقرار نماييد. ايجاد گردد VHDLاز فايل

.گردد FPGAسازي بر روي ل تكميل كنيد تا قابل پيادهطراحي را مشابه قسمت قب •

را مورد آنهاي مختلف، صحت عملكرد با اعمال ورودي سازي نماييد و پياده FPGAطرح را روي .بررسي قرار دهيد

توصيف «هاي گوناگوني از جمله توان به شيوه دانيد طرح را مي همانطور كه مي: 10سوال نقاط قوت و . توصيف نمود» 2هاي حالت محدود ماشين«و » 1افزار زبان توصيف سخت«، »شماتيك

.ضعف هر كدام را ذكر نماييد

1 Hardware Description Language (HDL) 2 Finite State Machine (FSM)

Page 31: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

ALUسازي طراحي و پياده: 5آزمايش و ارزيابي FPGAسازي مدار بر روي بيتي، پياده 4طراحي واحد محاسبه و منطق :هدف آزمايش

.عملكرد آنروي آن نصب Altium Designerافزار امپيوتري كه نرمو ك FPGAبرد :هاي مورد نياز وسايل و المان

.استALU1 مداري تركيبي است كه عمليات محاسباتي و منطقي را روي يك جفت عملوندn بيتي انجام

مشخص Function Selectاي ورودي با نام چه عملي را انجام دهد توسط دسته ALUاينكه . دهد مي، )M )Modeهاي نام اب Function Selectتوسط سه ورودي ALUدر اين آزمايش، عملكرد . گردد ميS0 وS1 )Select (ورودي . گردد تعيين ميM كند كه عمل محاسباتي است مشخص مي)M=1 ( يا

زير جدولدر ALUتوابع اين . دهند نيز نوع عمل در هر حالت را نشان مي S1و M=0 .(S0(منطقي .ليست شده است

M=0 C0 S0 S1 تابع )تك بيتي(عملكرد

ANDAB X0 0 ORA+B X1 0 XORA⊕B X0 1 XNOR(A⊕B)' X1 1 M=1

C0 S0 S1 تابع عملكرد A )MOV A( A 00 0انتقال

A )INC A( A+1 10 0يك واحد افزايش به

B )ADD A,B( A+B 01 0و Aجمع

B )ADDC A,B( A+B+1 11 0و Aبه جمع افزايش يك واحد

B )A+B'( A+B' 00 1و مكمل يك Aمجموع

A )A-B( A-B 10 1از Bتفاضل

A )B+A'( A'+B 01 1و مكمل يك Bمجموع

B )B-A( B-A 11 1از Aتفاضل

1 Arithmetic Logic Unit

Page 32: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

.دهد را نشان مي ALUبلوك دياگرام اين 1شكل

)ALU(بلوك دياگرام واحد محاسبه و منطق 1شكل

در اين . شته باشيمشود براي نمايش اعداد منفي بايد قراردادي دا وقتي عمليات محاسباتي انجام مي اين روش نسبت به روش دامنه و . (شود براي نمايش اعداد منفي استفاده مي 2آزمايش از روش مكمل

توان به سهولت در جمع و تفريق اعداد مثبت و منفي و همچنين عالمت مزايايي دارد كه از آن جمله مي ).منحصر به فرد بودن فرم نمايش صفر اشاره نمود

كنيم ، يك واحد محاسبه و منطق يك بيتي طراحي مي2، ابتدا مطابق بلوك دياگرام شكلدر اين آزمايش .بيت تعميم خواهيم داد 4و سپس آن را به

بلوك دياگرام واحد محاسبه و منطق يك بيتي 2شكل

شود، همانطور كه در اين بلوك دياگرام مالحظه مي. دهد دياگرام كلي مدار را نشان مي بلوك 3شكل .شوند نمايش داده مي LEDها روي نمايشگر هفت قسمتي و نمايشگرهاي يخروج

Page 33: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

بلوك دياگرام كلي مدار شامل واحد محاسبه و منطق و واحد نمايش 3شكل

هاي قبلي است لذا ضروري است كه در طراحي تر از آزمايش از آنجا كه اين آزمايش قدري پيچيده بطور كامل تست نماييد تا در تست كل طرح به مشكل بسيار دقت نموده و هر يك از اجزاي طرح را

.برخورد نكنيد .در ادامه، مراحل انجام كار ليست شده است

هاي اضافي ديگر، يا با و گيت 11به 4پلكسر طراحي واحد منطقي يك بيتي با استفاده از مالتي • سازي آن با استفاده از جدول كارنو نوشتن جدول درستي و ساده

يك بيتي و طبق بلوك حاسباتي يك بيتي با استفاده از ماژول تمام جمع كننده طراحي واحد م • 4دياگرام شكل

بلوك دياگرام واحد محاسباتي 4شكل

CR0118توانيد فايل دانيد مي را نمي FPGA Generic.IntLibمجتمع ي ي مورد نظر خود در كتابخانه چنانكه نام قطعه 1

FPGA Generic Library Guide.pdf اين فايل در . مجتمع جستجو كنيد ي را براي يافتن قطعه و نام آن در اين كتابخانه 1به 4پلكسر به عنوان مثال، فرض كنيد نياز به يك مالتي. قرار دارد Helpاي به نام افزار و در پوشه مسير نصب نرم

هاي موجود، مالحظه با بررسي گزينه. كنيم كليك مي Multiplexerي ي دوم اين فايل روي گزينه در صفحه. داريم .استفاده شود M1_S4S1ي از قطعه گردد كه بايد مي

Page 34: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

در اين مرحله الزم . هاي يك بيتي بيتي با استفاده از ماژول 4طراحي واحد محاسبه و منطق • .نيز طراحي گردد) overflow(است مدار تشخيص سرريز

در حالت محاسباتي، . ري كه خروجي حالت محاسباتي و منطقي را تفكيك نمايددكُطراحي دي •خروجي را به صورت دامنه و عالمت تفكيك كند و در حالت منطقي، نمايشگر هفت قسمتي

.مقدار صفر را نمايش دهد

در اين آزمايش مجاز . ر جهت نمايش دامنه خروجي روي نمايشگر هفت قسمتيدطراحي ديكُ •نام اين . افزار نيز استفاده كنيد ي نرم از ديكُدر نمايشگر هفت قسمتي موجود در كتابخانههستيد .است D7SEGBقطعه

.كاربر محدوديت ها در فايل ها و خروجي تعيين ورودي •

.العمل زير عمل نماييد هاي ورودي و خروجي، طبق دستور پايهو اتصال براي انتسابتامين } Sw5, Sw6, Sw7, Sw8{و } Sw1, Sw2, Sw3, Sw4{توسط كليدهاي ها ورودي •

.گردد

.نمايش داده شود }LED1, LED2, LED3, LED4{نمايشگرهاي هاي منطقي روي خروجي •

.نشان داده شود S0تكي هاي محاسباتي روي نمايشگر هفت قسمتي خروجي •

.نقطه اعشار همان نمايشگر هفت قسمتي نمايش يابد توسط خروجي عالمت •

.نمايش داده شود LED8 نمايشگر روي overflowخروجي •

عملكرد آن را مورد ارزيابي قرار سازي نماييد و پس از بستن مدار، پياده FPGA طرح را روي .دهيد

Page 35: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

هافالپ فليپ: 6آزمايش، آشنايي با JK فالپ آشنايي با فليپ ،RACEو پديده RSفالپ آشنايي با فليپ :هدف آزمايش

). thو tsu(هاي زماني آن محدوديت و بررسي 7474سي آي .7474و 7411هاي سي جعبه كمك آموزشي، اسيلوسكوپ، آي :هاي مورد نياز وسايل و المان

را با اعمال 1را ببنديد و ستون مربوط به آزمايش يك در جدول 1شكل RSفالپ مدار فليپ را به S يكسان هر دو ورودي،براي تحريك همزمان و سپس. تكميل نماييد) n=5تا (حاالت ذكر شده

R براي ديدن پديده . وصل كنيدRACE 5براي (ادامه جدول را›n (تكميل نماييد.

RSفالپ اي از فليپ طرح ساده 1شكل

.را توضيح دهيد Raceپديده وقوععلت : 1سوال Raceو بررسي پديده RS فالپ هاي مربوط به فليپ نتايج آزمايش 1جدول

1- جدول ايش يكآزم آزمايش دوQn Qn Qn Qn S R n

1 0 1 1 1 2 0 1 3 1 1 4 0 0 5 1 1 6 0 0 7 1 1 8 0 0 9 1 1 10 0 0 11 1 1 12 0 0 13 1 1 14 0 0 15 1 1 16 0 0 17 1 1 18 0 0 19 1 1 20

Page 36: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

.تكميل كنيد 1در جدول را ستون مربوط به آزمايش دو و را ببنديد 2مدار شكل

1شكل RSفالپ اي براي فليپ شده طرح اصالح 2شكل

.را توضيح دهيد RACEعلت برطرف شدن : 2سوال در . دشو ناميده مي JKفالپ كه در آن حالت نامعين وجود ندارد، فليپ RSفالپ مداري كاملتر از فليپ

.نشان داده شده است JKفالپ اي ابتدايي از فيلپ، نمونه3شكل

JKفالپ اي ابتدايي از فليپ نمونه 3شكل

J=K=1و clock=1كه در آن n=6بررسي كنيد و در حالت 2عملكرد اين مدار را طبق جدول .نماييدو ثبت است خروجي را با اسيلوسكوپ مشاهده

JKفالپ نتايج آزمايش فليپ 2جدول

Qn+1 clock K J N 1 0 1 0 0 2 0 1 3 0 0 4 0 1 1 5 1 1 1 6 0 1 1 7 1 0 8 1 0 0 9 1 0 1 10 1 0 0 11 0 0 0 12 0 1 13 1 0 0 14 1 1 0 15 1 0 0 16 0 0 0 17

.

Page 37: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

چيست؟ n=6علت وقوع اشكال حالت : 3الوس

صورت را با محدود كردن طول پالس حل نمود؟ در اين n=6توان اشكال حالت ميآيا : 4الوس تواند باشد؟ حداكثر و حداقل طول پالس ساعت چقدر مي

دهد كه در آن اشكال را نشان مي master-slaveاز نوع JKفالپ يك فليپ 4مدار شكل: 5الوس چگونگي برطرف شدن اشكال حالت با توضيح اجمالي طرز كار مدار،. برطرف شده است n=6حالت

6=n فالپ را شرح دهيد در اين فليپ.

Master-Slaveاز نوع JKفالپ فليپ 4شكل

فالپ را مشخصات اين فليپ. است Master-Slaveاز نوع JKفالپ شامل دو عدد فليپ 7476سي آي .نماييد مطالعه برگه اطالعات آناز

، Altium Designerافزار موجود در ويرايشگر شماتيك نرم JKفالپ با استفاده از فليپ: 6سوال :هاي زير طراحي نماييد بيتي با قابليت 4رجيستري شيفت )Data hold, S1=0, S0=0(نگهداري داده •

)Circular Shift to Right, S1=0, S0=1( راستشيفت حلقوي به •

)Circular Shift to Left, S1=1, S0=0( شيفت حلقوي به چپ •

)Parallel Load, S1=1, S0=1(ر كردن موازي داده با •

)S0 وS1 كنند رجيستر را تعيين مي هاي كنترلي هستند كه حالت عملكرد شيفت ورودي(.

.مطالعه و گزارش نماييد برگه اطالعاترا از 7474سي مشخصات آي ها داراي فالپ يپاين فل .استاز نوع تريگر با لبه مثبت Dفالپ شامل دو عدد فليپ 7474سي آي

:باشند هاي زماني زير مي محدوديتپالس فعالحداقل زماني است كه بيت داده بايد قبل از رسيدن لبه ) : tsu(سازي زمان آماده •

.ساعت حاضر باشد

Page 38: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

پالس ساعت فعالحداقل زماني است كه بيت داده بايد پس از رسيدن لبه ) : th(زمان نگهداري • .حاضر باشد

.دهد را نشان مي Dفالپ سازي و زمان نگهداري فليپ ادهزمان آم 5شكل

Dفالپ سازي و زمان نگهداري فليپ زمان آماده 5شكل

آيا تغييري . يدنمايپالس ساعت را اعمال . كنيد clearفالپ را ابتدا فليپ. را ببنديد 6مدار شكل كنيد؟ علت چيست؟ مشاهده مي

6شكل 7شكل 8شكل

آيا تغييري . فالپ، پالس ساعت را اعمال كنيد كردن فليپ clearرا ببنديد و پس از 7مدار شكل كنيد؟ چرا؟ مشاهده مي

آيا تغييري . فالپ، پالس ساعت را اعمال كنيد كردن فليپ presetرا ببنديد و پس از 8مدار شكل كنيد؟ چرا؟ ه ميمشاهد

را با مدار ديگري جايگزين كرد؟ RCتوان آيا مي: 7سؤال

) كيلو اهم 10ال مث(داديم مقادير بزرگتري قرار مي Rجاي مقاومت ه در مدارات قبلي اگر ب: 8سؤال داد؟ كرديم، آيا تغييري در جوابهاي حاصله رخ مي و خازن را به همان نسبت كوچك مي

Page 39: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

سنكرونو اي آسنكرونه شمارنده: 7آزمايش .7490سي سنكرون و آشنايي با آي و طرح و آزمايش چند مدار شمارنده آسنكرون :هدف آزمايش

Altiumافزار ، كامپيوتري كه نرمFPGAبرد جعبه كمك آموزشي، :وسايل و المانهاي مورد نياز

Designer ساس طراحيالمانهاي مورد نياز بر او ديگر 7490سي آي ،روي آن نصب است.

آنها عالوه بر عمل شمارش، براي كارهايي نظير . شوند ها ساخته مي فالپ ها با استفاده از فليپ شمارندهها را به دو شمارنده. روند تقسيم فركانس، دنبال كردن مراحل عملياتي و محاسبات رياضي نيز بكار مي

.هاي سنكرون و شمارنده) ريپل(هاي آسنكرون شمارنده: توان تقسيم نمود دسته اصلي مي

.يك شمارنده ريپل صعودي چهار بيتي بسازيد، JKفالپ با استفاده از فليپ

لبه مثبت، لبه منفي، سطح (بايد داراي چه نوع تريگري باشد؟ JKفالپ براي اينكار فليپ: 1سؤال چرا؟) مثبت يا سطح منفي

.را مطالعه و گزارش كنيد 7490سي يمشخصات آ برگه اطالعات سازنده،با استفاده از : 2سؤال

چه كاربردي دارند و چرا دو پايه براي هر كدام در MRو MSهاي سي پايهدر اين آي: 3سؤال نظر گرفته شده است؟

طرح و آزمايش 6و بدون استفاده از گيت اضافي يك شمارنده مدول 7490سي با استفاده از آي .كنيد

.طراحي كنيد 5يك شمارنده دو رقمي در مبناي 7490 با استفاده از دو عدد: 4سؤال

يعني (با خروجي متقارن 10كننده فركانس مبناي يك تقسيم ،7490 سي آي با استفاده از: 5سؤال duty cycle = 0.5 (طراحي كنيد.

7490را مطالعه و آنرا با 74190سي مشخصات آي برگه اطالعات سازنده،با استفاده از : 6سؤال .سه كنيدمقاي

يك شمارنده سنكرون مدولي با سيكل كار زير طرح و آزمايش ، JKفالپ با استفاده از فليپ .يدنماي

Page 40: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

ايد؟ چه فكري كرده 2و 1، 0براي حاالت : 7سؤال

آيد و در سرعتهاي باالتر از اين حد چه سرعت حدي شمارنده سنكرون چگونه بدست مي: 8سؤال نده آسنكرون چطور؟افتد؟ براي شمار اتفاقي مي

نيز Auto Reset (Power-on Reset)يك شمارنده حلقوي سنكرون طراحي كنيد كه داراي مدار .شروع به شمارش كند 0001يعني به محض روشن شدن دستگاه، شمارنده از حالت . باشد

Page 41: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

555تايمر : 8آزمايش .ويبراتور منواستابل و آستابل يعنوان مولته و استفاده از آن ب 555آشنايي با تايمر :هدف آزمايش

، 555سي تايمر ، آي4051سي جعبه كمك آموزشي، اسيلوسكوپ، آي :وسايل و المانهاي مورد نياز .طراحي شده هايها و خازننانوفاراد و مقاومت 10خازن

لد سي شامل دو مدار دقيق مو اين آي. دهد را نشان مي 555 تايمر سي بلوك دياگرام داخلي آي 1شكل

اندازي را راه R-Sفالپ ها فليپ كننده باشد كه اين مقايسه كننده ولتاژ مي ولتاژ مرجع و دو مقايسه .كند انداز خروجي را كنترل مي فالپ نيز به نوبه خود تزانزيستور راه فليپ. كنند مي

555سي تايمر بلوك دياگرام داخلي آي 1شكل

با اعمال ولتاژ . دهد را نشان مي 555با استفاده از تايمر ويبراتور آستابل مولتي شماتيك مدار 2شكلشود تا اينكه شارژ مي R2و R1بصورت نمايي و از طريق مقاومتهاي C1خازن ،تغذيه به اين مدار

را بصورت C1در اين لحظه ترانزيستور داخلي فعال شده و خازن . ولتاژ تغذيه برسد 66/0ولتاژ آن به در . ولتاژ تغذيه برسد 33/0كند تا اينكه ولتاژ خازن به دشارژ مي 7و پايه R2مت نمايي و از طريق مقاو

شود و شارژ مي R2و R1مجدداً از طريق مقاومتهاي C1اين هنگام ترانزيستور خاموش شده و خازن .اين مراحل تكرار خواهد شد

555ر سي تايم ويبراتور آستابل با استفاده از آي مدار شماتيك مولتي 2شكل

Page 42: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

به صورت موج خروجي duty cycleفركانس نوسان و ، نشان دهيدبراي اسيالتور فوق: 1الوس به مدت نسبت بودن سيگنال highمدت زمان ، duty cycleتوجه داشته باشيد كه. (يدآ ميبدست زير

).شود تعريف مي تناوب آنزمان يك

21

21

121

122

1211

2

)2(693.0693.0

)(693.0

RRRRcycleduty

CRRTCRt

CRRt

++

=−

+==

+=

هرتز 100، مداري طراحي كنيد كه يك موج مربعي با فركانس 555مر با استفاده از تاي: 2سوال هاي ذكر شده محدوديت .هاي مدار توجه داشته باشيد مقدار المان در طراحي به محدوديت .توليد كند

:در برگه اطالعات آي سي عبارتند از

pFCMinLeakagebyLimitedCMaxkRorRMinMRRMax

500::1:3.3: 2121 ΩΩ+

سايكل سازي نماييد و فركانس و ديوتي را شبيه ويبراتور آستابل طرح شده مدار مولتي: 1سازي شبيه .موج خروجي را ثبت كنيد

مدار طرح شده را در آزمايشگاه ببنديد و پس از اطمينان از درستي عملكرد آن، فركانس و .سايكل موج خروجي را ثبت كنيد ديوتي

موج خروجي ايجاد شده توسط اين مدار چقدر است؟ duty cycleحداقل : 3الوس

چه پيشنهادي داريد؟ duty cycle = 25%براي داشتن : 4الوس

. باشد ولتاژ تغذيه مي 66/0و 33/0در حالت عادي، سطوح ولتاژ تريگر و آستانه به ترتيب : 5سوال توان اين سطوح ولتاژ را تغيير داد؟ چگونه؟ آيا مي

. منواستابل تبديل كرد ويبراتور لتيموويبراتور آستابل را به توان مولتي مي 2با اندكي تغيير در مدار شكل

با اعمال يك پالس منفي به پايه . دهد منواستابل را نشان مي ويبراتور مولتيي شماتيك مدار 3شكلكند تا شروع به شارژ شدن مي R1از طريق مقاومت C1تريگر، مدار به حالت ناپايدار رفته و خازن

ين هنگام ترانزيستور روشن شده و خازن سريعاً دشارژ ولتاژ تغذيه برسد در ا 66/0اينكه ولتاژ آن به .ماند تا تحريك بعدي برسد شود و مدار در اين حالت پايدار باقي مي مي

Page 43: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

555سي تايمر ستابل با استفاده از آيمنواويبراتور مدار شماتيك مولتي 3شكل

ه ب C1و Rحسب عرض پالس بر ، نشان دهيد كهمنواستابل فوقويبراتور مولتيبراي : 6سوال 11.1 .صورت زير است RCt =

.ويبراتور منواستابل با پهناي پالس يك ميلي ثانيه طراحي كنيد ، يك مولتي555با تايمر : 7سوال

.سازي نماييد اتور منواستابل طرح شده را شبيهويبر مدار مولتي: 2سازي شبيه

و خروجي را به طور همزمان مشاهده نموده 6ي شكل موج پايه، در آزمايشگاه پس از بستن مدار كه براي مشاهده يك پديده روي صفحه اسيلوسكوپ الزم است كه داشته باشيدتوجه ( .و ثبت نماييد

ابراين براي مشاهده خروجي منواستابل روي صفحه اين پديده بصورت پريوديك اتفاق بيافتد بن ). صورت پريوديك تحريك كنيده اسيلوسكوپ الزم است آنرا ب

بودن آن بيش از lowاگر پالس تريگر سوزني نباشد بطوريكه زمان ، 3در مدار شكل: 8الوس 1.1RC1 افتد؟ باشد چه اتفاقي مي

.گزارش كنيدرا هاي كاري آن حالتده و نموه مطالعبرگه اطالعات آن را از 4051سي مشخصات آي

مداري طراحي كنيد كه به ازاء كدهاي داده شده در 4051و يك عدد 555 تايمركمك يك ه ب .دكنايجاد درصد 99تا 1و ديوتي سايكل قابل تنظيم از سيگنالي مربعي با فركانس مطلوب ،جدول زير

وروديكد فركانس سيگنال مربعي خروجي

100 Hz000 200 Hz001 500 Hz010 1 kHz011 2 kHz100 5 kHz101 10 kHz110 20 kHz111

Page 44: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

تريگر اشميت: 9آزمايش .تريگر و كاربردهاي آن آشنايي با اشميت :هدف آزمايش

.7414سي جعبه كمك آموزشي و آي :وسايل و المانهاي مورد نياز كه باعث پرش vinمقدار . دهد را نشان مي معكوس كننده غير تريگر مشخصه انتقال يك اشميت 1شكل

كه موجب پرش vinو مقداري از ) +VT(نامند شود را ولتاژ آستانه مثبت مي مي highبه lowخروجي از همچنين اختالف بين ولتاژهاي ). -VT(نامند شود را ولتاژ آستانه منفي مي مي lowبه highخروجي از

.نامند باند مرده ميآستانه را پسماند يا

)Noninverting(كننده تريگر غير معكوس مشخصه انتقالي اشميت 1شكل

.عملكرد سوئيچينگ مدار را شرح دهيد، 1شكلبر اساس : 1الوس

را 7414كننده اشميت تريگر مشخصه انتقال معكوس ا استفاده از برگه اطالعات سازنده،ب: 2الوس .ه و پس ماند آنرا تعيين كنيدبدست آورده و ولتاژهاي آستان

.سي را بنويسيد چهار مورد از كاربردهاي عمومي اين آي: 3الوس

NOTاستفاده از گيت ، TTLويبراتور آستابل ايجاد مولتي وشترين ر آسانترين و اقتصادي .باشد تريگر مي اشميت

تريگر اشميت كننده معكوس گيتويبراتور آستابل با استفاده از مولتي 2شكل

Page 45: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

مقدار آنها را سپس. ، رابطه بين فركانس خروجي با المانهاي مدار را بدست آوريد 2در مدار شكل .محاسبه نماييد 10kHzبراي فركانس خروجي

شكل موج ورودي و خروجي گيت را مشاهده و رسم ،كمك اسيلوسكوپه مدار را ببنديد و ب .نماييد

هاي مدار را مقادير المان. و خروجي گيت را رسم كنيد، شكل موج ورودي 2سازي مدار شكل با شبيه .برابر مقادير تعيين شده براي آزمايش فوق قرار دهيد

.بپردازيم پديده نوسان سوئيچخواهيم به ميآزمايش در قسمت دوم

؟ي نوسان سوييچ چيستپديده

ي طرح يش هزينهتوان افزادر چه شرايطي استفاده از مدار حذف نوسان سوييچ ضروري است و مي را براي اين منظور توجيه نمود؟

را به ديكدر و نمايشگر ببنديد و خروجي آن 10يك شمارنده مبناي ،7490سي آيبا استفاده از كالك ورودي را توسط يك كليد فشاري ايجاد نماييد . قسمتي جعبه كمك آموزشي متصل كنيد هفت

.پر كنيد 1و ستون مربوطه را در جدول) فاده نكنيدشده جعبه كمك آموزشي است debounceاز كليد ( .يدنماينتايج را تحليل سپس

1- دولج عدد روي نمايشگر هفت قسمتي وضعيت كليد كليد فشاري كليد با مدار حذف نوسان

0 1 0 1 0 1

نتايج . ول پر كنيداستفاده كنيد و ستون مربوطه را در جد 3جاي كليد فشاري از مدار شكله حال ب .دنماييرا تحليل

Page 46: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

7414تريگر گرفتن نوسان سوييچ با استفاده از گيت اشميت 3شكل

Page 47: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

آشنايي با جعبه كمك آموزشي: پيوست الف :باشد شود كه شامل قسمتهاي زير مي در انجام آزمايشات از جعبه كمك آموزشي استفاده مي

:واحد فانكشن ژنراتور ثابت و TTLدامنه موج . باشد مي TTLوجهاي سينوسي، مثلثي، مربعي و اين قسمت داراي شكل م

همچنين فركانس شكل . ولت قابل تنظيم است 10ولت و دامنه سه موج ديگر بين صفر تا 5برابر .باشد كيلوهرتز قابل تنظيم مي 100هرتز تا 1/0موجهاي توليد شده بين

:واحد منبع تغذيهولت و يك خروجي متغير قابل تنظيم -15و + 15، +5ولتاژهاي ثابت اين واحد داراي سه خروجي با

منابع تغذيه مستقل از يكديگر بوده و در برابر جريانهاي بيش از يك آمپر . باشد ولت مي 30تا 2بين .اند حفاظت شده

: بورد اصلي سر و كليدهاي قسمتي، نشانگرهاي خروجي، پال ها، نمايشگرهاي هفت سي بورد اصلي دستگاه حاوي آي

. براي ديد بهتر، صفحه زمينه سفيد و عالئم راهنما با رنگ مشكي چاپ شده است. باشد ورودي ميپين 540براي هر ورودي يا خروجي دو پين در نظر گرفته شده است و بورد اصلي مجموعاً داراي

اي مختلف نصب شده اجز. شوند ارتباطات برقرار مي) سيم تلفن(باشد كه با سيمهاي مفتولي نازك مي :باشند روي بورد اصلي بشرح زير مي

ه باشد كه ب دهنده سطح صفر و يك منطقي مي قرمز نشان LEDعدد 8: نشانگرهاي خروجي -الف .رود عنوان نمايش دهنده خروجي مدار بكار مي

نوسان كه) يكي از صفر به يك و ديگري بالعكس(با دو خروجي معكوس كليد فشاري: پالسر -ب)bounce (آن گرفته شده است. را هاي الزم مدار كه ورودي) با حالتهاي صفر، يك و قطع(حالته عدد كليد سه 8: كليدهاي ورودي -ج

در نظر گرفته شده كه نشان دهنده صفر يا LEDالزم به ذكر است كه براي هر كليد يك . دكنن تامين مي .يك بودن كليد است

از )segment-7(نمايشگر هفت قسمتي د اصلي شامل چهار عددبور: قسمتي نمايشگرهاي هفت - د .، قابليت نمايش يك عدد چهار رقمي را داردBCDهاي باشد كه با ورودي مي LEDنوع

Page 48: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

: هاي ديگر سي ها و آي گيتپلكسر و ديكودر در فالپ ها به همراه مالتي هاي گوناگون، انواع فليپ هاي مختلف نظير گيت سي آي

اند و نقشه آنها روي بورد فراهم گرديده ها روي سوكت نصب شده سي تمامي آي. شده استنظر گرفته ها در سي ليست كامل آي. رساند كند و زمان بستن مدار را به حداقل مي كه كار با آنها را آسان مي

.نشان داده شده است 1جدول هاي موجود بر روي جعبه كمك آموزشي سي ليست كامل آي 1جدول

تعداد سي شماره آي سي ينوع آ AND 7408 2گيت

OR 7432 2گيت NAND 7400 2گيت

NOR 7402 2گيت NOT 7404 1گيت XOR 7486 1گيت

D 74175 2فليپ فالپ JK 7476 2فليپ فالپ 2 74138 ديكودر

2 74151 مالتي پلكسر

: بردبوردشده است كه براي انجام آزمايشهاي بيشتر و اي تعبيه خانه 840روي اين دستگاه سه عدد بردبورد

.شود بستن انواع مدارات استفاده مي

:در هنگام استفاده از جعبه كمك آموزشي الزم است به نكات زير توجه شود باشند الزم است با توجه به اينكه منابع تغذيه و همچنين فانكشن ژنراتور مستقل از هم مي •

.وصل شود درصورت لزوم زمين آنها به يكديگراند بصورت هايي كه بمنظور استفاده دانشجو روي جعبه كمك آموزشي نصب شده سي آي •

.اند و تنها الزم است وروديها و خروجيهاي آنها وصل شود داخلي تغذيه شده .باشد مي 220VAC / 50Hzبرق مصرفي دستگاه •

Page 49: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

نظير ولتاژ ( گيرند ميالزم است قبل از شروع آزمايش تمام قسمتهايي كه مورد استفاده قرار •شده، سيگنال فانكشن ژنراتور، نشانگرهاي خروجي، كليدهاي ورودي، منابع تغذيه رگوله

) …نظير پروب اسيلوسكوپ و(و ديگر وسايل مورد استفاده ) …هاي مورد استفاده و سي آي .امتحان شوند

Page 50: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

FPGAآشنايي با برد : پيوست ب

هاي ها و توانايي ر كارآمد جهت آشنايي دانشجويان با قابليتبسياابزاري FPGAارزيابي / برد آموزشيFPGA اي است تا برد داراي كانكتورهاي گسترش و بردهاي توسعه. ي استفاده از آنها است و نحوه

هاي ميكروپروسسور و ميكروكامپيوتر نيز قابل عالوه بر آزمايشگاه مدارهاي منطقي، براي آزمايشگاهبرد به نحوي طرح شده است تا مهندسان طراحي نيز بتوانند از آن براي ارزيابي بعالوه،. استفاده باشد

استفاده از . گردد انجام مي JTAGاز طريق FPGAريزي برنامه. سريع عملكرد طرح خود بهره گيرندJTAG هاي ي وضعيت پايه امكان مشاهدهFPGA در كنار . كند بر روي كامپيوتر را فراهم ميhard-

JTAG صاالت ، اتsoft JTAG نظير (نظير آن هاي بي نيز تدارك ديده شده است تا بتوان از قابليت. زدايي طراحي استفاده نمود يابي و اشكال در عيب) ي وضعيت نقاط تست درون طرح مشاهده

:زير است صورتمشخصات اين برد به )Spartan-IIي هزار گيتي از خانواده 200ي نمونه( FPGAسي آي •

)S0( كاتد مشتركنمايشگر هفت قسمتي يك عدد • ) S4و S1 ،S2 ،S3( پلكس شده مالتي د مشترككات نمايشگر هفت قسمتيچهار عدد • ) LED8و ... ، LED1 ،LED2( نمايشگر ديود نورافشان عدد 8 • ) Sw8و ... ، Sw1 ،Sw2( ديجيتال يعدد كليد به عنوان ورود 8 • )PB2و PB1( يفشاردو عدد كليد • )Buzzer(تي صو يروجخ • )MHz 20( فركانس باال ساز نوسان • )Hz - 100 Hz 1 ( يا صد هرتزيك هرتز موج مربعي مولد •• hard JTAG )JTAG(

• soft JTAG )SJTAG(

آمپر خارجي ميلي 500ولت 5/7ي آداپتور تغذيه •

ولت 5/2و 3/3، 5واحد تغذيه با رگوالتورهاي ولتاژ •

)E1,E2(اي ميكروپروسسور و ميكروكامپيوتر هاي گسترش براي بردهكانكتور •

)E0(كانكتور گسترش اضافي • .دهد را نشان مي FPGAها و اتصاالت برد قابليت 1شكل

Page 51: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

FPGAها و اتصاالت برد قابليت 1شكل

پلكس شده د مشترك مالتيكاتهمانطور كه ذكر شد برد داراي چهار عدد نمايشگر هفت قسمتي پايه كنترلي هر . اند آنها با هم موازي شده dot pointو a ،b ،c ،d ،e ،f ،gهاي باشد كه پايه مي

بنابراين براي نمايش داده روي . متصل شده است FPGAاي از ها بطور جداگانه به پايه كدام از نمايشگرپايه و سپس ) active high(يكي از نمايشگرها، ابتدا داده مورد نظر را روي خطوط داده قرار دهيد

الزم است همزمان با قرار دادن ،عدد نمايشگر 4كنيد براي داشتن خروجي روي هر highكنترلي آن را داده ) ميلي ثانيه 10حدود (پس از مدتي . داده مربوط به هر كدام، پايه كنترلي آن را نيز فعال كنيد

پايه كنترلي نمايشگر قرار دهيد و بطور همزمان، FPGAهاي مربوط به نمايشگر بعدي را روي پايه ).بقيه را بايد خاموش كرده باشيد(بعدي را فعال كنيد

هاي فشاري، نمايشگرهاي ديود هاي دو حالته، كليد كليد(ها ها و خروجي ي ورودي مسير كليهدر هايي تعبيه شده pin header) سازها نورافشان، نمايشگرهاي هفت قسمتي، خروجي صوتي و نوسان

الزم FPGAبراي اتصال هر خط به . فراهم گردد FPGAداسازي و اتصال آنها به است تا امكان ج .است جامپر متناظر در محل خود قرار داده شود

Page 52: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

100براي فركانس . تواند موجي با فركانس يك يا صد هرتز توليد كند ساز فركانس پايين مي نوسان د و براي فركانس يك هرتز بايد در حالت ساز بايد در وضعيت پايين قرار گير هرتز، جامپر كنار نوسان

.باال قرار داده شود

FPGAاندازي و استفاده از برد نحوه راهرگوالتورهاي . ولت را به فيش جك تغذيه متصل نماييد تا تغذيه الكتريكي برد فراهم گردد 5/7آداپتور

يد تغذيه را روشن كنيد وقتي كل .كنند هاي مورد نياز برد را تامين مي موجود روي برد ساير ولتاژ .دهند را نشان مي 5/2و 3/3، 5هاي ديودهاي نورافشان آبي، قرمز و سبز به ترتيب وجود ولتاژ

روي آن و يك كابل يك به يك به پورت موازي كامپيوتر DB25را از طريق كانكتور FPGAبرد )LPT (سر مادگي كابل به كانكتور . متصل نماييدDB25 ي كابل به پورت موازي روي برد و سر نر

.شود پشت كامپيوتر متصل ميافزار را در حالت ، ارتباط با سخت Devicesي را باز كنيد و در پنجره Altium Designerافزار نرم

Live توانيد طرح خود را روي برد اكنون مي. گردد قطعه شناسايي شده و ارتباط برقرار مي. قرار دهيدdownload آن را ارزيابي نماييدنموده و عملكرد.

Page 53: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

هاي موجود در آزمايشگاه سي ليست آي: پيوست چ Function No. Quadruple 2-INPUT NAND gate 7400 Quadruple 2-INPUT NOR gate 7402 Hex INVERTER 7404 Hex BUFFER with 30 V open-collector output 7407 Quadruple 2-INPUT AND gate 7408 Triple 3-INPUT NAND gate 7410 * Triple 3-INPUT AND gate 7411 * Hex Schmitt-trigger INVERTER 7414 * Dual 4-INPUT NAND gate 7420 Dual 4-INPUT AND gate 7421 Quadruple 2-INPUT NAND gate with 15 V open collector output 7426 Triple 3-INPUT NOR gate 7427 8-INPUT NAND gate 7430 Quadruple 2-INPUT OR gate 7432 Quadruple 2-INPUT NAND BUFFER with open-collector output 7438 BCD-TO-7-SEGMENT DECODER/DRIVER with 15V open collector 7447 * BCD-TO-7-SEGMENT DECODER/DRIVER 7448 2-WIDE 2-INPUT + 2-WIDE 3-INPUT AND-OR-INVERT gate 7451 Dual D-TYPE FLIP-FLOP with preset and clear 7474 * Dual J-K FLIP-FLOP with preset and clear 7476 * Single-bit FULL ADDER 7480 4-bit FULL ADDER 7483 4-bit MAGNITUDE COMPARATOR 7485 Quadruple 2-INPUT EXCLUSIVE-OR gate 7486 64-bit RAM (16x4) 7489 DECADE COUNTER 7490 * 8-bit SHIFT REGISTER (serial-in, serial-out) 7491 4-bit right-left SHIFT REGISTER (parallel/serial-in, parallel/serial-out) 7495 MONOSTABLE with Schmitt-trigger input 74121 * 13-INPUT NAND gate 74133 3-to-8 LINE DECODER/DEMULTIPLEXER with inverted output 74138 Dual 2-to-4 LINE DECODER/DEMULTIPLEXER with inverted output 74139 BINARY 8-LINE TO 3-LINE PRIORITY ENCODER 74148 1-to-8 DATA SELECTOR/MULTIPLEXER 74151 Dual 4-LINE-TO-1-LINE DATA SELECTOR/MULTIPLEXER 74153 * 4-LINE to 16-LINE DECODER/DEMULTIPLEXER 74154 Dual 2-LINE to 4-LINE DECODER/DEMULTIPLEXER 74155 QUADRUPLE 2-LINE to 1-LINE DATA SELECTOR/MULTIPLEXER 74157 SYNCHRONOUS 4-bit DECADE COUNTER with preset and asynchronous clear 74160

8-bit SHIFT REGISTER (parallel/serial-in,serial-out) 74165 4-bit D-TYPE REGISTER with clear 74175 4-bit ARITHMETIC LOGIC UNIT/FUNCTION GENERATOR 74181 * SYNCHRONOUS UP/DOWN BCD COUNTER 74190 SYNCHRONOUS UP/DOWN 4-bit BINARY COUNTER 74191 SYNCHRONOUS UP/DOWN 4-bit BINARY COUNTER with clear 74193 4-bit PARALLEL-ACCESS SHIFT REGISTER with clear 74195

Page 54: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

PRESETTABLE DECADE COUNTER with clear 74196 Octal inverting BUFFER/LINE DRIVER (3-state) 74240 Octal non-inverting BUFFER/LINE DRIVER (3-state) 74244 Octal D-TYPE LATCH with enable (3-state) 74373 Octal D-TYPE EDGE-TRIGGERED FLIP-FLOP (3-state) 74374 Quadruple R-S LATCH 74279 Hex INVERTER BUFFER and TTL DRIVER 4049 * Hex SCHMITT-TRIGGER (inverting) 4584 * Op-amp 741 Timer 555 *

Page 55: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

هاي مورد استفاده سي اطالعات فني آي: پيوست ح

Page 56: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

Page 57: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

Page 58: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

Page 59: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

Page 60: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه

Page 61: نﺎﻨﻤﺳ هﺎﮕﺸﻧاد - Semnan Universitymaleki.semnan.ac.ir/uploads/maleki/Digital_Lab_docs/....ﻢﻫاﻮﻠﻴﻛ ﻚﻳ و ﻮﻠﻴﻛ هد ،22 يﺎﻬﺘﻣوﺎﻘﻣ

ورکار آزما رد دا http://sun.semnan.ac.ir/~maleki ی یه