ieee transactions on electronics packaging …magnus.ece.gatech.edu/papers/sppnn08.pdf · for...

10
IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31,NO. 1, JANUARY 2008 9 Stencil Printing Process Modeling and Control Using Statistical Neural Networks Leandro G. Barajas, Senior Member, IEEE, Magnus B. Egerstedt, Senior Member, IEEE, Edward W. Kamen, Fellow, IEEE, and Alex Goldstein, Senior Member, IEEE Abstract—This paper presents a neural network model for the stencil printing process (SPP) in surface-mount technology (SMT) manufacturing of printed circuit boards (PCBs). A practical model description that decomposes the overall steady-state process in in- dependently modeled subspaces is provided. The neural network model can be updated in real-time procuring a method to control the process by dynamically searching the optimal set point of the control variables. The optimization is performed by minimizing the weighted mean squared error with respect to the desired solder brick height or volume; furthermore, in the case when multiple so- lutions exist, the set point that yields the lowest variance is used. The process simulator is mainly suitable for offline testing and de- bugging of more complex closed-loop control algorithms for the SPP optimization providing a common and realistic framework for algorithm performance evaluation. An important consideration in this paper is based on the fact that the estimation of the sampled moments of the probability distributions is made using a statisti- cally significant number of data samples from each board, for each component type, for each printing direction, and for each pad ori- entation. Index Terms—Printed circuits, soldering, stencil printing process (SPP) model, statistical neural networks, surface-mount technology (SMT), closed-loop control. I. INTRODUCTION T HE MAIN limitation in the optimization of the stencil printing process (SPP) is the elevated evaluation cost of the system output function. In order to obtain a single sample output value of the process an individual printed circuit board (PCB) has to be printed and inspected. Such a fact makes the necessity of finding a method for economically and adequately simulate and study the SPP a quite compelling industrial chal- lenge. Currently, there are no comprehensive practical models for the SPP; however, interesting approaches toward the process understanding and offline optimization include the works by Manuscript received October 3, 2004; revised September 11, 2006 and Jan- uary 17, 2007. This work was recommended for publication by Associate Editor J. Fowler upon evaluation of the reviewers comments. L. G. Barajas was with the Center for Board Assembly Research (CBAR), Manufacturing Research Center (MARC) and with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA. He is now with the Manufacturing Systems Research Laboratory, General Motors R&D Center, Warren, MI 48090-9055 USA (e-mail: l.g.barajas@ieee. org). M. B. Egerstedt, E. W. Kamen, and A. Goldstein are with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TEPM.2007.914236 [1]–[12]. For example, Li and Mahajan [1] studied the effects of PCB design in the surface-mount technology (SMT) man- ufacturing yield by identifying critical parameters through the use of analysis of variance (ANOVA) and using statistical re- gression and neural networks models for assembly yield pre- diction. Later, Mahajan [2] introduced a statistical design of experiment (DOE)-based neural network model. This model is based on a Taguchi L27 orthogonal array that is used to statically optimize equipment settings in order to minimize solder paste height variation; in the same study techniques for transferring artificial neural network (ANN) process models between dif- ferent stencil printers are proposed. Posteriorly, the same author [3] developed the concepts of physical-neural network models and models transfer, where he demonstrated that they are ap- propriate in the procurement of low-complexity accurate ANN models. The same paper also provides additional developments in novel process control strategies using statistical ANN. A knowledge-based approach to SPP controller design was developed by Barajas et al. [4] by using a combination of neural networks and fuzzy logic. The neural networks were used to de- termine the membership functions for a fuzzy logic controller and to simulate the process for control signal verification. The fuzzy logic controller was designed in such a way that heuristic knowledge acquired from machine operators was incorporated in the control signal generation. The same authors also pre- sented in [5] a hybrid closed-loop control for the SPP where the optimization objective was to maintain constant the solder- paste-volume deposition while minimizing the response time. The merit of this control is that it minimizes the variance and the steady-state error of the weighted sample mean versus the desired height. It also considers print direction and different component types independently. A generalization of this pre- vious work is also presented by Barajas et al. in [6]; this con- trol scheme is based on a weak-search algorithm that can be ap- plied in the presence of large amounts of noise and when min- imal information is known about the process. The controller em- ploys an affine estimator implemented with a modified version of a constrained conjugated gradient method transitioned into a windowed smoothed block-form of the least-squares algorithm [13]. Recently, Coit et al. [7] designed a set of hierarchically connected neural networks. The networks were used to predict thermal behavior of PCBs utilizing board design parameters and process settings. Ultimately, such predictions were used to estimate the quality of solder connections. In addition, Ho and Xie [8] showed that ANN modeling with confidence bounds is an effective methodology that complements standard statistical process control (SPC) techniques for online process 1521-334X/$25.00 © 2008 IEEE

Upload: others

Post on 11-Jul-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31, NO. 1, JANUARY 2008 9

Stencil Printing Process Modeling and ControlUsing Statistical Neural Networks

Leandro G. Barajas, Senior Member, IEEE, Magnus B. Egerstedt, Senior Member, IEEE,Edward W. Kamen, Fellow, IEEE, and Alex Goldstein, Senior Member, IEEE

Abstract—This paper presents a neural network model for thestencil printing process (SPP) in surface-mount technology (SMT)manufacturing of printed circuit boards (PCBs). A practical modeldescription that decomposes the overall steady-state process in in-dependently modeled subspaces is provided. The neural networkmodel can be updated in real-time procuring a method to controlthe process by dynamically searching the optimal set point of thecontrol variables. The optimization is performed by minimizing theweighted mean squared error with respect to the desired solderbrick height or volume; furthermore, in the case when multiple so-lutions exist, the set point that yields the lowest variance is used.The process simulator is mainly suitable for offline testing and de-bugging of more complex closed-loop control algorithms for theSPP optimization providing a common and realistic framework foralgorithm performance evaluation. An important consideration inthis paper is based on the fact that the estimation of the sampledmoments of the probability distributions is made using a statisti-cally significant number of data samples from each board, for eachcomponent type, for each printing direction, and for each pad ori-entation.

Index Terms—Printed circuits, soldering, stencil printingprocess (SPP) model, statistical neural networks, surface-mounttechnology (SMT), closed-loop control.

I. INTRODUCTION

THE MAIN limitation in the optimization of the stencilprinting process (SPP) is the elevated evaluation cost of

the system output function. In order to obtain a single sampleoutput value of the process an individual printed circuit board(PCB) has to be printed and inspected. Such a fact makes thenecessity of finding a method for economically and adequatelysimulate and study the SPP a quite compelling industrial chal-lenge.

Currently, there are no comprehensive practical models forthe SPP; however, interesting approaches toward the processunderstanding and offline optimization include the works by

Manuscript received October 3, 2004; revised September 11, 2006 and Jan-uary 17, 2007. This work was recommended for publication by Associate EditorJ. Fowler upon evaluation of the reviewers comments.

L. G. Barajas was with the Center for Board Assembly Research (CBAR),Manufacturing Research Center (MARC) and with the Department of Electricaland Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332USA. He is now with the Manufacturing Systems Research Laboratory, GeneralMotors R&D Center, Warren, MI 48090-9055 USA (e-mail: [email protected]).

M. B. Egerstedt, E. W. Kamen, and A. Goldstein are with the Department ofElectrical and Computer Engineering, Georgia Institute of Technology, Atlanta,GA 30332 USA (e-mail: [email protected]; [email protected];[email protected]).

Color versions of one or more of the figures in this paper are available onlineat http://ieeexplore.ieee.org.

Digital Object Identifier 10.1109/TEPM.2007.914236

[1]–[12]. For example, Li and Mahajan [1] studied the effectsof PCB design in the surface-mount technology (SMT) man-ufacturing yield by identifying critical parameters through theuse of analysis of variance (ANOVA) and using statistical re-gression and neural networks models for assembly yield pre-diction. Later, Mahajan [2] introduced a statistical design ofexperiment (DOE)-based neural network model. This model isbased on a Taguchi L27 orthogonal array that is used to staticallyoptimize equipment settings in order to minimize solder pasteheight variation; in the same study techniques for transferringartificial neural network (ANN) process models between dif-ferent stencil printers are proposed. Posteriorly, the same author[3] developed the concepts of physical-neural network modelsand models transfer, where he demonstrated that they are ap-propriate in the procurement of low-complexity accurate ANNmodels. The same paper also provides additional developmentsin novel process control strategies using statistical ANN.

A knowledge-based approach to SPP controller design wasdeveloped by Barajas et al. [4] by using a combination of neuralnetworks and fuzzy logic. The neural networks were used to de-termine the membership functions for a fuzzy logic controllerand to simulate the process for control signal verification. Thefuzzy logic controller was designed in such a way that heuristicknowledge acquired from machine operators was incorporatedin the control signal generation. The same authors also pre-sented in [5] a hybrid closed-loop control for the SPP wherethe optimization objective was to maintain constant the solder-paste-volume deposition while minimizing the response time.The merit of this control is that it minimizes the variance andthe steady-state error of the weighted sample mean versus thedesired height. It also considers print direction and differentcomponent types independently. A generalization of this pre-vious work is also presented by Barajas et al. in [6]; this con-trol scheme is based on a weak-search algorithm that can be ap-plied in the presence of large amounts of noise and when min-imal information is known about the process. The controller em-ploys an affine estimator implemented with a modified versionof a constrained conjugated gradient method transitioned into awindowed smoothed block-form of the least-squares algorithm[13].

Recently, Coit et al. [7] designed a set of hierarchicallyconnected neural networks. The networks were used to predictthermal behavior of PCBs utilizing board design parametersand process settings. Ultimately, such predictions were usedto estimate the quality of solder connections. In addition,Ho and Xie [8] showed that ANN modeling with confidencebounds is an effective methodology that complements standardstatistical process control (SPC) techniques for online process

1521-334X/$25.00 © 2008 IEEE

Page 2: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

10 IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31, NO. 1, JANUARY 2008

Fig. 1. Stencil printing subprocesses.

optimization; they used a multilayer feed-forward ANN forSPP performance monitoring. In a closely related paradigm,Morad et al. [9] proposed the utilization of a genetic algorithmfor process optimization of an ANN process model; the randomsearch algorithms presented were suitable to be used withdifferent optimization criteria of the process capability index.

Other related approaches to the implementation of SPP prac-tical models include the work by Ekere et al. [10], where aprocess modeling map with six main subprocesses for the SPPis presented. These subprocesses are depicted in Fig. 1. Such astudy shows that the solder paste physical characteristics are themain factors in the solder paste print quality as well as in the in-teraction among processes. An alternative approach is the use ofadvanced computational fluid dynamics (CFD) methods as theones proposed by Glinski et al. [11]; they provide realistic sim-ulations at macro and microscopic scales. A unique approach isthe one offered by Lotfi and Howarth [12] where a generic es-timation of the solder-paste-volume deposition is made by theuse of a set of orthogonal fuzzy rules.

The main contribution of this paper is the development of arealistic and practical process model for the SPP. The under-lying assumption is that the process can be modeled as a mixtureof Gaussian (MoG) probability distributions; this assumptionhas been extensively tested in several experiments performedby the authors and it is substantiated in detail in Section II-B.The model is suitable for online and offline process optimiza-tion. During online optimization, the training of the ANN hasto be done concurrently with the data acquisition of recentlyinspected PCBs. In the offline case, this model can serve as atest bed for optimization and/or tuning of more complex processcontrol algorithms, like the one presented in [6].

The outline of this paper is as follows: In Section II a descrip-tion of the SPP is given and in particular the performance ob-jectives and process constraints are presented; this is followedby an ANN model analysis for the system in Section III. InSection IV a basic closed-loop control algorithm is described.As conclusion, simulations, and experimental results are pre-sented in Section V.

II. STENCIL PRINTING PROCESS

The goal of the SPP in SMT manufacturing is to apply anaccurate and repeatable volume of solder paste deposits at pre-cise locations [14]–[16]. Given that most of the defects in SMTmanufacturing can be attributed to the SPP [4], [17], [18]; thismakes it the most critical step in the process.

A. Process Description

A simplified version of the SMT manufacturing process isillustrated in Fig. 2. In order to solder components to a PCB,it is necessary to print solder bricks over the metallic contact

Fig. 2. SMT manufacturing line.

pads on the PCB. Once this is achieved, the components aredispensed on top of the solder bricks, pushing their leads into thepaste. After the components have been placed, the solder pasteis melted using either reflow soldering or vapor-phase solderingin order to create the electromechanical junctures. Finally, themanufactured PCBs are inspected and tested.

B. Problem Definition

The SPP is characterized by having high process-noise levelsand by requiring constant solder-paste-volume deposition at alltimes. This process has particular characteristics that make itdifficult to control [4], [18]–[20]; some of which are the fol-lowing:

• poorly understood process physics;• difficulty in measuring key variables;• high-noise environment;• limited number of measurements;• software/hardware implementation limitations.In order to gain an better understanding of the overall SPP

through its descriptive statistics [21], a typical histogram, nor-mality test [22], and confidence intervals of the 3-D laser mea-surements for the solder brick heights in a single board areshown in Fig. 3. Additionally, Table I shows the results of twonormality tests performed for each solder brick type and forthe overall process. The Anderson–Darling (AD) [22] and Kol-mogorov–Smirnov (KS) [23] tests are based on the empiricalcumulative distribution function, and even when the KS test haslesser statistical power it is included here for reference purposes.The common null hypothesis for these tests is : data followsa normal distribution. If the P-value of the test is less than thelevel, reject . This demonstrates that the normality assump-tion holds at a solder brick type level P-values but notfor the overall process P-values . Note that the metricunits for Fig. 3 are given in millimeters.

A more detailed description of the process complexity is de-picted in Fig. 4. Here, the main factors of the SPP are classi-fied in one of six main categories and by their controllabilityand observability properties from an online optimization per-spective. The factors marked with a dagger are directly orindirectly measurable; however, they should be kept constant;for some of them, their value may be known. The ones withan asterisk (*) are factors that can be used to dynamically con-trol the solder-paste-volume deposition and can be consideredalso as observable. Finally, all other factors are either staticor not accessible during online optimization; such parametersare usually optimized offline via a design of experiment (DOE)methodology [2]. This classification has been made based onextensive experimentation performed at the Center for BoardAssembly Research (CBAR) at the Georgia Institute of Tech-nology, complemented with knowledge available in the existingliterature. It should be noted that this classification assumes thatstate-of-the-art technology is available, and it may depend upon

Page 3: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

BARAJAS et al.: STENCIL PRINTING PROCESS MODELING AND CONTROL USING STATISTICAL NEURAL NETWORKS 11

Fig. 3. Stencil printing process descriptive statistics for a single board.

TABLE INORMALITY TESTS BY PAD TYPE (� = 0:05)

the sophistication of the measurement and control equipmentavailable.

The observability of the system is limited because keyvariables like solder paste viscosity and hydraulic pressurecannot be directly measured or estimated in most of the existingindustrial production equipment. The process is furthermorecorrupted by two types of noise, respectively caused by in-accuracies in the measurement and by the internal systemvariability. The former can be disregarded in the case when3-D laser measurement techniques are used. The latter has asix-sigma interval of approximately 30% of the mean of theprobability distribution function of the signal [5]. This makesthe process outputs extremely variable even under constantconditions.

The associated cost of taking a measurement of the systemoutput is high since for this it is necessary to print solder pastebricks on a PCB. It should be noted that the measurements aremultivariate. The number of such outputs is related to the totalnumber of solder bricks printed and inspected in each board.This set of measurements as a whole is considered as a singlerealization of the system, and it is desirable to minimize the

number of such evaluations to be able to generate control valueswhile printing as few PCBs as possible.

The industry standard for measuring the quality characteris-tics of the process is solder-paste-volume deposition. However,as of yet, there are no machines that can directly measure thesolder brick volume. Instead, algorithms are used to estimate theeffective area and mean height of the solder paste deposit, andtherefore their product becomes the estimated volume. Giventhat under normal conditions, the area of the solder brick de-posits do not change significantly with the modification of thecontrol parameters, the height of the deposits becomes the mea-sure of interest. Commonly, a direct sample mean of such valuesis used as quality characteristics; while a more sophisticated ap-proach would be to assign different weights to each solder bricktype so that problematic components can be given more impor-tance in the quality characteristics generation process. Such aweighted scheme can be represented by

(1)

Page 4: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

12 IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31, NO. 1, JANUARY 2008

Fig. 4. Stencil printing process relevant factors.

where is the number of solder bricks present in the th board,and is the weight assigned to the th solder brick. Extensivetreatment on how to automatically select the ’s can be foundin [13].

For steady-state performance evaluation, the weighted mean-squared error between the mean weighted height andthe desired height in board-by-board basis can be used. Thisrepresentation, as shown in (3), is appropriate since it addressestwo of the key factors in the process; i.e., the mean squared errorand the variance of the process. stands for the expectedvalue of the random variable .

MSE (2)

(3)

C. Convergence of Sampled Moments

In order to produce statistical models of the SPP, it is vitallyimportant that a statistically significant number of samples areused. In the SPP, the height measurements of specific solderbricks placed on the same orientation and printed under the sameprinting conditions can be approximated as a Gaussian distribu-tion.

The results depicted in Fig. 5 are based on 100 000 000 real-izations of 10 000 sample series drawn from a Gaussian process,

. The average error across realizations of the sampledmean and the sampled standard deviation are evaluated. On av-erage, the higher the number of samples used, the lower the es-timation error of the real mean and standard deviation.

Fig. 5. Average convergence for sampled mean and sampled standard devia-tion.

For an average 5% error in the sample mean, over 250 mea-surements are required, but for only 10% accuracy, less than 65will suffice. This is the mean for the worse case scenario whenthere is no correlation among samples. Therefore, for the SPPthe results will be more optimistic. In the case of the samplestandard deviation in Fig. 5, the results are similar. For a 5%error in the sample variance, less than 520 measurements arerequired, and for only 10% accuracy, about 135 will be enough.These numbers will provide guidelines for producing accuratestatistical process models.

III. NEURAL NETWORK MODEL

One of the major limitations for the optimization of the SPPis the extreme difficulty for extracting reliable information for

Page 5: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

BARAJAS et al.: STENCIL PRINTING PROCESS MODELING AND CONTROL USING STATISTICAL NEURAL NETWORKS 13

Fig. 6. Generic process model for random variables generation.

noisy data. This also translates to the need of a complex simu-lator that can generate adequate and coherent random data forprocess optimization purposes. The solder brick measurementfrom the PCBs can be considered locally Gaussian under the as-sumptions to be discussed in this section. An important consid-eration is the selection of the quality characteristic for the model.Traditionally, solder-paste-volume deposition has been widelyaccepted in the production environment. The main reason forusing volumetric measurements is the fact that ultimately whatis relevant for obtaining an adequate electromechanical junctionbetween the PCB and the component is the amount of solderpaste present in the juncture. However, there are two issues toconsider. First, for defect detection-related issues, measurementof solder brick effective area and height separately will providemore information than their product alone. Second, for processcontrol purposes, solder paste brick height is the relevant qualitycharacteristic of the process. In conclusion, in order to provide amore complete model of the SPP, both solder paste brick heightand effective area must be modeled independently.

Several authors [2], [8], [24], [25] have used ANN modelsbased on Taguchi orthogonal arrays or time series for SPPoptimization. However, such implementations consider mostlystatic control factors that cannot be changed online. The fac-tors include squeegee material, pad material, and squeegeeangle, and aperture size and shape. These approaches alsoinvestigate semidynamic factors like temperature, snap-offdistance and separation speed. These set of factors can only beoptimized to minimize the occurrence of defects in the processbut cannot be efficiently used for dynamically control of thesolder-paste-volume deposition. In addition, it is commonpractice to consider printing direction as control or noise factor.

In this paper, only the two control factors that allow efficientdynamic modification of the solder brick height are used as in-puts in the ANN, namely squeegee speed and pressure. All staticfactors have been fixed to nominal values and any attempt tooptimize them must be done offline. A relevant consideration isnot to use printing direction as an input of the ANN but rather toconstruct independent models for backward and forward direc-tions; this increases the accuracy of the model and also simpli-fies the optimization procedure because it removes the only dis-crete input type to the model. Besides, it is pointless to find theoptimal printing direction given that in real industrial produc-tion, bidirectional printing is a process requirement and cannotbe avoided in practice.

As a conclusion, the SPP data should be analyzed (or simu-lated) as sets of different partitions. Formally, let be the input

space and be the output space wherethe variables are as follows:

pdf (P)arameters(D)imension(d)irectionpad (T)ype (O)rientation

Machine (S)ettings

where

mean;

variance;

height;

area;

forward print direction;

backward print direction;

pad type ;

perpendicular to print direction;

parallel to print direction;

45 w.r.t. print direction;

speed;

pressure.

In this case, is a output feature-space thataccurately defines the main characteristics of the SPP, whereis the number of pad types, and is a two-dimensional inputspace given by the stencil printer squeegee speed and pressure.Any attempt to recreate the behavior of the process should con-sider at least all these aspects. Fig. 6 illustrates a generic processmodel, which is independent of the method used to estimate theprobability density function (pdf) parameters.

In this formulation, the pdf parameter estimation is performedby the ANN that has been trained using sample data from ex-perimental runs of the SPP. This steady-state model was thenvalidated by additional and independent board runs.

A. Model Description

The SPP cannot be characterized with a simple input-outputrelationship, in fact, it is a multiple-input multiple-output timevariant nonlinear system. If in a PCB there are enough pads ofthe same type for each pad type, then their distributions can beapproximated individually by Gaussian distributions. It shouldbe noted that pads of the same type but with different orienta-tion with respect to the printing direction should be consideredas different pad types; the reason for this is that there existsa noticeable difference in solder-paste-volume deposition de-pending on the orientation of the pad. This difference in printingdirection quality is a well-known and documented effect onstencil printing [26].

Gaussian distributions can be characterized in terms of theirmean and variance. For the generation of a process model, thisfact can be useful because it will simplify the generation ofsimulated data. In fact, it will suffice to draw samples from a

Page 6: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

14 IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31, NO. 1, JANUARY 2008

Fig. 7. Neural network convergence for mean height model.

Gaussian distribution parameterized by its mean and variance.The pressure and the speed are the inputs of the model. Ad-ditional parameters can be considered but for simplicity, onlythe two main ones are used. There will be independent outputsfor area and height, constructing the volume by their arithmeticproduct in sample-by-sample basis.

It is also necessary to construct independent models for eachprinting direction; they will be identical in structure; however,their values of mean and variance may differ. Finally, the Carte-sian product of the ordinate pairs given by the pad type and thepad orientation will define the number of outputs of the model.In this way, the sample realizations of each combination of padtype and orientation will be simulated independently. The inputsfor each individual model will be the mean and variance of therespective pad type in a particular orientation for each printingdirection, and the current printing speed and squeegee pressure.The orientation of the pad may be perpendicular, parallel, orwith respect to the printing direction. The pad type depends onthe geometry of the electronic component to be soldered on thePCB. Fig. 6 illustrates the RV generation process and comple-ments the summary of the input and output spaces and pre-viously introduced.

Using the partitioning described in Section III-A, a nonlinearmodel was implemented by the use of feed-forward neural net-works. The training sequences are taken from real data acquiredfrom several experiments. In order to assure continuity betweenconsequent data values at adjacent points in the speed-pressureinput space, polynomial interpolation was used. It should benoted, however, that such interpolation was done in pad-to-padbasis across different boards, such that the information con-tained in each solder brick measurement was preserved. Thenoise-filtering characteristic of the neural network took care ofthe issue of having large levels of noise in the process.

There are actually two complementary models for theprocess. The first model estimates the means of the heightand area of each individual solder brick. Similarly, the secondmodel estimates the variances. However, the internal structuresof the networks are completely different as well as their con-vergence characteristics. Fig. 7 shows the convergence for the

Fig. 8. Neural network convergence for standard deviation model.

model that estimates the mean of the height. The performancemeasurement used is based on the error across all pad types indifferent orientations but in a single printing direction. Valida-tion, test, and training data are used in the learning procedureto avoid over-fitting.

Fig. 8 shows the convergence for the model that estimatesthe variance of the height. Similar estimates for the mean andvariance of the solder brick area are also implemented in ananalogous way. This process model is used in the frameworkdepicted in Fig. 6.

B. Neural Network Structure

The topology of the network used for the proposed model isnow explained. The network structure for mean and standard de-viation models is , meaning that three layers are used;two layers with neurons each, having one neuron for each padtype, and one single-neuron output layer. However, even whenthe activation functions for the mean and standard deviation arethe same for the first two layers (tangent sigmoid), using a lineartransfer function for the output layer for the standard devia-tion yields a remarkable improvement over the sigmoid used inthe mean model. The function used in the ANN back-propaga-tion algorithm is based on a Levenberg–Marquardt optimizationmethod [27]. The main advantage of this method is its speed ofconvergence; usually only five to ten iterations are necessary forconvergence; however, the large amount of memory storage re-quired for the method may become a practical issue for high-di-mensional applications like in the SPP. However, by training thedifferent ANN in independent batches (height, area, mean, andstandard deviation) it is possible to reduce the memory require-ments and the training time.

The training data sets were obtained by a full factorial DOEmethodology. The DOE used speed and pressure as main factorswith four levels each of (0.5, 1, 2, 3)in/s and (0.5, 1, 2, 3)lb/in,respectively. Four repetitions were made for each combinationof the control factors, two in each individual printing direc-tion. All other control parameters in the SPP were kept con-stant (e.g., zero snap-off distance, i.e., contact printing; snap-off

Page 7: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

BARAJAS et al.: STENCIL PRINTING PROCESS MODELING AND CONTROL USING STATISTICAL NEURAL NETWORKS 15

Fig. 9. Neural network structure.

speed, 0.05 in/s; squeegee angle, 60 ). In this study, printing di-rection was not considered as a noise factor as it has been pre-viously done in [3]. However, the dominant printing directioneffects were compensated by having independent SPP modelsfor forward and backward directions.

The data sets were divided in training, validation, and testvectors. The validation set is used to perform an early termina-tion of the ANN training process if the ANN response is notimproved for an specific number of iterations. The test set hasno effect in the training procedure; however, it is used to mon-itor the network generalizing properties, e.g., in order to verifythat over-fitting has not occurred.

A noticeable difference in the precision and reliability ofthe model presented in this paper compared with previousapproaches considered [1]–[3], [7]–[9], [11], [12], [14], [15]is that the number of sample measurements used per PCB isstatistically significant. Usually, due to technical/physical/tem-poral limitations, similar approaches have only used less thanten training samples per PCB. Such samples are normally se-lected at random from each PCB, sometimes also disregardingpad type, printing direction, and component orientation. Thenumber of solder bricks measured from each pad type for eachPCB is shown in Table I. Correlating this information with theexplanation previously given in Section II-C, it can be inferredthat, on average, the accuracy of the proposed model is im-proved by at least one order in magnitude (when using samplemean and variance) by just considering the larger number ofsamples used for the surface fitting model. In fact, the errorpercentage for the estimation of the mean is less than 8% forindividual solder brick types and about 3% for the entire board.In the same manner, as shown in Fig. 5, the estimates accuracyfor the standard deviation are just 1.5 dB above these values( 12% and 4.2%, respectively).

A graphical representation of the internal structure of theANN used for the model of the solder brick standard deviationis shown in Fig. 9, where IW and LW are the input andlayer weight matrices and are the bias vectors. The struc-ture for the model of the solder brick mean only differs in thefinal output activation function; in this case, it uses a tangentsigmoid rather than a linear one. Empirically it was found that,for the training data available, using only one hidden layer inthe ANN it is possible to create a working model of the process;however, using a second hidden layer drastically improves theprecision and speed of convergence of the estimates; the use ofa third hidden layer duplicates the training time and not alwaysyields better results than the two hidden-layer model. It was alsofound that for the number of neurons in each hidden layer thebest tradeoff between training time and model precision alwayslies near the respective number of different solder brick typesthat are being estimated.

Fig. 10. Neural network response surface for the pdf mean of the height.

This same structure is replicated to independently estimatedifferent orientations inside the PCB, different printing direc-tions across PCBs, and height and area independently. Completeinformation on the MATLAB Neural Network Toolbox and thespecifics of the functions and parameters used in the construc-tion, training, and testing of the ANN can be found in [27].

IV. CLOSED-LOOP CONTROLLER

The main application of having a working SPP model for thesteady-state of the process is that it is possible to find the desiredset point by performing multiobjective optimization over the re-sponse surfaces of the different pdfs that are being estimatedby the ANN. Such optimization should consider the number ofsolder bricks of each pad type and their orientation, as well assolder volume deposition as estimated by the height and areapdfs.

A. Search Algorithm

A common formulation for multiobjective optimization is theformulation of an aggregation function to represent the total costfunction for the overall problem. An important consideration isthe dimensionality of the different objectives of the problem andtherefore it is common practice to normalize each individual ob-jective by a nominal value. These two considerations are usedto generate the total cost function shown in (4), where is thenumber of objectives. A complete description of this formula-tion is found in [24]. For the SPP, the functions are the indi-vidual output surfaces of the sample mean and standard devia-tion each solder brick type height and area, printed in a specificdirection and with the same orientation; typical examples of sare depicted in Figs. 10 and 11:

(4)

For the SPP, the multiobjective problem is subdivided in twomain types, namely solder brick type mean and variance.The variance estimation can be simplified by considering thestandard deviation instead. Several ANN training experiments

Page 8: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

16 IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31, NO. 1, JANUARY 2008

Fig. 11. Neural network response surface for the pdf mean of the area.

Fig. 12. Neural network closed-loop controller.

showed a large increase in accuracy and therefore MSE re-duction by the use of this simple technique. Fig. 10 shows theoutput of the simulator for the mean height of a specific pad typefor only one printing direction and orientation. Furthermore,by using the search scheme depicted in Fig. 12, it is possible tofind an optimum value for the SPP control parameters.

It may be the case that the problem does have nonunique so-lutions. However, Once the ANN has been trained, its evalua-tion can be done at a minimal cost given the low complexityof the resulting input–output relationship. Therefore, the appli-cation of standard numerical search techniques over the entirefunction domain provides an optimal solution for practically anyproposed cost function. For our controller implementation, theNelder–Mead simplex (direct search) method was used [28],[29]. If minimization of the bias error and variance does notyield a unique mathematical solution, a practical considerationcan be used in the selection of the most adequate values forthe control variables. Due to solder paste rheological consid-erations, for most SPP cases, the lowest printing speed can beselected as the unique and optimal solution.

B. Online Network Update

In order to increase the adaptability of the model, it is possibleto perform online training of the neural network. The functionadapt from the MATLAB Neural Network Toolbox allows thedynamic updating of the network during operational time. Byiteratively applying the simulation and learning functions overthe ANN training set,adapt changes the weights and biases ac-cording to the error. The data used to perform this procedure are

composed from historical and real-time sample measurements;in each adaptation, the ANN output, error, and network adjust-ment will be calculated for each given input data set; this wholeprocedure has to be iterated until the output error is reducedunder a permissible level or until one of the additional stoppingfactors is triggered. For a specific input sequence with sam-ples, the network is updated as follows [27]. Each sample in thesequence of inputs is presented to the network one at a time.The network’s weight and bias values are updated after eachstep, before the next step in the sequence is presented. Thus, thenetwork is updated times.

V. SIMULATION AND EXPERIMENTAL RESULTS

Using the neural network models previously described, simu-lations were performed and later verified by real board run man-ufacturing. In Figs. 10 and 11, typical response surfaces for aspecific solder brick type distribution are shown. In this case,only mean height and area are depicted; however, the standarddeviation is also considered in the ANN complete model forthese two dimensions. The assembly of all solder brick parti-tions defined in Section III-A is used to construct the overallmodel for the SPP. This embodiment provides a suitable alter-native for solder-paste-volume deposition simulation.

The experimental setup used for this paper includes a Speed-line MPM-3000 stencil printer and a Cyberoptics Sentry-20003D-Laser inspection system which are part of one of the SurfaceMount Technology (SMT) manufacturing lines located in theCenter for Board Assembly Research (CBAR) that is part of theManufacturing Research Center (MARC) at the Georgia Insti-tute of Technology. A 12 in metallic squeegee was used to per-form the experiments over a laser-cut 5-mil (127- m) stencil,using nonclean 63%Sn–37%Pb solder paste Type IV.

The pad distribution of the test board is also shown in Table I.Given the large amount of solder bricks inspected for each padtype, it is reasonable to assure that the sample is statistically andpractically significant [30], and that the estimated parameterswill adequately represent the distribution of the samples in theSPP.

Using experimental data from a 64 board full-factorial DOErun (with two repetitions plus eight more control boards), anANN was trained using of the data. Later on, the ANN val-idation and testing was performed with of the data each.Similar experiments were performed for several solder pastetypes. The control inputs of the ANN are the squeegee speed andprinting pressure of the stencil printer. All other control parame-ters were fixed to optimized values that from previous and moreextensive DOE analysis yielded the best results. The outputs ofthe network estimate the pdf parameters of the Gaussian distri-bution, . This is done independently for the solderbricks for each individual solder brick type, in each printingorientation , in each printing direction (forward, back-ward), and for each physical dimension (height, area).

The optimality criteria used on the solution of the problem isbased in (1) and (4) for the case of equal weights, i.e.,

. The rationale for theequal value assumption is based on the fact that the area andheight values have been normalized with respect to their histor-ical optimal averages; this is particularity important in order to

Page 9: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

BARAJAS et al.: STENCIL PRINTING PROCESS MODELING AND CONTROL USING STATISTICAL NEURAL NETWORKS 17

be able to compare large and small area components in an unbi-ased manner. The numerical algorithm used is a complex math-ematical engine provided by the fminsearch algorithm ofthe MATLAB Optimization Toolbox [29, p. 6–61]; the functionfminsearch minimizes a multivariate scalar function givenan initial condition; this is also known as unconstrained non-linear optimization. This numerical routine specifically uses theNelder–Mead simplex (direct search) method [28], [29]. Localand global simulated annealing [31] was used to minimize theeffects of possible suboptimal solutions caused by local minima.In the global simulated annealing procedure, when restarting thesearch algorithm from different random initial conditions, it wasobserved that, on average, the benefit became marginal whenmore than 10–15 replications were used.

For this specific data set, it was found that the control valuesfor speed and pressure that minimize the variance and the MSEwith respect to the desired mean solder paste deposition heightof 5.5 mil ( 139.7 m) are 1.8 in/s (4.572 cm/s) and 2.1lb/in(123.037 kg/m), respectively. It should be clear that these valuesare optimal only for the test PCB used, and under the condi-tions specified at the beginning of this section. Further experi-mental corroboration of the precision of the model showed that,in steady-state, during 20 board runs, the predicted pdf meanand standard deviation for all component types were inside theconfidence bounds as proposed in [8].

VI. CONCLUSION

This paper has presented an SPP process simulator that allowsthe testing and refining of complex algorithms for SMT manu-facturing yield improvement. A basic numerical controller thatallows online training is also described and tested in a real man-ufacturing line. The results show that, in practice, it is possibleto control the SPP in order to achieve the desired solder-paste-volume deposition and to minimize the variance of the distri-bution. A practical and mathematically sound cost function forSPP optimization was also proposed and validated. By the useof a statistically significant number of samples of each pad type,it was guaranteed that the model was trained with sufficient datasuch that it provides a total error percentage in the estimation ofthe sample moments of about 5% for the mean and 8% for thestandard deviation.

REFERENCES

[1] Y. Li, R. Mahajan, and J. Tong, “Design factors and their effect on pcbassembly yield-statistical and neural network predictive models,” IEEETrans. Compon., Packag., Manuf. Technol., Part A, vol. 17, no. 2, pp.183–191, Jun. 1994.

[2] R. L. Mahajan, “Statistical neural network modeling for stencilprinting,” in Surface Mount Int., 1996, pp. 573–578.

[3] R. L. Mahajan, “Neural nets for modeling, optimization and control insemiconductor manufacturing,” Proc. SPIE, vol. 3812, pp. 176–187,1999.

[4] L. G. Barajas, E. W. Kamen, and A. Goldstein, “On-line enhancementof the stencil printing process,” Circuits Assembly, pp. 32–36, Mar.2001.

[5] L. G. Barajas, E. W. Kamen, A. Goldstein, M. Egerstedt, and B. Small,“A closed-loop hybrid control algorithm for stencil printing,” in Proc.Surface Mount Technol. Assoc. Int. Conf. (SMTA’02), Boston, MA,2002, pp. 51–58.

[6] L. G. Barajas, M. Egerstedt, E. W. Kamen, and A. Goldstein, “Processcontrol in a high-noise environment with limited number of measure-ments,” in Proc. Amer. Control Conf., Denver, CO, 2003, pp. 597–602.

[7] D. W. Coit, B. T. Jackson, and A. E. Smith, “Neural network open loopcontrol system for wave soldering,” J. Electron. Manuf., vol. 11, no. 1,pp. 95–105, 2002.

[8] S. Ho, M. Xie, L. Tang, K. Xu, and T. Goh, “Neural network modelingwith confidence bounds: A case study on the solder paste depositionprocess,” IEEE Trans. Electron. Packag. Manuf., vol. 24, no. 4, pp.323–332, Oct. 2001.

[9] N. Morad, H. K. Yii, M. Hitam, and C. P. Lim, “Development ofan intelligent system for the solder paste printing process,” in Proc.TENCON 2000. , Penang, Malaysia, 2000, vol. 3, pp. 479–483, SchoolInd. Technol., Univ. Sains Malaysia.

[10] N. Ekere, S. Mannan, and M. Currie, “Solder paste printing processmodelling map,” in Proc. Electron. Manuf. Technol. Symp., Proc. 1995Japan Int., 18th IEEE/CPMT Int., UK, 1995, pp. 137–141, Dept. Aero-naut., Mech. Manuf. Eng., Salford Univ., U.K.

[11] G. Glinski, C. Bailey, and K. Pericleous, “Simulation of the stencilprinting process [solder pastes],” in Proc. Int. Symp. Electron. Mater.Packag. (EMAP’00)., J. Kim, A. Teng, and S.-W. R. Lee, Eds., London,U.K., 2000, pp. 364–370.

[12] A. Lotfi, M. Howarth, and P. Thomas, “Orthogonal fuzzy model of thesolder paste printing stage of surface mount technology,” in Proc. 6thIEEE Int. Conf. Fuzzy Syst., 1997, vol. 3, pp. 1433–1437.

[13] L. G. Barajas, Process control in high-noise environments using alimited number of measurements Ph.D. dissertation, Georgia Inst.Technol.. Atlanta, 2003.

[14] S. Fujiuchi, “Fundamental study on solder paste for fine pitch sol-dering,” in Proc. 11th IEEE/CHMT Int. Japan IBM Electron. Manuf.Technol. Symp., Shiga, Japan, 1991, pp. 163–165.

[15] S. Venkateswaran, K. Srihari, J. Adriance, and G. Westby, “A realtimeprocess control system for solder paste stencil printing,” in Proc. 21stIEEE/CPMT Int. Electron. Manuf. Technol. Symp., Binghamton, NY,1997, pp. 62–67.

[16] L. Gopalakrishnan and K. Srihari, “Solder paste deposition throughhigh speed stencil printing for a contract assembly environment,” J.Electron. Manuf., vol. 8, no. 2, pp. 89–101, 1998.

[17] J. Pan, G. Tonkay, R. Storer, R. Sallade, and D. Leandri, “Criticalvariables of solder paste stencil printing for micro-bga and fine pitchqfp,” in Proc. 24th IEEE/CPMT Electron. Manuf. Technol. Symp.,Bethlehem, PA, 1999, pp. 94–101.

[18] F. K. H. Lau and V. W. S. Yeung, “A hierarchical evaluation of thesolder paste printing process,” J. Mater. Process. Technol., vol. 69, no.1–3, pp. 79–89, 1997.

[19] A. Johnson and A. Flori, “High density/fine feature solder pasteprinting,” in Proc. APEX, 2001, pp. MP2-3-1–MP2-3-8.

[20] R. Durairaj, T. A. Nguty, and N. N. Ekere, “Critical factors affectingpaste flow during the stencil printing of solder paste,” Soldering SurfaceMount Technol., vol. 13, no. 2, pp. 30–34, 2001.

[21] Minitab, “One-sample T-test,” 2003 [Online]. Available: http://www.minitab.com/training/t-Test.pdf

[22] T. W. Anderson and D. A. Darling, “Asymptotic theory of certain“goodness of fit” criteria based on stochastic processes,” Ann. Math.Statist., no. 23, pp. 193–212, 1952.

[23] H. W. Lilliefors, “On the kolmogorov-smirnov test for normality withmean and variance unknown,” J. Amer. Statist. Assoc., vol. 62, pp.399–402, 1967.

[24] H. K. Yii, N. Morad, and M. S. Hitam, “Optimisation of a solderpaste printing process parameters using a hybrid intelligent approach,”Neural Netw. World, vol. 11, no. 2, pp. 109–127, 2001.

[25] Y. Li, “Yield improvement, reliability modeling and design optimiza-tion for solder interconnection (joints),” Ph.D. dissertation, Univ. Col-orado, Boulder, 1996.

[26] R. P. Prasad, Surface Mount Technology: Principles and Practice, ver-sion 4, 2nd ed. New York: Chapman & Hall, 1997.

[27] H. Demuth and M. Beale, Neural Network Toolbox User’s Guide: foruse with MATLAB. Natick, MA: MathWorks, 2000 [Online]. Avail-able: http://www.mathworks.com/products/neuralnet/

[28] J. C. Lagarias, J. A. Reeds, M. H. Wright, and P. E. Wright, “Conver-gence properties of the Nelder-Mead simplex method in low dimen-sions,” SIAM J. Optimization, vol. 9, no. 1, pp. 112–147, 1998.

[29] Optimization Toolbox User’s Guide: for Use with MATLAB, ver-sion 3.0.3.. Natick, MA: Mathworks, 2005 [Online]. Available:http://www.mathworks.com/access/helpdesk/help/toolbox/optim/

[30] L. Tenorio, “Statistical regularization of inverse problems,” SIAM Rev.,vol. 43, no. 2, pp. 347–366, 2001.

[31] S. Kirkpatrick, C. D. Gelatt, Jr., and M. Vecchi, “Optimization by sim-ulated annealing,” Science, vol. 220, no. 4598, pp. 671–680, May 13,1983.

Page 10: IEEE TRANSACTIONS ON ELECTRONICS PACKAGING …magnus.ece.gatech.edu/Papers/SPPNN08.pdf · for process optimization of an ANN process model; the random search algorithms presented

18 IEEE TRANSACTIONS ON ELECTRONICS PACKAGING MANUFACTURING, VOL. 31, NO. 1, JANUARY 2008

Leandro G. Barajas (S’95–M’99–SM’06) wasborn in Bogotá, Colombia, in 1973. He receivedthe Honor degree in electronics engineering asValedictorian from the Universidad Distrital F.J.C.,Bogotá, Colombia, in 1998, and the M.S. and Ph.D.degrees in electrical and computer engineering fromthe Georgia Institute of Technology (Georgia Tech),Atlanta, in 2000 and 2003, respectively.

He is currently a Senior Research Engineer atthe General Motors R&D Center, ManufacturingSystems Research Laboratory, Warren, MI, where he

focuses on the area of Plant Floor Systems and Controls. During his graduatestudies, he worked at the Center for Board Assembly Research (CBAR),Manufacturing Research Center (MARC), Georgia Tech.

Dr. Barajas is a Senior Member of the Society of Manufacturing Engineers(SME), Elected Full Member of SIGMA XI (The Scientific Research Society),member of the societies Automotive Engineers (SAE), Hispanic ProfessionalEngineers (SHPE), and the Surface Mount Technology Association (SMTA). In2000 and 2003, he received M.S. and Ph.D. OMED Tower Awards from GeorgiaTech. During his tenure at GM, he has been distinguished with the 2005 GMR&D “Spark-Plug” Award, the 2006 GM Chairman’s Honors Award, the 2006GM R&D Charles L. McCuen Special Achievement Innovation Award, and the2007 SME Kuo K. Wang Outstanding Young Manufacturing Engineer Award.

Magnus B. Egerstedt (S’99–M’00–SM’05) wasborn in Stockholm, Sweden. He received the B.A.degree in philosophy from Stockholm University in1996, and the M.S. degree in engineering physicsand the Ph.D. degree in applied mathematics, bothfrom the Royal Institute of Technology, Stockholm,in 1996 and 2000, respectively.

He spent 2000 to 2001 as a Postdoctoral Fellowwith the Division of Engineering and Applied Sci-ence, Harvard University, Cambridge, MA. In 1998,he was a Visiting Scholar at the Robotics Laboratory,

University of California, Berkeley. He is currently an Associate Professor withthe School of Electrical and Computer Engineering, Georgia Institute of Tech-nology, Atlanta. His research interests include optimal control as well as mod-eling and analysis of hybrid and discrete-event systems, with emphasis on mo-tion planning and control of (teams of) mobile robots. He has authored over 100articles in the areas of robotics and controls.

Dr. Egerstedt received the CAREER Award from the National Science Foun-dation in 2003.

Edward W. Kamen (S’71–M’71–SM’93–F’94) re-ceived the B.E.E. degree from the Georgia Institute ofTechnology (Georgia Tech) in 1967 and the M.S. andPh.D. degrees in electrical engineering from StanfordUniversity, Stanford, CA, in 1969 and 1971.

He is Professor Emeritus in the School of Elec-trical and Computer Engineering, Georgia Tech.From 1980 to 1986, he was Professor of ElectricalEngineering at the University of Florida. From 1986to 1990, he was Professor and Chair of ElectricalEngineering at the University of Pittsburgh. He is

the author/coauthor of over 100 journal research publications and six textbooksin the areas of signals, systems, controls, and manufacturing.

Dr. Kamen is the recipient of several teaching and research awards. He wasGeneral Chairman of the 1999 IEEE Conference on Decision and Control.

Alex Goldstein (M’91–SM’99) received the M.S.degree (with honors) in electrical engineering fromthe Leningrad Electrotechnical Institute, Leningrad,USSR.

He is Director of Operations of the Center forBoard Assembly Research, Georgia Institute ofTechnology, Atlanta. His current research interestsinclude hybrid data-driven closed-loop control forhigh-density interconnect technologies. With over30 years of experience in systems and controls,he has lead engineering teams in development and

integration of novel supervisory control and data acquisition systems anddistributed control systems for various industrial and scientific applications.

Mr. Goldstein is a Senior Member of the Society of Manufacturing Engineers.He served as Registration Chair of the 38th IEEE Conference on Decision andControl.