harry d. foster - stac research · 2017. 6. 5. · uvm •protocol expertise •reduces tb...

29
Harry D. Foster Demystifying FPGA Design and Verification Chief Scientist Verification Design Verification Technology June 5, 2017

Upload: others

Post on 28-Jan-2021

3 views

Category:

Documents


0 download

TRANSCRIPT

  • Harry D. Foster

    Demystifying FPGA Design and Verification

    Chief Scientist Verification

    Design Verification Technology

    June 5, 2017

  • © Mentor Graphics Corporation

    THE ERA OF DIGITAL INTELLIGENCE

  • © Mentor Graphics Corporation

    Everything Connected and in the Cloud

    HF, Demystifying FPGA Design and Verification, STAC 20173

    • Evans, CTO of Stringify, says 30 billion connected devices by 2020

    • Ericsson figures on 28 billion by 2020

    • HIS Markit projects 30.7 billion IoT devices by2020

    • Cartner expects 20.8 billion by 2020

    • IDC anticipates 28.1 billion by 2020

  • © Mentor Graphics Corporation

    REALLY BIG DATA & FAST DATA

  • © Mentor Graphics Corporation

    Advantages of FPGA-Based Accelerator PlatformsCompetitive Advantage Through Low Latency and Faster Compute

    Source: A low-latency library in FPGA hardware for High-Frequency Trading (HFT),

    2012 IEEE 20th Annual Symposium on High-Performance Interconnect

    HF, Demystifying FPGA Design and Verification, STAC 20175

  • © Mentor Graphics Corporation

    The Need to Shift LeftCharacteristics of Projects Who Meet or Exceed Schedule

    Behind ScheduleAhead of schedule

    Source: Wilson Research Group and Mentor Graphics, 2014 Functional Verification Study

    H Foster, Honeywell, Sept 20166

    0%

    5%

    10%

    15%

    20%

    25%

    30%

    35%

    40%

    More than 10%EARLY

    10% EARLY ON-SCHEDULE 10% BEHINDSCHEDULE

    20% 30% 40% 50% >50% BEHINDSCHEDULE

    FP

    GA

    De

    sig

    n P

    roje

    cts

    Actual FPGA design project completion compared to project's original schedule

    World 2012

    World 2014

    World 2016

    2012: 67% behind schedule2014: 59% behind schedule2016: 65% behind schedule

    6 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    The Need to Be GreenCharacteristics of Projects Without Bug Escapes

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    H Foster, Honeywell, Sept 20167

    7

    0%

    5%

    10%

    15%

    20%

    25%

    30%

    35%

    0 1 2 3 4 5 6 or More

    De

    sig

    n P

    roje

    cts

    2016 FPGA Non-Trivial Bug Escapes to Production

    78% of FPGA design projectshave non-trivial bugs that

    HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    Flaws Contributing to FPGA Rework

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    * Multiple answers possible

    0%

    10%

    20%

    30%

    40%

    50%

    60%

    LOGIC ORFUNCTIONAL

    CLOCKING TUNINGANALOGCIRCUIT

    CROSSTALK POWERCONSUMPTION

    MIXED-SIGNALINTERFACE

    TIMING – PATH TOO SLOW

    FIRMWARE TIMING – PATH TOO FAST

    OTHER

    De

    sig

    n P

    roje

    cts

    Trends in Types of Flaws Resulting in Rework

    2012

    2014

    2016

    H Foster, Honeywell, Sept 20168

    8 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    RISING VERIFICATION COMPLEXITY

  • © Mentor Graphics Corporation

    The Emergence of New Layers of Verification Requirements Brought on by the System Era

    La

    ye

    rs o

    f R

    eq

    uir

    em

    en

    ts

    Clock Domains

    Power Domains

    Software Domains

    Performance Domains

    Functional Domains

    Security / Safety Domains

    HF, Demystifying FPGA Design and Verification, STAC 201710

  • © Mentor Graphics Corporation

    Demand for Design Engineers Grows Slowly

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    0

    2

    4

    6

    8

    10

    12

    2007 2010 2012 2014 2016

    AS

    IC/IC

    Me

    an

    Pe

    ak

    Nu

    mb

    er

    of

    En

    gin

    ee

    rs

    Design Engineers

    CAGR Designers 3.6%

    H Foster, Honeywell, Sept 201611

    11 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    But what about Verification Productivity?

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    0

    2

    4

    6

    8

    10

    12

    2007 2010 2012 2014 2016

    AS

    IC/IC

    Me

    an

    Pe

    ak

    Nu

    mb

    er

    of

    En

    gin

    ee

    rs

    Design Engineers

    Verification Engineers

    CAGR Designers 3.6% CAGR Verifiers 10.4%

    H Foster, Honeywell, Sept 201612

    12 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    About a 1-to-1 Ratio of FPGA Verification and Design Engineers

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    4.04.3 4.3

    2.6

    3.5 3.6

    0

    2

    4

    6

    2012 2014 2016

    FP

    GA

    Me

    an

    Pe

    ak

    Nu

    mb

    er

    of

    En

    gin

    ee

    rs

    Design Engineers

    Verification Engineers

    1.8% CAGR between 2012 and 2014 for FPGA design engineers

    8.48% CAGR between 2012 and 2014 for FPGA verification engineers

    H Foster, Honeywell, Sept 201613

    13 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    What Makes Functional Verification Difficult?

    14

    Single, sequential data streams— Floating point unit— Graphics shading unit— DSP convolution unit — MPEG decode— . . .

    Channel

    Compressed

    Audio

    Data Link LayerTX

    RX

    PHY

    Sequential data streams1x number of bugs

    Concurrent data streams5x number of bugs

    Encoder Decoder

    Multiple, concurrent data streams— Cross bar— Bus traffic controller— DMA controller— Standard I/F (e.g., PCIe)— . . .

    -Ted Scardamalia, internal IBM studyHF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    Packet-Based Design

    Transaction

    Layer Packet

    Reformater

    Data Link

    Layer Packet

    Reformater

    Retry BufferArbiter

    Tx

    Rx

    From

    FabricTo

    PHY

    From Rx

    Channel

    Verifying Concurrency Requires Appropriate Solutions

    H Foster, NC State, Spring 201715

    HF, Demystifying FPGA Design and Verification, STAC 201715

  • © Mentor Graphics Corporation

    Finding Corner Case Bugs Requires Appropriate Solutions

    Constrained-random simulation finds the bugs

    you never anticipated!

    Directed-test-based simulation finds the bugs

    you can think of…

    H Foster, NC State, Spring 201716

    HF, Demystifying FPGA Design and Verification, STAC 201716

  • © Mentor Graphics Corporation

    VERIFICATION BEST PRACTICES

  • © Mentor Graphics Corporation

    Simulation-Based Techniques

    — Fundamental verification technique in use today— Generally scales well— Testing all possible states is generally incomplete

    HF, Demystifying FPGA Design and Verification, STAC 2017

    Simulation Testbench

    DesignModel

    GenerateStimulus

    CheckResults

    Measure Coverage

    Assertions can be used to check results and measure coverage

    H Foster, NC State, Spring 201718

    18

  • © Mentor Graphics Corporation

    FPGA Projects are Maturing their Verification Processes

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    H Foster, Honeywell, Sept 201619

    0% 10% 20% 30% 40% 50% 60% 70%

    Constrained-RandomSimulation

    Functional coverage

    Assertions

    Code coverage

    FPGA Design Projects

    World 2012

    World 2014

    World 2016

    19 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    FPGA Verification Technology Adoption and Bug EscapesData suggest organizations that are less mature in their verification processes experience more bugs

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    H Foster, Honeywell, Sept 201620

    0% 10% 20% 30% 40% 50% 60% 70% 80%

    Constrained-RandomSimulation

    Functional coverage

    Assertions

    Code coverage

    FPGA Design Projects

    Bug Escapes

    No Bug Escapes

    20 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    Formal-Based Techniques

    — Does not require a testbench or input stimulus!

    — Automatically uses algorithms to verify the functionality

    — Verification can be complete

    — Complements simulation-based techniques

    HF, Demystifying FPGA Design and Verification, STAC 2017

    Pass?FormalTool

    DesignModel

    Assertions

    DoneYes

    No

    H Foster, NC State, Spring 201721

    21

  • © Mentor Graphics Corporation

    FPGA Formal Technology Adoption Trends

    Source: Wilson Research Group and Mentor Graphics, 2016 Functional Verification Study

    H Foster, Honeywell, Sept 201622

    0%

    5%

    10%

    15%

    20%

    25%

    Formal property checking Automatic formal verification

    FP

    GA

    De

    sig

    n P

    roje

    cts

    Static Verification Technique

    World 2012

    World 2014

    World 2016

    22 HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    Proven Benefits from Advanced VerificationFPGA customers realize the benefits of evolving their verification methodologies

    • Constrained random for better testing

    • Functional coverage for better insight

    • Assertions to improve debug efficiencySystemVerilog

    • Enables benefits of SystemVerilog

    • Provides consistent methodology

    • Promotes reuse for productivity boostUVM

    • Protocol expertise

    • Reduces TB development effort

    • Risk reductionVerification IP

    23

    FPGA Customer Success StoriesIncreased business due to shorter design cycles

    400% ROI after adopting UVM and VIP

    5 straight FPGA’s without a bug

    Zero bugs found in lab since moving to UVM

    Reduced expensive lab equipment

    100x faster to debug vs lab

    HF, Demystifying FPGA Design and Verification, STAC 2017

  • © Mentor Graphics Corporation

    IMPROVING VERIFICATION SKILLS

    www.verificationacadmy.com

  • © Mentor Graphics Corporation

    The Most Comprehensive Verification Resource AroundFree Resource Available to You!

    Online Courses

    Verification Cookbooks

    Discussion Forums

    Patterns Library UVM Cookbook

    Coverage Cookbook

    HF, Demystifying FPGA Design and Verification, STAC 201725

  • © Mentor Graphics Corporation

    1543

    3650

    8439

    14823

    21858

    28442

    35057

    4187142582

    0

    5000

    10000

    15000

    20000

    25000

    30000

    35000

    40000

    45000

    2009 2010 2011 2012 2013 2014 2015 2016 2017 (February)

    Verification Academy Membership TrendFree Resource Available to You!

    HF, Demystifying FPGA Design and Verification, STAC 201726

  • © Mentor Graphics Corporation

    WWW.VERIFICATIONACADEMY.COM

  • © Mentor Graphics Corporation

    Learn More About Mentor’s FPGA Design & Verification Tools and Solutions

    Pete LaFauci, Senior Account Manager— [email protected]

    Ted Lin, Technical Account Manager— [email protected]

    Harry Foster, Chief Scientist Verification— [email protected]

    HF, Demystifying FPGA Design and Verification, STAC 201728

    http://www.google.com/url?sa=i&rct=j&q=&esrc=s&source=images&cd=&cad=rja&uact=8&ved=0ahUKEwi5re3up5jUAhVnylQKHfdZBwQQjRwIBw&url=http://www.trias-micro.com/solutions-products/functional-verification/products/questa-advanced-simulator.htm&psig=AFQjCNHwSISqgk_rBvIWJn9MCt_54MG6ZA&ust=1496257503108061http://www.google.com/url?sa=i&rct=j&q=&esrc=s&source=images&cd=&cad=rja&uact=8&ved=0ahUKEwi5re3up5jUAhVnylQKHfdZBwQQjRwIBw&url=http://www.trias-micro.com/solutions-products/functional-verification/products/questa-advanced-simulator.htm&psig=AFQjCNHwSISqgk_rBvIWJn9MCt_54MG6ZA&ust=1496257503108061

  • © Mentor Graphics Corporationwww.mentor.com