flat panel display - entegris · manufacturers continually strive ... /pv df h ousings pp h ousings...

23
flat panel display flat panel display

Upload: vucong

Post on 05-Apr-2018

226 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

flat panel display

flat panel display

Page 2: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 1

table of contentsSection 1: About Entegris

Purify, Protect and Transport ........................................................ 2

Global Presence .............................................................................. 3

Close to Our Customers ................................................................. 4

Entegris Solutions for FPD Processes .......................................... 5

Section 2: Products/Solutions

liquid contamination control solutionsOverview ...................................................................................... 6

ProcessGard® PL Half Dove Tail Housing ............................... 9

226 Cast HP Half Dove Tail Housing ...................................... 10

334 Cast HP Half Dove Tail Housing ...................................... 11

Panelgard™ EX Filters and Housings .................................... 12

Savana® PTFE Cartridge 222/226 .......................................... 14

gas contamination control solutionsOverview .................................................................................... 16

Wafergard® SG Gas Filters ...................................................... 19

Linegard™ MAX-FP In-line Teflon® Gas Filters ................... 20

Chambergard™ BGN Fast Vent Diffusers .............................. 21

Gas Purification ........................................................................ 22

Aeronex® Gas Purification Systems ...................................... 24

GateKeeper® Purifiers ............................................................ 25

fluid handling solutionsOverview .................................................................................... 26

Tubing and Pipe ........................................................................ 28

Tube and Pipe Fittings ............................................................ 29

PrimeLock® Fittings ................................................................ 30

High Flow PFA Valves .............................................................. 32

Valves ......................................................................................... 33

Dymension® Manifolds ............................................................ 34

NT® Pressure Transducers ...................................................... 36

NT Flowmeter, Model 4400 ..................................................... 37

substrate handlingOverview .................................................................................... 38

Substrate Carriers and Pods ................................................... 39

flat panel displayThe Flat Panel Display (FPD)

fabrication environment is among

the world’s most competitive and

technologically complex. FPD

manufacturers continually strive

to satisfy the worldwide consumer’s

appetite for larger displays, greater

pixel resolution and feature-rich

performance – all at a lower cost

than the previous generation of

technology. The need to control

contamination in air, gas and

liquid process streams is now

a paramount focus of process

engineers and designers. Entegris

provides the solutions to succeed

under these extreme conditions.

Page 3: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 32

With 2,700 employees worldwide, Entegris thrives on the challenge to meet our customers’ expectations through a global network of service, technology, manufacturing and application support teams, all built upon a tradition of product and process innovation.

global presence

Sales office Manufacturing Service center Lab

Dresden

Moirans

Colorado Springs

San DiegoDecatur

FranklinBurlington

BillericaChaskaMinneapolis

SingaporePenang

Kulim (2)

Osaka

Tokyo

Yonezawa (2)Seongnam-si (2)

Beijing

FukuokaShanghai

Tainan

Taoyuan

Kiryat-Gat

Montpellier

Lyon

Hsinchu (3)

Asia and Japan North AmericaEurope and Israel

Wonju-si

lab capabilitiesanalytical services Product testing material science• Airborne molecular contamination • Performance testing • New material development

• Surface contamination • Particle testing • Material characterization

• Applications support • Electrostatic charge • Material selection

• Root-cause analysis • Vibration • Material incoming inspection

• Flow rate optimization • Applications support

• Applied statistics

• Safety and industry standardization

• DOT and UN regulations

• CE marking

Entegris provides products and services for applications across key flat panel display processes to help TFT and color filter makers solve manufacturing challenges, enhance yield and gain sustainable competitive advantage.

purify, protect and transport

materials technology laboratoryMaterial selection is a key element in designing a polymer component. Entegris’ Materials Technology Laboratory uses sophisticated equipment and inspection techniques to characterize polymers before they are converted into molded products used to transport and protect ultrapure process chemicals. We characterize all levels of polymers from commodity resins such as PP and PE to engineering thermo- plastics such as PPS, PEEK™ and PC, to fluoropolymers such as PFA, PTFE, and FEP. Surface modification technology also enables Entegris to develop specific membranes for customers. Our scientists thoroughly analyze resins for contamination potential, chemical compatibility, material properties, structural tolerances and maximum strengths.

technology characterization laboratoryEntegris is a leader in establishing test methods for safety and industry

standardization. Extensive performance testing, evaluation and validation assure product performance, reliability, durability, functionality and safety.

applications laboratoryEntegris offers best-in-class applications support and services. We have a global applications team to respond to customer’s needs quickly. Our Applications Laboratory is equipped with sophisticated equipment to perform extensive filter analysis, evaluation and validation.

system design servicesEntegris has the capability to develop systems, technologies and support strategies that will help you optimize process results and drive higher yields. Use of tools such as Finite Element Analysis (FEA), Com- putational Fluid Dynamics (CFD) and Moldflow® Analysis enable us to optimize product design of fluid handling systems, increasing flow and reliability while minimizing footprint.

Entegris Milestones1966: Founded as Fluoroware, Inc.

2000: Begins trading under the ENTG symbol on NASDAQ

2005: Merges with Mykrolis Corporation, a leading provider of liquid filtration and gas purification products and systems

2006: Expands manufacturing facility in Kulim, Malaysia

2007: Acquires Surmet Corporation’s high-purity semiconductor coatings business

2008: Acquires Poco Graphite, an industry leader in high-performance graphite and silicon carbide

2011: Opens manufacturing facility in Hsinchu, Taiwan

Entegris 2012Industries Served Semiconductor and other high-technology industries

Sales $749 million

Patents Holds 287 U.S. patents

and 593 patents in other countries

Number of Employees 2,700 worldwide

Stock Listing ENTG on NASDAQ

Page 4: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 54

Direct sales and local support gives us the opportunity to achieve customer intimacy.

Customer intimacy helps us better understand our customers’ needs through direct feedback and roadmap sharing.

By aligning our material science, engineering and R&D initiatives, we can develop indispensable contamination control solutions to solve our customers’ roadmap challenges.

close to our customers

Entegris solutions for FPD processesIncreasing display size and performance requirements demand increased process purity and control. Entegris’ liquid and gas contamination control technologies and fluid handling expertise enable increased yields, greater process control and lower cost of ownership.

The following pages highlight Entegris products designed to solve your fab challenges by providing best-in-class solutions for liquid contamination control, gas contamination control, fluid handling solutions and substrate handling. >>>

Challenge

liquid contamination control

gas contamination control

fluid handling solutions

substrate handling

Ptfe

filt

ers

uPe

filte

rs

Ps f

ilter

s

PP f

ilter

s

Pfa/

PVdf

hou

sing

s

PP h

ousi

ngs

sus

hou

sing

s

gas

filte

rs

gas

Purifi

ers

diff

user

s

Valv

es

tube

and

Pip

e fi

ttin

gs

tubi

ng a

nd P

ipe

flow

met

ers

Pres

sure

tra

nsdu

cers

man

ifold

s

carr

iers

and

Pod

s

TFT:

Bar

e Gl

ass

Film Deposition • • • •Coating • • • • • • • • • •Developing • • • • • • • • • •Wet Etching • • • • • • • • •Dry Etching • • • • •Stripping • • • • • • • •DIW Cleaning • • • • •

Colo

r Fi

lter

: Bar

e Gl

ass

Film Deposition • • • •Polishing • • • • • • • • • •Coating • • • • • • • •Developing • • • • • • • • •Wet Etching • • • • • • • • •Stripping • • • • • • • •RGB Rework • • • • • • • •ITO Rework • • • • • • • •DIW Cleaning • • • • • • • • •

Cel

l: (T

FT &

CF)

DIW Cleaning • • • • • • • • •PI Print • • • • • • • • •ODF • • • • • • • • •Rubbing • • • • •PI Rework • • • • • • • • •

Faci

litie

sUtilities • • • • • • • • • • • •HVAC •

Page 5: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 7

LIQU

ID c

on

tam

Ina

tIon

co

ntr

oL

« » FLat PanEL DISPLaY «» EntEGrIS, Inc.6

Developed from Mykrolis® microcontamination technologies, Entegris liquid contamination control solutions are specifically designed for filtration of chemicals used in flat panel display processes and ensure higher flow rate, particle retention and longer lifetime, leading to higher productivity and lower cost of ownership.

liquid contamination control solutions

MEMBRAnE SUPPORT/ (ElEMEnT) SHEll O-RInG FIlTER PORE SIzE EnTEGRIS FIlTER MATERIAl MATERIAl SIzE lEnGTH (µm)

Fluoroline™ DF-HP PTFE PFA — Standard 0.2, 0.5

Fluoroline lC-HP PTFE PFA — Standard 0.2, 0.5

Panelgard 123 PDP PP PP — Short/long 0.6~5.0

Panelgard 123 UPE UPE PP — Short/long 0.05~0.2

Panelgard 226 PDP PP PP 226 10” 0.6~10

Panelgard 226 Plus PES PS PP 226 10” 0.2~1.0

Panelgard 226 Plus PP PP PP 226 10” 1.2~30

Panelgard 334 PES PS PP 334 10” 0.2~1.0

Panelgard 334 PP PP PP 334 10” 2.0~30

Panelgard 334 PTFE PTFE PP 334 10” 1~10

Panelgard 334 PTFE-HP PTFE-HP PP 334 10” 1.0~10

Panelgard EX Plus PP PP PP 233 10” 1.2~30

Panelgard EX PSX PS PP 233 10” 0.1~1

Panelgard EX THF / THP PTFE PP 233 10” 0.1~10

Panelgard PDP PP PP 222 10” 0.6~10

Panelgard PDP Disposable PP PP — Standard 0.6~10

ProcessGard 123 nP PP PP — Short/long 0.5~10

ProcessGard In-line PP PP — Standard 1.2~10

ProcessGard PES PS PP 222 5”~40” 0.04~1.0

ProcessGard PES Disposable PS PP - Half/Short/long 0.05~1.2

ProcessGard PP PP PP 222 5”~40” 0.3~40

ProcessGard PP Disposable PP PP — Half/Short/long 0.3~70

Savana 226 PP PP PP 226 10” 0.2~40

Savana 226 PS /Plus PES PP 226 10” 0.1~1

Savana 334 PP PP PP 334 10” 0.2~40

Savana 334 PS /Plus PES PP 334 10” 0.1~1

Savana AT PTFE PFA 222 4”~30” 0.1~10

Savana MB PP PP 222 10”~50” 1~100

Savana PS /Plus PES PP 222 10”~40” 0.1~1

Savana PTFE 226 THF / THP PTFE PP 226 10” 0.1~10

Savana PTFE Disposable PTFE PP — Half/Short/long 0.1~10

Savana PTFE /PTFE-HP PTFE PP 222 5”~30” 0.1~10

Savana PTFE-X /HPX PTFE PP 222 10” 0.1~10

liquid product portfolio

Page 6: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 98

LIQU

ID c

on

tam

Ina

tIon

co

ntr

oL

ChEMICaL CoMPaTIBILITy For TFT MaNuFaCTurING

MaTErIaLProcess Chemical PTFE PFa uPE hDPE PP PESSiOx etching DHF

SiNx etching BOE

Aλ etching H3PO4 + HNO3

Cr etching (NH4)2Ce(NO3)6 + HClO4

HNO3 + (NH4)2Ce(NO3)6

ITO etching HNO3 + HCl

HCl + FeCl3

(COH)2

Stripper N-300 (70° ~ 80°C)

T-106 (40° ~ 90°C)

KOH (40°C)

NaOH (40°C)

Photolithography Positive photoresist

Thinner

HMDS

Acryl resin

Developer (2.38% TMAH)

<1% KOH

ChEMICaL CoMPaTIBILITy For CF MaNuFaCTurING

MaTErIaLProcess Chemical PTFE PFa uPE hDPE PP PESWet cleaning Alkali detergent

Cr etching (NH4)2Ce(NO3)6 + HClO4

HNO3 + (NH4)2Ce(NO3)6

Stripping & Developing Organic stripper 70°C

KOH 40°C

NaOH 40°C

Na2CO3

<2.38% TMAH

Photolithography Positive photoresist

Thinner

Color resist

Photo spacer

Over coat

Recommended Limited recommendation Not recommended

chemical compatibility charts

ProcessGard® PL half Dove Tail housing

ProcessGard PL half dove housings are high-quality stainless steel housings recommended for use in high-purity chemical processing. The housings are constructed of 316L stainless steel, which has good resistance to corrosive chemicals. Its high-quality electropolished finish eliminates harmful contaminants from leaching into the process stream.

FEaTurE BENEFITEasy cartridge replacement • Makes cartridge changeout very easy

Upgraded o-ring groove design • Protects o-ring from being separated from the housing during filter changeout

Versatile installation • Provides the inlet/outlet connections directly fitting to the type of process installations

Accommodate o-ring or flat type filter cartridge • Keeps cartridge stable in high-flow application

Page 7: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 1110

LIQU

ID c

on

tam

Ina

tIon

co

ntr

oL

226 cast HP half dove tail housings are manufac-tured from high-quality 316L stainless steel and recommended for use in high-purity chemical processing. The housings have good resistance to corrosive chemicals while its high-quality finish eliminates harmful contaminants from leaching into the process stream.

FEaTurE BENEFITConstructed of 316L stainless steel • Provides excellent chemical resistance

Upgraded o-ring groove design • Prevents separation from the housing during filter changeout

Improved connection and various drain/vent ports • Minimizes exposure to contaminants • Maintains high performance of the housing in

high-pressure applications• Allows chemicals to drain faster with wider outlet

drain and vent ports

Accommodate o-ring or flat type filter cartridge • Keeps cartridge stable in high-flow applications• Use housing in gas or fluid applications

226 Cast hP half Dove Tail housing 334 Cast hP half Dove Tail housing

334 HP half dove tail housings are manufactured from high-quality 316L stainless steel and recom-mended for use in high-purity chemical processing. The housings have good resistance to corrosive chemicals while its high-quality finish eliminates harmful contaminants from leaching into the process stream.

FEaTurE BENEFITConstructed of 316L stainless steel • Provides excellent chemical resistance

Easy filter changeout • Enables screw-in clamp band easy filter changeout

Upgraded o-ring groove design • Prevents separation from the housing during filter changeout

Improved connection and drain/vent ports • Minimizes exposure to contaminants• Maintains high performance of the housing in

high-pressure applications• Allows chemicals to drain faster with wider outlet

drain and vent ports• Processes connection available in PTF or flange

Page 8: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 1312

LIQU

ID c

on

tam

Ina

tIon

co

ntr

oL

Panelgard™ EX Filters and housings

performancePressure Drop Curves

Technical Trends/LCD TFT Panel

FEaTurE aDVaNTaGE BENEFIT

filt

er c

artr

idge

Robust polypropylene (PP), polyethersulfone (PS), hydrophobic and hydrophilic PTFE membrane

Strong polypropylene supports

• Provides clean and durable performance

• Excellent chemical compatibility• Long product life

• Minimizes particle-related defects • Lower cost of ownership through

extended filter lifetime

Available in a variety of retention ratings

• Superior particle retention ensures low particle counts in your processes

• Wide variety of applications

• Lower cost of ownership by matching the right filter to the application

Surface area optimized for high flow

• Suitable for large format flat panel display processes

• Lower flow resistance

• Increases productivity and throughput

ho

usin

g

Special notch to ensure cartridge locks into the bowl securely

• Allows quick installation• Reduces procedural errors

• Increases productivity and equipment uptime

Special housing handle design • Reduces contamination• Improves safety

• Improves yield• Promotes healthy workplace

Available in various material options

• Full chemical compatibility• Increased reliability

• Lower cost of ownership

The Panelgard family of filters is a platform of high-flow liquid filters available in a variety of membranes with retention ratings from 0.1 µm to 100 µm and flow rates up to 600 L/min, with minimal pressure drop in a single, user-friendly 10” cartridge.

The Panelgard EX PP housing is specifically designed for use with the high-flow rate Panelgard EX cartridges for large scale flat panel display manufacturing process.

• Used for developer, cleaning applications in weak alkaline solutions and DI water

• Easy filter replacement

• Improved cleanliness and safety

100 200 300 400 500

50

40

30

20

10

00

Diff

eren

tial P

ress

ure

( kPa

)

0.2 µm

Panelgard EX THP(connector size: 65A)

1 µm

10 µm

0.1 µm

0.5 µm

3 µm

Flow Rate (H2O at RT) L/min100 200 300 400 500

50

40

30

20

10

00

Diff

eren

tial P

ress

ure

( kPa

)

2.5 µm

Panelgard EX PP(connector size: 65A)

100 µm

1.2 µm

5 µm

30 µm

Flow Rate (H2O at RT) L/min

10 µm

100 200 300 400 5000

Diff

eren

tial P

ress

ure

( kPa

)

10 µm

Panelgard EX THF(connector size: 65A)

1 µm

3 µm

Flow Rate (H2O at RT) L/min

30

20

10

0

100 200 300 400

50

40

30

20

10

00

Diff

eren

tial P

ress

ure

( kPa

)

0.1 µm

Panelgard EX PSX(connector size: 65A)

0.2 µm

0.45 µm

Flow Rate (H2O at RT) L/min

1.0 µm

222 SeriesDiameter: 70 mm

226 SeriesDiameter: 83 mm

EX SeriesDiameter: 208 mm

334 SeriesDiameter: 130 mm

GENERATION XX

GENERATION 10

GENERATION 8

GENERATION 7.5

GENERATION 6

GENERATION 4

GENERATION 5

Flow Rate Higher

Pane

l Siz

e La

rger

Technical Trends/LCD TFT Panel

60 L/min 200 L/min 400 L/min 600 L/min

Savana Filters

Page 9: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 1514

LIQU

ID c

on

tam

Ina

tIon

co

ntr

oL

Savana® PTFE Cartridge 222/226

Savana PTFE filters are constructed of PTFE pleated membranes with polypropylene supports to provide unmatched durability and cleanliness for filtration of process fluids used in the electronics industry.

• The hydrophobic version can be used in air filtration, stripper and IPA or solvent applications in flat panel display or hard disk industries

• The hydrophilic version can be used in DI water and water-based acid/alkaline solutions for developer, etching and cleaning applications

performanceWater flow rate

Savana PTFE Filter (Ø70 mm)

5

4

3

2

1

00 20 30 40 50 6010

10 µm

0.1 µm

0 4 6 108 1412

Savana PTFE 10” Cartridges

40

35

30

25

20

15

10

5

0

2

0.2 µm

0.5 µm

1 µm

3 µm

Water Flow Rate (L/min)

GPM

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Dif

fere

ntia

l Pre

ssur

e (k

Pa)

Water Flow Rate (L/min)

GPM

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Dif

fere

ntia

l Pre

ssur

e (k

Pa)

4

3

2

1

00 20 30 40 50 6010

10 µm

0.1 µm

0 4 6 108 1412

Savana PTFE-HP 10” Cartridges

30

25

20

15

10

5

0

2

0.2 µm

0.5 µm

1 µm 3 µm

Savana PTFE-X/-hPX Filter (Ø83 mm Code 0)

Water Flow Rate (L/min)

GPM

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Dif

fere

ntia

l Pre

ssur

e (k

Pa)

4

3

2

1

00 20 30 40 50 6010

0 4 6 108 141230

25

20

15

10

5

0

2

10 µm

Savana PTFE-X 10” Cartridges

0.2 µm

0.1 µm

0.5 µm

1 µm3 µm

Water Flow Rate (L/min)

GPM

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Dif

fere

ntia

l Pre

ssur

e (k

Pa)

4

3

2

1

00 20 30 40 50 6010

0 4 6 108 141230

25

20

15

10

5

0

2

10 µm

Savana PTFE-HPX 10” Cartridges

0.1 µm

0.2 µm

1 µm

3 µm

0.5 µm

Savana PTFE 226 ThF/ThP Filter (Ø83 mm Code 6)

10

8

6

4

2

00 100 150 200 250

Water Flow Rate (L/min)50

0.1 µm 0.2 µm

0.5 µm

1 µm

3 µm / 10 µm

0 20 30 5040 60

Savana PTFE 226 THF Cartridges

80

70

60

50

40

30

20

10

0

GPM10

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Dif

fere

ntia

l Pre

ssur

e (k

Pa) 10

8

6

4

2

00 100 150 200 250

Water Flow Rate (L/min)50

0.1 µm

0 20 30 5040 6080

70

60

50

40

30

20

10

0

Savana PTFE 226 THP CartridgesGPM

10

0.5 µm1 µm

3 µm / 10 µm

0.2 µm

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Dif

fere

ntia

l Pre

ssur

e (k

Pa)

Page 10: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 17

GA

S Con

tAm

inA

tion

Con

trol

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC.16

Entegris offers an extensive selection of high quality gas filtration solutions to enable ultra- high purity gas processes.

Our gas filters include nickel, stainless steel and Teflon product families. They can remove particles greater than 0.003 μm from incoming gas. Chambergard fast vent diffusers provide rapid venting to atmosphere without disturbing or adding particles in the chamber.

The following compatibility chart is intended for use as a guide. Variations in gas temperature, pressure, concentrations and system conditions can affect filter lifetime compatibility. Compa-tibility of gas filters is based on temperature less than 60°C and less than 1 ppm moisture.

gas contamination control solutions: gas filtration

ChEMICaL MEMBraNE GaS CaTEGory ForMuLa NICkEL PTFE/PFa STaINLESS

Ammonia Hydrogen/Hydrides NH3

Argon Inerts Ar

Arsine Hydrogen/Hydrides AsH3

Boron 11 Trifluoride Halogen/Halides B11F3

Boron Trichloride Halogen/Halides BCl3

Boron Trifluoride Halogen/Halides BF3

Bromine Halogen/Halides Br2

Carbon Dioxide Oxygen/Oxides CO2

Carbon Monoxide Oxygen/Oxides CO

Carbon Tetrachloride Hydrocarbons/ CCl4 Halogenated Hydrocarbons

Chlorine Halogen/Halides Cl2

Chlorine Trifluoride Halogen/Halides ClF3

Diborane Hydrogen/Hydrides B2H6 2

Dichlorodifluoromethane Hydrocarbons/ CCl2F2 Halogenated Hydrocarbons

Dichloromethane Hydrocarbons/ CH2Cl2 Halogenated Hydrocarbons

Dichlorosilane Halogen/Halides SiH2Cl2

Difluoromethane Hydrocarbons/ CH2F2 Halogenated Hydrocarbons

Ethane Hydrocarbons/ C2H6 Halogenated Hydrocarbons

Fluorine Halogen/Halides F2

Germane Hydrogen/Hydrides GeH4

Halocarbon 115 Hydrocarbons/ C2ClF5 Halogenated Hydrocarbons

Halocarbon 116 Hydrocarbons/ C2F6 Hexafluoroethane Halogenated Hydrocarbons

Halocarbon 13 Hydrocarbons/ CClF3 Halogenated Hydrocarbons

Halocarbon 14 Hydrocarbons/ CF4 Tetrafluoromethane Halogenated Hydrocarbons

Halocarbon 218 Hydrocarbons/ C3F8 Perfluoropropane Halogenated Hydrocarbons

Halocarbon 23 Hydrocarbons/ CHF3 Trifluoromethane Halogenated Hydrocarbons

Halocarbon 318 Hydrocarbons/ C4F8 Octafluorocyclobutane Halogenated Hydrocarbons

Helium Inerts He

Hexafluoro-2-butyne Hydrocarbons/ C4F6 Halogenated Hydrocarbons

Hexafluorobutadiene Hydrocarbons/ C4F6 Halogenated Hydrocarbons

gas filter compatibility chart

Compatible Compatible with limitation Not compatible

Page 11: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 1918

GA

S Con

tAm

inA

tion

Con

trol

ChEMICaL MEMBraNE GaS CaTEGory ForMuLa NICkEL PTFE/PFa STaINLESS

Hydrogen Hydrogen/Hydrides H2

Hydrogen Bromide Halogen/Halides HBr

Hydrogen Chloride Halogen/Halides HCl

Hydrogen Fluoride Halogen/Halides HF

Hydrogen Selenide Hydrogen/Hydrides H2Se

Hydrogen Sulfide Hydrogen/Hydrides H2S

Krypton Inerts Kr

Methane Hydrocarbons/ CH4 Halogenated Hydrocarbons

Methyl Fluoride Hydrocarbons/ CH3F Halogenated Hydrocarbons

Methylsilane Hydrogen/Hydrides SiH3(CH3)

Neon Inerts Ne

Nitric Oxide Oxygen/Oxides NO

Nitrogen Inerts N2

Nitrogen Dioxide Oxygen/Oxides NO2

Nitrogen Trifuoride Halogen/Halides NF3

Nitrous Oxide Oxygen/Oxides N2O

Octafluorocyclopentene Hydrocarbons/ C5F8 Halogenated Hydrocarbons

Oxygen Oxygen/Oxides O2

Ozone Oxygen/Oxides O3

Pentaborane Hydrogen/Hydrides B5H9

Phosphine Hydrogen/Hydrides PH3 2

Phosphorous Trifluoride Halogen/Halides PF3

Silane Hydrogen/Hydrides SiH4 1

Silicon Tetrachloride Halogen/Halides SiCl4

Silicon Tetrafluoride Halogen/Halides SiF4

Sulfur Dioxide Oxygen/Oxides SO2

Sulfur Hexafluoride Halogen/Halides SF6

Trichlorofluoromethane Hydrocarbons/ CCl3F Halogenated Hydrocarbons

Trichloromethane Hydrocarbons/ CHCl3 Halogenated Hydrocarbons

Trichlorosilane Halogen/Halides SiHCl3

Trichlorotrifluoroethane Hydrocarbons/ C2Cl3F3 Halogenated Hydrocarbons

Trimethylamine Hydrocarbons/ (CH3)3N Halogenated Hydrocarbons

Trimethylsilane Hydrogen/Hydrides SiH(CH3)3

Tungsten Hexafluoride Halogen/Halides WF6

Xenon Inerts Xe

1 Compatible to 150°C.

2 Not compatible above room temperature and less than 1000 ppm. Early periodic replacement is recommended. Please consult your Application Specialist or Technical Service for available test data from Entegris’ Specialty Gas Research Center for dopant concentrations under 1000 ppm.

gas filter compatibility chart (continued)

Compatible Compatible with limitation Not compatible

Wafergard® SG Gas Filters

1.0

0.8

0.6

0.4

0.2

0

14121086420

0 10 20 30 40 50 60SLPM Flow Rate @ 23°C (73°F)

Dif

fere

ntia

l Pre

ssur

e (b

ar)

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Wafergard SG Gas Filters FG SeriesWGFGT1PS1 ¼” Compression Seal

4.12 bar inlet(60 psig)

2.06 bar inlet(30 psig)

0 200 400 600 800 1000 1200

1.0

0.8

0.6

0.4

0.2

0

14121086420

SLPM Flow Rate @ 23°C (73°F)

Dif

fere

ntia

l Pre

ssur

e (b

ar)

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Wafergard SG Gas Filters FG SeriesWGFGMBSS4 ½” Compression SealWGFGMBSS3 3⁄8” Compression Seal

4.12 bar inlet(60 psig)

2.06 bar inlet(30 psig)

1.03 bar inlet(14 psig)

6.2 bar inlet(90 psig)

0.5

0.4

0.3

0.2

0.1

0

76543210

SLPM Flow Rate @ 23°C (73°F)

Dif

fere

ntia

l Pre

ssur

e (b

ar)

Dif

fere

ntia

l Pre

ssur

e (p

sid)

Wafergard SG Gas Filters FG SeriesWGFG02PS1 ¼” Compression Seal

6.2 bar inlet(90 psig)

4.12 bar inlet(60 psig)

2.06 bar inlet(30 psig)

0 20 40 60 80 100 120

performance

Wafergard SG gas filters provide superior particulate filtration to maximize photovoltaic cell and display manufacturing production yields. The all-Teflon filter elements are compatible with high-purity process gases as well as inert gas and CDA applications.

• Photovoltaic process gases

• Superior downstream cleanliness

• Excellent compatibility with high-purity gases including N2, CDA, SiH4, H2 and NF3

Page 12: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 21

GA

S Con

tAm

inA

tion

Con

trol

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC.20

Linegard™ MaX-FP In-line Teflon® Gas Filters

Linegard MAX-FP in-line Teflon gas filters with a particle removal rating of > 0.003 µm are designed as cost-effective solution for mid-flow range on noncritical applications. Recommended for filtration of compressed dry air and inert gases such as general nitrogen on applications of instrument air, air knife, purge/vent line, hook up and dryer.

• Filter element –Teflon PTFE membrane supported by polypropylene structures –provides a cost-effective solution for filtration of compressed air and inert gases

• Large inside diameter of filter element is designed for high flow rate/low pressure drop, resulting in up to 1000 SLPM with compact housing

• Various fitting and length configurations provide ease of installation without design changes of gas panel and delivery system

Dif

fere

ntia

l Pre

ssur

e (p

sid)

20181614121086420

Linegard MAX-FP ½” Fittings

Air Flow Rate (SLPM) @ 23°C (73°F)

Inlet = 0.6 MPaD

iffe

rent

ial P

ress

ure

(kPa

)

140

120

100

80

60

40

20

0200 600 800 1000 12000 400

Inlet = 0.1 MPa

Inlet = 0.2 MPa

Inlet = 0.4 MPa

performance

Designed to meet the needs of flat panel display for greater efficiency, decreased chamber downtime and greater throughput.

• Patented sintered nickel filter element diffuses the flow of incoming gas quickly, allowing the loadlock and/or transfer chamber to fill at a higher rate

• Highly retentive nickel element removes submicron particle contamination from incoming gas

We offer other form factors and configurations. Please contact Entegris for more information.

FEaTurE aDVaNTaGE BENEFITEntegris’ patented, sintered nickel technology in a compact diffuser unit

• Rapid vent, gentle flow to atmosphere without particle disturbance or excessive turbulence

• Decreases cycle time, increases throughput and overall equipment efficiency

0.003 mm filtration technology in an integrated diffuser/filter

• Removal of particles greater than 0.003 mm from incoming gas

• Delivers ultra-clean, diffused gas to the process chamber to minimize on-wafer defects

Available in a variety of standard flange and connector configurations

• Easy installation, retrofit into existing tools including loadlock chambers, cooling chambers, transfer chambers and process chambers

• Flexibility in chamber design

Rated at 100,000 cycles before changeout

• Long product life • Lowers cost of ownership and reduces downtime

50403020100

0.4

0.3

0.2

0.1

0

0.5

Supply Pressure (psig)

Vent

-Up

Tim

e Se

cond

s/lit

er

60

BGN-25ST

BGN-NFLT and BGN-25LT

performance

Chambergard™ BGN Fast Vent Diffusers

Page 13: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 2322

GA

S Con

tAm

inA

tion

Con

trol

Entegris provides gas purification solutions for a range of

applications where quality, capacity and reliability are essential.

The technology incorporates an inorganic regenerable media which

purifies gases at ambient temperatures to parts-per-trillion (ppt)

levels. Regenerable purifiers are cost-effective, environmentally

friendly alternatives to nonregenerable getter, organometallic resin

and palladium-based technologies.

gas contamination control solutions: gas purification

GaTEkEEPEr aEroNEX SySTEMS

gas symbol iner

t

corr

osiv

e

non

- re

activ

e

hyd

roge

n

opt

ics

carb

on

mon

oxid

e

hyd

ride

nitr

ogen

opt

ics

amm

onia

hyd

roge

n

Ammonia NH3

Argon Ar

Arsine AsH3

Boron Trichloride BCl3

Bromine Br2

Carbon Dioxide CO2

Carbon Monoxide CO

Chlorine Cl2

Clean Dry Air CDA

Diborane B2H6

Dichlorosilane SiH2Cl2

Ethane C2H6

Germane GeH4

Hexafluoroethane C2F6

Tetrafluoromethane CF4

Octafluoropropane C3F8

Helium He

Hydrogen H2

Hydrogen Bromide HBr

Hydrogen Chloride HCl

Krypton Kr

Methane CH4

Methylsilane SiH3(CH3)

Neon Ne

Nitrogen N2

Nitrous Oxide N2O

Oxygen O2

Phosphine PH3

Silane SiH4

Silicon Tetrachloride SiCl4

Silicon Tetrafluoride SiF4

Sulfur Hexafluoride SF6

Trichlorosilane SiHCl3

Trimethylsilane SiH(CH3)3

Xenon Xe

Note: If a gas is not listed, please contact your regional Entegris Customer Service Center for additional information.

gas purification range chart

GaSES PurIFIED GaS SErIES CoNTaMINaNTS rEMoVED ouTLET PurITy

XCDA® purge gas Z2 Inorganic SO2, SOX, NOX, H2S, H2O, CO2, siloxanes, ammonia, amines, acid gasses, alcohols and nonmethane hydrocarbons (NMHCs)

<1 ppb H2O <10 ppt volatile bases <1 ppt all other contaminants

N2, He, Ne, Kr, Xe, Ar, Halocarbons and light Hydrocarbons

I Inert O2, H2, CO, CO2, H2O, NMHCs <1 ppb

SF6, NF3, O2, NO, N2O, CO2, O2/Inert gas mixtures

N Nonreactive H2O, NMHCs <1 ppb

CDA, N2 O Optics and Z H2O, volatile acid, volatile base, condensable organics, dopants (SOx, NOx, H2S, NH3, CO2, siloxane)

<1 ppb H2O <1 ppt

NH3, AsH3, PH3, GeH4, SiH4, B2H6, Si2H6

SK Hydride O2, CO, CO2, H2O, NMHCs and oxidation by-products

<1 ppb

HCl, Cl2, HF, F2, HBr, BCl3, SiCl4, SiF4

C Corrosive H2O <100 ppb (<1 ppb in N2)

CO L Carbon Monoxide H2O <1 ppb

gas purification series overview

Page 14: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 2524

GA

S Con

tAm

inA

tion

Con

trol

GateKeeper purifiers remove gaseous contaminants down to parts-per-trillion levels with inorganic media. Applications include gas-box installation, single-tool purification, multi-tool purification, facility purification, back-pad purifiers, Aeronex Gas Purification System back-ups and other applications.

• A cost-effective way to prevent contamination of the process or damage to the tool

• Designed for ambient operation and requiring no power

• Maximum flow rates ranging from 1 SLM to 20,000 SLM

• See gas purification selection chart for gases purified

Gatekeeper® Purifiersaeronex® Gas Purification Systems

Entegris Aeronex Gas Purification Systems are stand-alone systems offering in-situ regeneration with advanced controls. The multi-bed design guarantees a continuous supply of pure gas so there is no need to send a purifier back for regen- eration or to install a replacement purifier. The pre-programmed controller knows when to switch to the fresh purifier and when to regenerate the depleted purifier. Applications include single- tool purification, multi-tool purification, facility purification and other applications.

• Offers maximum flow rates ranging from 120 SLM to 5,000 SLM

• Available in an array of optional configurations to meet specific application requirements

• Options include manual or automatic bypass valves, flow meters and integrated backup GateKeeper purifier and online moisture indicators

• Available with XCDA, inert, hydrogen and other specialty gases

FEaTurE aDVaNTaGEAmbient temperature purification • Lower energy costs

• Resource conservation

Self-regenerating purifiers • Lower cost of ownership• Reduced downtime

Complete automatic operation • Saves time - minimal user interface• Increased reliability• Lower cost of ownership

System has breakthrough detection capability (for CDA, Inert, O2 and H2)

• No production yield or tool downtime loss from premature breakthrough. BTI will alarm user and allow a bed swap

Continuous flow of purified gas • No tool downtime associated with purifier change-out procedure

FEaTurE aDVaNTaGEHigh efficiency • Impurity removal to <1 ppb or lower limit of detectability

High capacity • Extended lifetime

Low pressure drop • Maintains consistent flow performance

Renderable media • Low cost of ownership - regen units are half to two thirds of the price of new units

• No hazardous waste

No hydrocarbons released under any conditions

• Will not contaminate the process

Process protection • Consistently delivers ultrapure gas• Guards against bulk gas purifier breakthrough, impurity

spikes from liquid storage tanks, cylinder changeouts and unexpected contaminants in the process

Cost-effective • Improves process consistency, increases product yields and lengthens tool life

• Transforms low-purity gas into UHP grade, reducing process costs

• Minimal installation costs• No power requirements

Safe and simple to use • Easy to install• Uses ambient temperature purification technology

– no power or heat required• No moving parts

Page 15: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 2726

FLUID

HA

ND

LING

reliable, high-purity, high-precision fluid handling solutions

Entegris offers the broadest range of high-purity, corrosion-resistant fluid handling products to maximize productivity, improve yields and reduce cost of ownership throughout chemical distribution and point-of-use.

Entegris chemical compatibility chart for sensing and control products* (pg. 36 - 37)

Chemical Type Chemical

WETTED SurFaCES

SENSor INTErFaCE BoDy PrIMary SEaL

CTFE** PFa**

CTFE (hF com-patible) Sapphire PTFE PFa

kalrez® 4079

kalrez 1050LF

kalrez 6375uP (Default)

Code –P1

Code –P2

Code –P5 NA NA NA

Code -U1 or -S1

Code -U2 or -S2

Code -U3*** or -S3

Acids Acetic A/B B D A A A A C A

HFn A B D A A A A/B B/C A/B

Hydrochloric (HCl) A B D A A A A A A

Hydrofluoric (HF) B C A A A A A B A

nitric (HnO3) A B D A A A A/B B/C A

Phosphoric (H3PO4) A A D A A A A A A

Sulfuric (H2SO4) A A D A A A A A A

Bases Ammonium Fluoride (nH4F) A A D A A A B A/B A

Ammonium Hydroxide (nH4OH) A B D A A A B A/B A

Potassium Hydroxide (KOH) A A D A A A A A A

Oxidants Hydrogen Peroxide (H2O2) A A D A A A A A A

Dissolved Ozone A A D A A A B B A/B

Dissolved Chlorine B A D A A A B B/C B

Organic Solvents

Acetone B A D A A A A A A

n-Butyl Acetate B A D A A A A A A

Ethylene Glycol A A D A A A A A A

Isopropyl Alcohol A A D A A A A A A

Methanol A A D A A A A A A

Methyl Ethyl Ketone (MEK) C A D A A A A A A

n-methyl pyrrolidone (nMP) B A D A A A A A A

Tetra Methyl Ammonium Hydroxide (TMAH)

A B D A A A B A A

Organic Solvents Categories

Acetates B A D A A A A A A

Alcohols A A D A A A A A A

Amines B A D A A A C A A

Hydrocarbons, Aromatic B A D A A A A A A

Hydrocarbons, Alkane A/B A D A A A A A A

Ketones B A D A A A A A A

Media Temperature

High Temperature (>40°C) B A C C A A A/B A A

* The compatibility chart is compiled from information published by: Entegris, DuPont Dow Elastomers, Welch Fluorocarbon, Little Giant Pump Company, the PDL Handbook and Compass Corrosion Guide.

** The suitability of CTFE and PFA is based on both chemical resistance and permeability.

*** Recommended for new application.

Entegris neither represents nor warrants the accuracy or sufficiency of the information set forth in this chart for specific end-user applications. Ultimate responsibility for material selection remains with the end user. Nothing in this chart constitutes a change to the terms and conditions under which the Entegris product was sold.

A: Preferred, suitable for all high-purity applications

B: Acceptable, suitable for nonwetted parts in most applications. May not be suitable for wetted parts in high-purity applications

C: Not recommended for wetted parts in high-purity applications. May be suitable for nonwetted parts in some applications

D: Information not available

Page 16: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 29

FLUID

HA

ND

LING

« » FLAT PANEL DISPLAY «» ENTEGRIS, INC. 28

FEaTurE aDVaNTaGE BENEFITPFA wetted surfaces • Provides high-purity and chemical resistance

• Long product life• Lower cost of ownership

through increased productivity and throughput

Largest installed customer base of PFA fittings

• Global manufacturing and support• Assured reliability and performance

• High service levels in all regions• Lower cost of ownership through

increased manufacturing uptime

Available in a broad range of sizes and configurations

• Single source supplier for all high-purity, corrosive chemical connection needs

• Suitable for a wide variety of FPD applications

• Reduces number of suppliers• Lower design cost

Sweep elbow configurations • Reduced pressure loss with higher flow rates • Increased chemical throughput

Entegris has the broadest range of fitting configurations and sizes available to meet flat panel display, photolithography, wet etch and clean and bulk chemical distribution needs.

• Most complete line of flare fitting connections available

• Flare fittings provide leak-free performance in demanding applications

• PureBond® weldable pipe fittings provide a rigid, permanent, leak-free piping system that is adaptable to other connection types, including NPT, flare, ANSI® and JIS flanges

• Broad range of sizes and configurations: 1⁄4” to 2” sizes of elbows, unions, reducers, caps, tees, crosses and adapters

• Up to 1551 kPa (225 psig) media pressure capability

• Up to 200˚C media temperature capability

Tube and Pipe FittingsTubing and Pipe

FEaTurES aDVaNTaGE BENEFITPFA material of construction • Broad chemical compatibility

• Long product life• Lower cost of ownership through

increased productivity and throughput

Long-term performance testing at various media temperatures

• Products can be used with confidence at maximum continuous ratings

• Added safety• Lower cost of ownership through

increased manaufacturing uptime

Laser marked tubing • Sure method of traceability • Possibility of identification in critical situations

Available in different PFA grades: 4200, 4300 and 4400 (HP plus)

• Customizable in different material quality requirements

• Exact grade of PFA can be selected to best fit the application

• Increased product performance and longer product life

Entegris offers a broad range of tubing and pipe made from 100% virgin high-purity PFA material for highly corrosive, ultrapure applications.

• Multiple tube and pipe materials available to best match material to application

• Tube flaring process provides leak-free connections and offers design flexibility

• PureBond weld process is ideal when permanent connections are required

• Nonintrusive and noncontaminating permanent serialized laser marking allows immediate material, size and manufacturing lot identification

performancePressure vs. Temperature

Fluid Temperature (°C)

300

250

200

150

100

50

0

1724

1379

1034

690

345

0

37.80

50 100 150 200 250 300 350 400

65.5 93.3 121.1 148.9 176.7 204.4

Fluid Temperature (°F)

Pres

sure

(psi

g)

AT250-047

AT375-062

*1” and 1¼” ratings vary slightly. Please consult the factory for specific rating information.

AT500-062

AT1000-062,AT1250-075*

AT750-062

Tubing Size Options

• Standard wall tubing is available in 1⁄4” to 11⁄2” nominal sizes in reel lengths up to 1525 meters (5000’) depending on tube size

• Schedule 40 pipe ranging from 1⁄4” to 2” nominal pipe size is available in straight lengths of 8’, 10’ and 3 meters

Page 17: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 3130

FLUID

HA

ND

LING

PrimeLock® Fittings

The simplest, cleanest and most robust PFA fitting on the market.

• Primelock fittings ensure leak-free performance in the most demanding chemical applications

• Easy to assemble, heat is not required

• The audible and visible indicator allows users to verify the proper makeup of the connection

• Broad range of sizes and configurations: 1⁄4” to 1” elbows, unions, reducers, caps, tees, minimum tube unions and adapters

Ideal for high purity, corrosive chemical handling.

FEaTurE aDVaNTaGE BENEFITEase of assembly • Audible and visual indication of proper

fitting makeup • Consistent and repeatable fitting

makeup

PFA wetted surfaces • Broad chemical compatibility • Simplifies product selection

Robust thread design • No cross threading• Quick makeup• Increased sealing forces

• Consistent leak-free connection

Unique seal design • Nonwetted insert eliminates multiple entrapment, leak points and flow interruptions

• Improved process

Capable of 200°C (392°F) temperature rating, all sizes

• Simplifies product selection • Lower design cost

No heat required to flare tubing • Reduces operator variability • Saves time and money

performanceThe unique seal design removes the insert from the flow stream

• Reduces entrapment

• Reduces leak points

• Eliminates flow interruptions

Backup seal 2: Surface between ID oftube and OD of insert

Primary seal

Backup seal 1: Surface between insert and fitting nose

Backup seal 3: Surface between OD of tube

and inside of fitting body

Tube insert, nonwetted

PrimeLock Cross-section

PrimeLock fittings are compatible with high-temperature chemical processes:

• At temperatures up to 200°C at 40 psi

• At room temperatures it is rated to 120 psi

Dif

fere

ntia

l Pre

ssur

e (P

SIG

)

Dif

fere

ntia

l Pre

ssur

e (k

Pa)

965

827

690

552

414

276

138

021 50 100 150 200

Temperature (°C)

Acceptable operating range

Pressure vs. Temperature RatingTemperature (°F)

70 122 212 302 392

140

120

100

80

60

40

20

0

You can generate fitting part numbers based on your specifications and search the extensive online catalog on: • The web at:

www.entegrisfluidhandling.com• The mobile selection tool at:

m.entegrisfluidhandling.com

Scan Qr Code

Fittings mobile selection tool

Page 18: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 3332

FLUID

HA

ND

LING

FEaTurE aDVaNTaGE BENEFITHigh Cv flows • Minimal pressure drop • Capable of delivering large volumes

of chemical

All wetted material in PFA • Lower cost of ownership through increased valve and tool uptime

• Minimize chemical contamination

• Excellent chemical resistance for ultrapure materials

Multiple port connection configurations

• Lower design cost • Enables design flexibility through easy integration of other process components

high Flow PFa Valves

Custom PureBond configurations are available. Please contact the factory with your requirements.

FEaTurE aDVaNTaGE BENEFITAll wetted material in PFA and PTFE

• Excellent chemical resistance• For ultrapure materials

• Lower cost of ownership through increased valve and tool uptime

• Minimize chemical contamination

Available in broad range of sizes

• Suitable for a wide variety of applications • Lower cost of ownership by matching the correct valve to the application

Multiple port connection configurations

• Enables design flexibility through easy integration of other process components

• Lower design cost

Innovative diaphragm designs and materials

• Enable very high flow rates in relatively small footprint

• Optimized valve design provides high reliability

• Move large volumes of chemicals without multiple lines

• Increases productivity and throughput

Valves

Entegris offers high flow manual and pneumatic 2-way valves capable of distributing high volumes of high-purity chemical. Our high flow valves are manufactured from PFA, PTFE and PFA-lined stainless steel.

• Line sizes up to 50.8 mm (2”)

• CV up to 60

• Manual and pneumatic options

• All wetted surfaces are PFA and PTFE for high-purity chemical applications

• Visual open/closed actuator position indication

Entegris valve solutions safely handle critical fluids while maintaining purity, high-flow and corrosion resistance.

• Designed specifically for flat panel display and semiconductor applications

• PFA or PTFE wetted surfaces to maintain process integrity in the harshest environments

• Broad size range: 1⁄4” to 1” orifice sizes

• Multiple configurations: sampling, 3-way manual or 2-way pneumatic actuators

• High-volume flow capability with media pressures up to 827 kPa (120 psig).

Page 19: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 3534

FLUID

HA

ND

LING

Customized fluid control that optimizes space inside equipment, provides low cost of ownership and allows a wide range of valve types.

• Customized distribution manifold

• Modular method of mounting valves to a manifold block

• Optimized flow path

• Replaceable manifold parts including fitting connections and valve operators

• Interchangeable valves

• Available in orifice sizes up to 1” with wide range of valve operators and styles

Dymension® Manifolds

FEaTurES aDVaNTaGE BENEFITSurface mounted valves • Repairable

• Interchangeable valves• Increased equipment uptime

Low dead volume designs • Reduces internal volume• Reduces cross contamination• Efficient chemical flush out

• Improved process control• Reduced cycle time

Complex flow path and control in a single component

• Smaller footprint• Fewer connecting points

• Lower cost of ownership (COO)

Integrated mixing • Reduces flow volume to mix point • Faster chemical mix response time

PFA and PTFE wetted surfaces • Broad chemical compatibility• Long product life

• Lower cost of ownership through increased productivity and throughput

Manifold designs can include a broad range of fluid control solutions

• Increased manifold functionality, reducing the need for multiple discrete components

• Lower capital costs• Smaller footprint

reduced Footprint

Discrete component assembly (53 cm)

53 cm

Equivalent function in a manifold solution (17 cm)

17 cm

Computational Fluid Dynamics

Flow trajectory and blending analysis Mixing performance analysis

• Media temperatures up to 160°C

• Media pressures to 80 psi

• PFA and PTFE wetted surfaces for broad chemical compatibility

performance• Designed using computational fluid dynamics

- Mixing analysis - Flow velocity - Pressure drop analysis and optimization

Page 20: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 3736

FLUID

HA

ND

LING

NT® Pressure Transducers

FEaTurE aDVaNTaGE BENEFITAll wetted parts are constructed of PTFE, sapphire and other high-purity polymers for corrosion resistance

• Compatible with typical semiconductor process chemistries

• Improves yields by increasing particle performance

Provides compatibility and easy integration with electronic displays and monitoring systems

• Enables tool interaction with pressure transducer and offers increased accuracy in pressure measurements

• Increases overall equipment efficiency

Single port and flow through styles offered in industry standard Flaretek® and Pillar® Super 300 fittings

• Compact design enables easy installation

• Improves system design flexibility

No moving parts or fill fluids • Reduces contamination potential • Increases tool uptime

differential pressure setpoint for filter replacement

Monitoring Differential Pressure To Schedule Filter replacement

performance

NT® Flowmeter, Model 4400

FEaTurE aDVaNTaGE BENEFITPTFE and other high-purity fluoropolymer wetted surfaces

• Compatible with highly corrosive FPD processes• Resistant to harsh chemical environments and

external spraydowns

• Improves yields• Lower cost of ownership through

increased tool uptime

Differential pressure technology • Ability to measure many types of fluids• Capable of measuring flow in the presence

of trapped vapor and bubbles• Provides repeatable measurements and reliable

performance

• Increases overall equipment efficiency and tool uptime

No moving parts • Does not generate particles or bubbles• Provides repeatable and reliable measurements

• Improves process yields and throughput

Flow-through design • Minimizes dead volume• Reduces the possibility of process

contamination

• Lower cost of ownership through increased process efficiency

• Improves yields

NT flowmeter, model 4400 utilizes differential pressure to provide an accurate and reliable flow and pressure measurement in highly corrosive processes.

• No moving parts or fill fluids offers reduced contamination potential

• Nonmetallic sensing technology provides reliable measurement

• Flow ranges up to 0 – 120 L/min• Easy installation in any orientation• Flowmeters are factory calibrated, 100% verified

and require no field calibration• Integral pressure transducer provides additional

process information for alarming conditions and process follow-up

Provide accurate and reliable inert pressure measurements. Measure gas or liquid pressure, allowing you to monitor process conditions for increased safety and system performance.

• No moving parts or fill fluids help reduce contamination potential

• Nonmetallic sensing technology provides reliable measurement

• Compact design

• Dymension manifold mountable

• Kalrez, PTFE, Sapphire, PFA and CTFE

Optimized for aggressive chemical applications.

performanceSensor interface: PFA or CTFE

Process temperature: 50° to 149°F (10° to 65°C)

Flow measurement accuracy: 10:1 turndown 20-100% of range ±1.0% FS

10-20% of range ±2.5% FS

20:1 turndown 10-100% of range ±1.0% FS

5-10% of range ±2.5% FS

Repeatability: 10:1 turndown 20-100% of range ±0.5% FS

10-20% of range ±1.0% FS

20:1 turndown 10-100% of range ±0.5% FS

5-10% of range ±1.0% FS

Operating pressure: 0 to 60 psig (0 to 414 kPa)

Pressure measurement accuracy: ±1% of full scale

Page 21: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

«» FLAT PANEL DISPLAY «» ENTEGRIS, INC. ENTEGRIS, INC. «» FLAT PANEL DISPLAY «» 3938

substra

te ha

nd

ling

substrate handlingEntegris manufactures a number of wafer

pods, FOUPs and wafer cassettes that can

be adapted to safely protect FPD square

and round substrates during processing

and transport.

Substrate Carriers and Pods

Entegris can customize solutions to cost-effectively manage your substrates such as an LCD wafer pod to process x-ray panels. Materials, capacities, automation and general specifications can all be designed to your requirements.

LCD Carrier Features

• Materials available

- PFA - Polypropylene - Blue polypropylene

• LCD Sizes

- 112 mm x 102 mm x 18 mm (4.4 x 4.0 x 0.7) to 203 mm x 152 mm x 30 mm (8.0 x 6.0 x 1.2)

- Custom sizes available above 1 meter

• Designed for equipment interface and automation

• Low particulate generation

• Chemical compatibility

Page 22: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

Entegris®, the Entegris Rings Design®, Flaretek®, PureBond®, NT®, Dymension®, ProcessGard®, Fluoroline™, Wafergard®, Chambergard™, Aeronex®, GateKeeper®, Mykrolis®, XCDA®, Panelgard™, PrimeLock®, Savana® and Linegard™ are trademarks of Entegris, Inc.; Teflon® is a registered trademark of E. I du Pont de Nemours and Company; PEEK™ is a trademark of Victrex PLC; Kalrez® is a registered trademark of DuPont Dow Elastomers, L.L.C.; Moldflow® is a registered trademark of Moldflow Corporation; ANSI® is a registered trademark of American National Standards Institute and Pillar® is a trademark of Nippon Pillar Packing Company, Ltd.

north america

massachusetts Entegris, Inc. Corporate Headquarters 129 Concord Road Billerica, MA 01821 USA Tel. +1 978 436 6500 Fax +1 978 436 6735

minnesotaEntegris, Inc. 101 Peavey Road Chaska, MN 55318 USA Tel. +1 952 556 3131 Fax +1 952 556 1880

Customer Service Tel. +1 952 556 4181 Tel. 800 394 4083 (toll-free within

North America)Fax +1 952 556 8022 Fax 800 763 5820 (toll-free within

North America)

euroPe

franceCustomer Service Center for Southern, Western and Northern Europe Entegris S.A.S. Parc Centr’ Alp Ouest 196 rue du Rocher de Lorzier 38430 Moirans France Tel. +33 (0) 4 76 35 73 50 Fax +33 (0) 4 76 35 73 80

germanyCustomer Service Centers for Eastern and Central Europe Entegris GmbH Hugo-Junkers-ring 5 Gebaude 107 Industriegebiet Klotzsche 01109 Dresden Germany Tel. +49 (0) 351 79597 0 Fax +49 (0) 351 79597 499

israelEntegris Israel Limited Izmargad Street 12 Kiryat-Gat Israel Tel. +972 (0) 73 221 00 00 Fax +972 (0) 73 221 00 22

JaPan

Nihon Entegris K.K. Regional Headquarters Mita-Kokusai Bldg. 4-28, 1-Chome Mita Minato-Ku Tokyo, Japan 108-0073 Tel. +81 3 5442 9718 Fax +81 3 5442 9738

Nihon Entegris K.K. Shin-Osaka Prime Tower Bldg. 1-1, Nishinakajima 6-Chome Yodogawa-Ku Osaka, Japan 532-0011 Tel. +81 6 6390 0594 Fax +81 6 6390 3110

Nihon Entegris K.K. Hakataekihigashi 113 Bldg. 13-9 Hakataekihigashi 1-Chome Hakata-Ku Fukuoka, Japan 812-0013 Tel. +81 92 471 8133 Fax +81 92 471 8134

asia/Pacific

chinaEntegris (Shanghai) Microelectronics Trading Co., Ltd. Unit 606-609, Tower 1 German Centre No. 88, Ke Yuan Road Zhangjiang Hi-Tech Park Shanghai 201203 P.R. of China Tel. +86 21 2898 6710 Fax +86 21 5080 5598

Entegris (Beijing) Microelectronics Trading Co., Ltd. Room 1105, Zhaolin Mansion No. 15 Ronghua Middle Road BDA, Beijing 100176 P.R. of China Tel. +86 10 5107 8379 Tel. +86 10 5107 8300 Fax +86 10 5107 8326

asia/Pacific

KoreaEntegris Korea, Ltd. 8F, Seongok Bldg. 4-1, Sunae-dong Bundang-gu, Seongnam-city Kyunggi-do, Korea 463-825 Tel. +82 31 738 5300 Fax +82 31 738 5301

malaysiaEntegris (Malaysia) Sdn Bhd Unit 14 & 15, Lower Level 5 (Executive Wing), Hotel Equatorial No. 1 Jalan Bukit Jambul 11900 Bayan Lepas, Penang MalaysiaTel. +60 4 427 4200Fax +60 4 641 3294

singaporeEntegris Singapore Pte Ltd. 31 Kaki Bukit Road 3 Techlink, #06-08/11 Singapore 417818 Tel. +65 6745 2422 Fax +65 6745 4477

taiwanEntegris Asia LLC, Taiwan Branch 14F., No. 120, Sec. 2, Gong Dao Wu Road Hsinchu City 30072 Taiwan (R.O.C.) Tel. +886 3 571 0178 Fax +886 3 572 9520

Entegris Singapore Pte Ltd. Taiwan Science Park Branch1F., No. 6-7, Duxing Road East Dist. Hsinchu City 30072 Taiwan (R.O.C.)Tel. +886 3 565 3000 Fax +886 3 565 3099

Entegris Asia LLC, Taiwan Branch Rm. 313/314, 3F., No. 6, Zhongxin Road Xinshi Dist. Tainan City 74148 Taiwan (R.O.C.) Tel. +886 6 589 6008 Fax +886 6 501 3799

Entegris regional customer service centersregion telePhone faxnorth America 800 394 4083 800 763 5820Germany +49 (0) 351 795 97 0 +49 (0) 351 795 97 499France +33 (0) 4 76 35 73 50 +33 (0) 4 76 35 73 80United Kingdom +33 476 357 354 +33 476 357 380Italy +33 476 357 352 +33 476 357 380Israel +972 (0) 73 221 00 00 +972 (0) 73 221 00 22Japan +81 3 5442 9718 +81 3 5442 9738Malaysia +60 4 427 4200 +60 4 641 3294Korea +82 31 738 5300 +82 31 738 5301Taiwan +886 3 571 0178 +886 3 572 9520Singapore +65 6745 2422 +65 6745 4477China +86 21 2898 6710 +86 21 5080 5598

Page 23: flat panel display - Entegris · manufacturers continually strive ... /PV df h ousings PP h ousings sus h ousings g as f ... INC. ENTEGrIS, INC. «» FLaT PaNEL DISPLay«» 11 LIQuID

entegris, inc.

Corporate Headquarters129 Concord RoadBillerica, MA 01821 USATel. +1 978 436 6500Fax +1 978 436 6735

www.entegris.com

©2010-2012 Entegris, Inc.Printed in USAAll rights reserved.9000-5415ENT-0912